KR20180044214A - 가열기 상의 알루미늄 플루오르화물 축적을 방지하기 위한 기법 - Google Patents

가열기 상의 알루미늄 플루오르화물 축적을 방지하기 위한 기법 Download PDF

Info

Publication number
KR20180044214A
KR20180044214A KR1020170136744A KR20170136744A KR20180044214A KR 20180044214 A KR20180044214 A KR 20180044214A KR 1020170136744 A KR1020170136744 A KR 1020170136744A KR 20170136744 A KR20170136744 A KR 20170136744A KR 20180044214 A KR20180044214 A KR 20180044214A
Authority
KR
South Korea
Prior art keywords
processing chamber
chamber
substrate processing
substrate
silicon
Prior art date
Application number
KR1020170136744A
Other languages
English (en)
Other versions
KR102481860B1 (ko
Inventor
비벡 바라트 샤
바스카르 쿠마르
가네쉬 바라수브라마니안
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180044214A publication Critical patent/KR20180044214A/ko
Application granted granted Critical
Publication of KR102481860B1 publication Critical patent/KR102481860B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • B08B9/08Cleaning containers, e.g. tanks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/3288Maintenance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Abstract

본 개시내용의 구현들은 프로세싱 챔버를 처리하기 위한 방법들을 제공한다. 일 구현에서, 방법은, 기판이 존재하지 않는 상태로, 기판 프로세싱 챔버의 진공 펌프 시스템의 스로틀 밸브가 완전히 개방된 포지션에 있으면서, 약 0.14 sccm/mm2 내지 약 0.33 sccm/mm2의 유량 및 약 1 토르 내지 약 30 토르의 챔버 압력으로, 기판 프로세싱 챔버 내로 퍼징 가스를 유동시킴으로써, 300 mm 기판 프로세싱 챔버를 퍼징하는 단계를 포함하고, 여기에서, 퍼징 가스는 기판 프로세싱 챔버의 노출된 표면들 상의 증착 잔여물과 화학적으로 반응적이다.

Description

가열기 상의 알루미늄 플루오르화물 축적을 방지하기 위한 기법{TECHNIQUE TO PREVENT ALUMINUM FLUORIDE BUILD UP ON THE HEATER}
[0001] 본 개시내용의 실시예들은 일반적으로, 바람직하지 않은 증착물을 감소시키기 위해 프로세스 챔버를 처리하기 위한 개선된 방법들에 관한 것이다.
[0002] 반도체 업계에서의 플라즈마 반응기들은 종종, 알루미늄-함유 재료들로 제조된다. 특히, 폴리 실리콘, 금속, 또는 산화물 에칭 챔버에서, NF3 또는 CF4와 같은 불소 함유 가스들이 에칭 케미스트리(chemistry)로서 사용되는 경우에, 알루미늄 표면들 상에 알루미늄 플루오르화물 층이 형성될 수 있다. 알루미늄 플루오르화물의 형성물이 섭씨 480 도 위에서 상당한 증기압을 갖고, 이 온도에서 승화되기 시작한다는 것이 관찰되었다. 그 후에, 알루미늄 플루오르화물은 프로세스 챔버의 페이스플레이트(faceplate)와 같은 챔버 컴포넌트로 이동된다. 알루미늄 플루오르화물은 페이스플레이트 상에 응축되어 층을 형성하고, 그 층은 챔버에서의 후속 프로세스 동안에 떨어질 수 있고, 입자들로 기판 표면을 오염시킬 수 있다. 알루미늄 플루오르화물은 제거하기 어렵고, 페이스플레이트가 오염된 후에는, 페이스플레이트 및/또는 진공 챔버의 인-시튜(in-situ) 세정을 제공하는 알려진 방법들이 존재하지 않는다. 결과로서, 진공 챔버 내의 에칭 레이트들이 드리프트(drift)하고, 챔버가 불안정하게 된다.
[0003] 따라서, 프로세싱 동안의 기판 표면 상의 알루미늄 플루오르화물 오염의 가능성 및 에칭 레이트 드리프팅 문제가 최소화되거나 또는 방지되도록, 프로세스 챔버를 세정하기 위한 개선된 프로세스를 제공하는 것이 본 기술분야에서 요구된다.
[0004] 본 개시내용의 구현들은 프로세싱 챔버를 처리하기 위한 방법들을 제공한다. 일 구현에서, 방법은, 기판이 존재하지 않는 상태로, 기판 프로세싱 챔버의 진공 펌프 시스템의 스로틀 밸브가 완전히 개방된 포지션에 있으면서, 약 0.14 sccm/mm2 내지 약 0.33 sccm/mm2의 유량 및 약 1 토르 내지 약 30 토르의 챔버 압력으로, 기판 프로세싱 챔버 내로 퍼징 가스를 유동시킴으로써, 프로세싱 챔버를 퍼징하는 단계를 포함하고, 여기에서, 퍼징 가스는 기판 프로세싱 챔버의 노출된 표면들 상의 증착 잔여물과 화학적으로 반응적이다.
[0005] 다른 구현에서, 방법은, 프로세싱 챔버 내로 불소 라디칼들을 포함하는 세정 가스를 유동시키는 단계, 세정 가스로부터 플라즈마를 생성함으로써, 기판 프로세싱 챔버의 내부 표면들로부터 증착 잔여물을 제거하는 단계 ― 플라즈마는 제 1 시간 기간 동안 제 1 RF 전력 밀도, 제 1 챔버 압력, 및 제 1 전극 간격으로 형성됨 ―, 제 2 시간 기간 동안, 제 2 RF 전력 밀도, 제 2 챔버 압력, 및 제 1 전극 간격과 상이한 제 2 전극 간격으로 플라즈마를 유지함으로써, 기판 프로세싱 챔버의 내부 표면들로부터 세정 잔여물을 제거하는 단계 ― 제 1 챔버 압력 및 제 2 챔버 압력은 1 토르 미만임 ―, 및 기판이 존재하지 않는 상태로, 기판 프로세싱 챔버의 진공 펌프 시스템의 스로틀 밸브가 제 3 시간 기간 동안 완전히 개방되어 있으면서, 약 0.14 sccm/mm2 내지 약 0.33 sccm/mm2의 유량 및 약 1 토르 내지 약 30 토르의 챔버 압력으로, 기판 프로세싱 챔버 내로 퍼징 가스를 유동시킴으로써, 기판 프로세싱 챔버를 퍼징하는 단계를 포함하며, 여기에서, 퍼징 가스는 기판 프로세싱 챔버의 내부 표면들 상의 증착 잔여물과 화학적으로 반응적이고, 퍼징 가스는 기판 프로세싱 챔버 내에 배치된 기판 지지부의 표면 상에 비정질 실리콘 층을 증착한다.
[0006] 또 다른 구현에서, 프로세싱 챔버에서 기판을 프로세싱하기 위한 방법이 제공된다. 방법은, 300 mm 기판 프로세싱 챔버 내에서 기판 지지부 상에 배치된 기판에 대해 미리-정의된 수의 프로세스들을 수행하는 단계, 기판 프로세싱 챔버로부터 기판을 제거하는 단계, 및 기판 프로세싱 챔버의 진공 펌프 시스템의 스로틀 밸브가 제 3 시간 기간 동안 완전히 개방되어 있으면서, 약 0.14 sccm/mm2 내지 약 0.33 sccm/mm2의 유량 및 약 1 토르 내지 약 5 토르의 챔버 압력으로, 기판 프로세싱 챔버 내로 퍼징 가스를 유동시킴으로써, 기판 프로세싱 챔버를 퍼징하는 단계를 포함하며, 여기에서, 퍼징 가스는 기판 프로세싱 챔버의 내부 표면들 상의 알루미늄 플루오르화물을 포함하는 증착 잔여물과 화학적으로 반응적이고, 퍼징 가스는 기판 지지부의 표면 상에 비정질 실리콘 층을 증착한다.
[0007] 위에서 간략히 요약되고 아래에서 더 상세히 논의되는 본 개시내용의 실시예들은 첨부된 도면들에서 도시된 본 개시내용의 예시적인 실시예들을 참조하여 이해될 수 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 도시하는 것이므로, 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0008] 도 1은 본 개시내용의 구현들에 따른 진공 챔버를 세정하기 위한 방법의 흐름도를 도시한다.
[0009] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지시하기 위해 가능한 경우에 동일한 참조 번호들이 사용되었다. 도면들은 실척대로 도시된 것이 아니고, 명료성을 위해 간략화될 수 있다. 일 실시예의 엘리먼트들 및 특징들이 추가적인 설명 없이 다른 실시예들에 유익하게 포함될 수 있는 것이 고려된다.
[0010] 본 개시내용의 구현들은 일반적으로, 챔버 시즈닝 프로세스 전에 진공 챔버로부터 흡착된 오염물들을 제거하기 위해 진공 챔버를 세정하기 위한 개선된 방법들을 제공한다. 오염물들은 진공 챔버의 벽들 및 챔버 컴포넌트들과 세정 가스들의 반응으로부터 형성될 수 있다.
[0011] 도 1은 본 개시내용의 구현들에 따른, 진공 챔버를 세정하기 위한 방법(100)의 흐름도를 도시한다. 진공 챔버는 프로세스의 성능을 향상시키기 위해 서멀(thermal) 및/또는 플라즈마를 사용하는 임의의 적합한 기판 프로세싱 챔버, 예컨대, 화학 기상 증착(CVD) 챔버 또는 플라즈마-강화 화학 기상 증착(PECVD) 챔버일 수 있다. 일 예시적인 구현에서, 진공 챔버는 적어도 가스 유입구 매니폴드, 기판 지지부, 및 진공 펌프 시스템을 갖는 RF 전력식 플라즈마 프로세싱 챔버이다.
[0012] 방법(100)은 일반적으로, 진공 챔버에 기판이 존재하지 않는 상태로 수행된다. 방법(100)은 진공 챔버 내에서의 단일 기판 또는 기판들의 배치(batch)의 프로세싱 전에 그리고/또는 후에 수행될 수 있다. 기판들의 배치는 2개 내지 15개, 예컨대 3개 내지 12개, 이를테면 6개 내지 10개일 수 있다. 그러한 프로세스들은, 예컨대, 기판들의 에칭, 또는 기판들의 표면 상의 재료 층의 증착을 포함할 수 있다. 다양한 단계들이 도면들에서 예시되고 본원에서 설명되지만, 그러한 단계들의 순서, 또는 개재하는 단계들의 존재 또는 부재에 관한 어떠한 제한도 암시되지 않는다. 순차적인 것으로 도시 또는 설명된 단계들은, 명시적으로 특정되지 않는 한, 각각의 단계들이 전적으로, 그렇지 않다면 적어도 부분적으로 병발되는 또는 중첩되는 방식으로 실제로 수행되는 가능성을 배제하지 않으면서, 단지 설명의 목적을 위해 그와 같이 이루어진다.
[0013] 일 구현에서, 방법(100)은, 블록(102)에서, 300 mm 플라즈마 프로세싱 챔버를 펌핑하여, 기저 압력, 예컨대 약 0.67 mPa(5 밀리토르)로 플라즈마 프로세싱 챔버에서의 압력을 감소시키고 유지함으로써 시작된다. 챔버에 대한 펌핑 시간은 챔버 사이즈에 따라 약 1 초 내지 약 6 초일 수 있고, 예컨대 약 3 초일 수 있다. 펌핑 시간은 사용되는 플라즈마 프로세싱 챔버의 사이즈에 따라 변화될 수 있다.
[0014] 블록(104)에서, 플라즈마 프로세싱 챔버는 아르곤, 질소, 또는 헬륨 등과 같은 플라즈마 개시 가스로 충전된다(filled). 일 예시적인 구현에서, 플라즈마 개시 가스는 아르곤이다. 플라즈마 개시 가스는, 300 mm 플라즈마 프로세싱 챔버의 경우에, 가스 유동이 안정화될 때까지 약 8 초 내지 약 20 초, 예컨대 약 15 초 동안 플라즈마 프로세싱 챔버 내로 유동될 수 있다. 약 0.56 와트/cm2의 플라즈마 전력이 플라즈마를 점화시키기 위해 플라즈마 프로세싱 챔버에 공급될 수 있다.
[0015] 블록(106)에서, 세정 가스가 가스 유입구 매니폴드를 통해 플라즈마 프로세싱 챔버 내로 도입된다. 세정 가스는 불소(F2 및/또는 F) 및/또는 불소 라디칼들(F*)을 포함할 수 있다. 이들 종의 소스로서, 세정 가스는 과불소화 또는 수소화불화탄소 화합물, 예컨대 NF3, CF4, C2F6, CHF3, C3F8, C4F8, 및 SF6를 포함할 수 있다. 일 예시적인 구현에서, 세정 가스는 NF3이다. 300 mm 플라즈마 프로세싱 챔버의 경우에, 세정 가스는 약 1 초 내지 약 6 초, 또는 예컨대 약 3 초 동안, 약 150 sccm 내지 약 800 sccm, 예컨대 약 300 sccm 내지 약 600 sccm의 유량으로 플라즈마 프로세싱 챔버 내로 도입될 수 있다. 세정 가스가 원격 플라즈마 시스템으로부터 플라즈마 프로세싱 챔버 내로 제공될 수 있는 것이 고려된다.
[0016] 블록(108)에서, 플라즈마 프로세싱 챔버 내로 세정 가스를 유동시키는 동안에, 플라즈마 프로세싱 챔버의 기판 지지부 전극과 가스 유입구 매니폴드 전극 사이의 전극 간격이 챔버 세정 프로세스의 유효성을 제어하거나 또는 향상시키기 위해 조정된다. 일 예시적인 구현에서, 세정 프로세스는 2-스테이지 프로세스이고, 여기에서, 제 1 스테이지는, 선택된 제 1 RF 전력이 전극들 사이에 인가되는 상태로, 제 1 비교적 낮은 압력 및 제 1 비교적 큰 전극 간격을 사용하여, 플라즈마 프로세싱 챔버 전체에 걸쳐 플라즈마를 생성함으로써, 플라즈마 프로세싱 챔버의 내부 표면들로부터 증착 잔여물을 세정하는 것을 포함하고; 그리고 제 2 스테이지는, 선택된 제 2 RF 전력이 전극들 사이에 인가되는 상태로, 제 2 비교적 높은 압력 및 제 2 비교적 작은 전극 간격을 사용하여 플라즈마를 유지하여, 플라즈마 프로세싱 챔버의 내부 표면들로부터 세정 잔여물을 세정하는 것을 포함한다. 몇몇 예들에서, 제 2 비교적 높은 압력 및 제 1 비교적 낮은 압력은 1 토르보다 더 낮을 수 있고, 예컨대, 약 10 밀리토르 내지 약 950 밀리토르일 수 있다.
[0017] 일 예에서, 제 1 비교적 낮은 압력은 약 20 밀리토르 내지 약 450 밀리토르, 예컨대 약 100 밀리토르 내지 약 300 밀리토르이고, 제 1 비교적 큰 전극 간격은, 300 mm 플라즈마 프로세싱 챔버의 경우에, 약 500 밀 내지 약 1000 밀, 예컨대 약 600 밀이고, 제 1 RF 전력은 약 500 와트 내지 약 750 와트(약 2.7 내지 5.6 와트/cm2의 전력 밀도)이다. 제 1 스테이지는 약 6 초 내지 약 13 초, 예컨대 10 초 동안 수행될 수 있다. 제 2 비교적 높은 압력은 약 500 밀리토르 내지 약 900 밀리토르, 예컨대 약 600 밀리토르 내지 약 800 밀리토르이고, 제 2 비교적 작은 전극 간격은 약 100 밀 내지 약 400 밀, 예컨대 약 100 밀 내지 약 300 밀이고, 제 2 RF 전력은 약 500 와트 내지 약 750 와트(약 2.7 내지 5.6 와트/cm2의 전력 밀도)이다. 제 2 스테이지는 약 15 초 내지 약 30 초, 예컨대 20 초 동안 수행될 수 있다.
[0018] 블록(110)에서, 챔버 세정 프로세스 후에, 플라즈마 프로세싱 챔버는 더 높은 챔버 압력 및 더 높은 유량으로 퍼징된다. 챔버 세정 직후에, 챔버 압력이 낮은(예컨대, 1 토르 미만) 경우에, 알루미늄 플루오르화물이 기판 지지부로부터 페이스플레이트로 이동하게 된다는 것이 본 발명자들에 의해 관찰되었다. 그에 따라, 더 높은 압력으로 챔버를 퍼징하는 것은 알루미늄 플루오르화물의 기화가 플라즈마 프로세싱 챔버의 페이스플레이트에 도달하는 것을 방지한다. 더 높은 압력으로 퍼징 가스를 유동시키는 것이 또한, 프로세스 챔버의 페이스플레이트 및 다른 챔버 컴포넌트들의 노출된 내부 표면들로부터 알루미늄 플루오르화물 및 다른 원하지 않는 잔여물들을 제거하는 것을 보조한다.
[0019] 퍼징은 가스 유입구 매니폴드를 통해 플라즈마 프로세싱 챔버 내로 퍼징 가스를 유동시킴으로써 수행될 수 있다. 퍼징은, 예컨대, 질소, 아르곤, 네온, 또는 다른 적합한 비활성 가스들뿐만 아니라 그러한 가스들의 조합들을 포함할 수 있다. 일 예시적인 구현에서, 퍼징 가스는 아르곤이다. 다른 예시적인 구현에서, 퍼징 가스는 아르곤 및 질소이다.
[0020] 몇몇 대안적인 구현들에서, 퍼징 가스는 실란과 같은 실리콘-함유 가스를 포함할 수 있다. 적합한 실란은 실란(SiH4), 및 실험식 SixH(2x+2)를 갖는 고차 실란들, 이를테면 디실란(Si2H6), 트리실란(Si3H8), 및 테트라실란(Si4H10), 또는 다른 고차 실란들, 이를테면 폴리클로로실란을 포함할 수 있다. 일 예시적인 구현에서, 퍼징 가스는 실란이다. 실란으로 퍼징하는 것은 플라즈마 프로세싱 챔버에 존재하는 알루미늄 플루오르화물 잔여물들 및 자유 불소 라디칼들을 소기하는데 효과적이라는 것이 본 발명자들에 의해 관찰되었다. 실란 퍼지는 또한, 기판 지지부의 온도가 섭씨 500 도 또는 그 초과(예컨대, 섭씨 550 도 내지 섭씨 650 도 또는 그 초과)에 도달하는 경우에, 기판 지지부(및 챔버 컴포넌트들의 노출된 내부 표면들) 상에 얇은 비정질 실리콘 층을 증착한다. 비정질 실리콘 층은 알루미늄 플루오르화물이 페이스 플레이트, 및/또는 챔버 벽들과 같은 다른 챔버 컴포넌트들의 노출된 내부 표면들에 승화되어 도달하는 것을 방지하는 캐핑 층으로서 역할을 한다. CVD 또는 PECVD에 의한 증착물들 및/또는 증착 잔여물(예컨대, 불소)과 화학적으로 반응적인 임의의 전구체 가스가 또한, 실란 대신에 사용될 수 있는 것이 고려된다.
[0021] 퍼징 동안에, 플라즈마 프로세싱 챔버 내의 압력은 약 1 토르 내지 약 30 토르, 이를테면 약 1 토르 내지 약 3 토르, 약 1 토르 내지 약 5 토르, 약 1.2 토르 내지 약 1.5 토르, 약 1.5 토르 내지 약 1.8 토르, 약 1.8 토르 내지 약 3 토르, 또는 약 1 토르 내지 약 15 토르로 유지된다. 플라즈마 프로세싱 챔버의 온도는 섭씨 약 500 도 또는 그 초과, 예컨대 섭씨 약 550 도 내지 섭씨 약 650 도로 유지될 수 있다. 더 높은 챔버 압력을 달성하기 위해, 요구되는 챔버 압력이 유지되면서 플라즈마 프로세싱 챔버로부터 오염물들(예컨대, 기화된 증착 잔여물)이 펌핑되게 허용하도록 진공 펌프의 스로틀 밸브가 완전히 개방되어 있는 상태로, 퍼징 가스가 더 긴 시간 기간 동안 플라즈마 프로세싱 챔버 내로 도입될 수 있다. 본원에서 논의되는 다양한 예들에서, 퍼징 시간은 약 10 초 내지 약 90 초, 예컨대 약 15 초 내지 약 45 초에서 변화될 수 있다. 일 예시적인 구현에서, 퍼징 시간은 약 20 초이다.
[0022] 퍼징 가스는, 300 mm 플라즈마 프로세싱 챔버의 경우에, 약 4000 sccm 내지 약 30000 sccm, 이를테면 약 8000 sccm 내지 약 24000 sccm, 예컨대 약 10000 내지 약 20000 sccm의 유량으로 플라즈마 프로세싱 챔버 내로 도입될 수 있다. 2개의 퍼징 가스들이 사용되는 경우에, 제 1 퍼징 가스, 예컨대 아르곤은 약 8000 sccm 내지 약 15000 sccm, 이를테면 약 13000 sccm의 유량으로 유동될 수 있고, 제 2 퍼징 가스, 예컨대 질소는 약 16000 sccm 내지 약 24000 sccm, 예컨대 약 20000 sccm의 유량으로 유동될 수 있다. 본 개시내용에서 설명되는 바와 같은 프로세싱 조건들이 300 mm 프로세싱 챔버에 기초한다는 것이 유의되어야 한다.
[0023] 일 예에서, 아르곤을 포함하는 퍼징 가스는 약 13000 sccm의 유량 및 약 1.8 토르의 챔버 압력으로 300 mm 플라즈마 프로세싱 챔버 내로 도입된다(TFO). 다른 예에서, 아르곤을 포함하는 퍼징 가스는 약 13000 sccm의 유량 및 약 3 토르의 챔버 압력으로 플라즈마 프로세싱 챔버 내로 도입된다(TFO). 일 다른 예에서, 질소를 포함하는 퍼징 가스는 약 10000 sccm의 유량 및 약 2 토르 내지 3 토르의 챔버 압력으로 플라즈마 프로세싱 챔버 내로 도입된다(TFO). 또 다른 예에서, 약 3 토르의 챔버 압력으로, 아르곤을 포함하는 제 1 퍼징 가스가 약 13000 sccm의 유량으로 플라즈마 프로세싱 챔버 내로 도입되고, 질소를 포함하는 제 2 퍼징 가스가 약 20000 sccm의 유량으로 플라즈마 프로세싱 챔버 내로 도입된다(TFO).
[0024] 블록(112)에서, 플라즈마 프로세싱 챔버의 퍼징 후에, 퍼징 가스(들)가 중단되고, 제 1 챔버 시즈닝 프로세스가 페이스플레이트 및/또는 챔버 벽들과 같은 챔버 컴포넌트들의 노출된 내부 표면들 상에 제 1 시즈닝 층을 형성하기 위해 선택적으로 수행된다. 제 1 챔버 시즈닝 프로세스는 가스 유입구 매니폴드를 통해 플라즈마 프로세싱 챔버 내로 제 1 시즈닝 가스 및 제 2 시즈닝 가스를 순차적으로 또는 가스 혼합물로 도입함으로써 수행될 수 있다. 제 1 시즈닝 층은 챔버에서 퍼징 또는 임의의 이전의 프로세스가 수행된 후에 플라즈마 프로세싱 챔버에 남아 있는 잔여 프로세스 입자들의 양을 감소시킨다. 이는 오염 입자들의 양을 감소시키는데, 그 오염 입자들은, 감소되지 않는 경우에, 후속 프로세스들에서 기판들 상에 증착될 층들을 오염시킬 것이다.
[0025] 일 예시적인 구현에서, 제 1 시즈닝 층은 플라즈마 프로세싱 챔버에서 산소-함유 전구체 가스와 실리콘-함유 가스를 반응시킴으로써 증착될 수 있는 실리콘 산화물 층이다. 일 예에서, 이산화 실리콘 시즈닝 층이 분자 산소와 실란 가스를 반응시킴으로써 형성된다. 다른 예에서, 이산화 실리콘 시즈닝 층은 아산화 질소, 일산화 질소, 이산화 질소, 이산화 탄소, 또는 임의의 다른 적합한 산소-함유 전구체 가스와 실란을 반응시킴으로써 형성된다. 다른 예시적인 구현에서, 제 1 시즈닝 층은 플라즈마 프로세싱 챔버에서 실리콘-함유 가스와 수소-함유 가스를 반응시킴으로써 증착될 수 있는 비정질 실리콘 층이다.
[0026] 수소-함유 가스 및 실리콘-함유 가스는 약 1:6 내지 약 1:20의 비율 및 약 0.1 토르 내지 약 20 토르의 챔버 압력으로 플라즈마 프로세싱 챔버 내로 제공될 수 있다. 일 예에서, 비정질 실리콘 시즈닝 층이 실란과 수소 가스를 반응시킴으로써 형성된다. 300 mm 플라즈마 프로세싱 챔버의 경우에, 실란이 약 3000 sccm 내지 약 6000 sccm, 이를테면 약 5000 sccm의 유량으로 제공될 수 있고, 수소 가스가 약 60 sccm 내지 약 150 sccm, 이를테면 약 100 sccm의 유량으로 제공될 수 있다. 약 15 밀리와트/cm2 내지 약 250 밀리와트/cm2의 RF 전력이 플라즈마 프로세싱 챔버의 가스 유입구 매니폴드에 제공될 수 있다. 다양한 예들에서, 제 1 챔버 시즈닝 프로세스는 약 3 초 내지 약 8 초, 예컨대 약 5 초 동안 수행될 수 있다. 프로세싱 시간은 제 1 시즈닝 층의 원하는 두께에 따라 변화될 수 있다.
[0027] 본원에서 실란이 논의되지만, 실험식 SixH(2x+2)를 갖는 고차 실란들, 이를테면 디실란(Si2H6), 트리실란(Si3H8), 및 테트라실란(Si4H10)이 또한 사용될 수 있는 것이 고려된다.
[0028] 블록(110)에서의 퍼징이 기판 지지부 상에 비정질 실리콘 층을 형성하는 경우들에서, 추가적인 챔버 펌핑 또는 퍼징이 챔버 퍼지(블록(110))와 제 1 챔버 시즈닝 프로세스(블록(112)) 사이에서 요구되지 않는데, 이는, 예컨대, 알루미늄 플루오르화물이 페이스플레이트, 및/또는 챔버 벽들과 같은 다른 챔버 컴포넌트들의 노출된 내부 표면들에 승화되어 도달하는 것을 방지하는, 플라즈마 프로세싱 챔버에 대한 초기 시즈닝 층을 실란 퍼징이 제공하기 때문이다. 이 새로운 퍼징 접근법은, 챔버 세정(블록(108)) 후에 플라즈마 프로세싱 챔버에서 아르곤 퍼지 및 펌프 단계들이 교번하여 수행되는 몇몇 종래의 퍼징 절차들에 비해 유리할 수 있다. 예컨대, 챔버 세정(블록(108)) 후에, 종래의 퍼징 절차는 Ar 퍼지/펌프/Ar 퍼지/펌프를 포함할 수 있다. 대조적으로, 본 개시내용의 구현들은, 챔버 세정과 챔버 시즈닝 사이에 부가적인 퍼지/펌프 사이클을 요구하지 않는, 알루미늄 플루오르화물 기화의 효과적인 방지 및 세정을 위한 더 높은 압력의 고-유량 챔버 퍼징을 특징으로 한다.
[0029] 블록(114)에서, 제 1 챔버 시즈닝 프로세스가 행해진 후에, 제 2 챔버 시즈닝 프로세스가 제 1 시즈닝 층 상에 제 2 시즈닝 층을 증착하기 위해 선택적으로 수행된다. 제 2 시즈닝 층은 가스 유입구 매니폴드를 통해 플라즈마 프로세스 챔버 내로 제 3 시즈닝 가스 및 제 4 시즈닝 가스를 순차적으로 또는 가스 혼합물로 도입함으로써 수행될 수 있다. 일 예시적인 구현에서, 제 2 시즈닝 층은 플라즈마 프로세싱 챔버에서 산소-함유 전구체 가스와 실리콘-함유 가스를 반응시킴으로써 증착될 수 있는 도핑되지 않은 실리케이트 유리이다. 일 예에서, 도핑되지 않은 실리케이트 유리 시즈닝 층은 오존(O3)과 테트라에틸오르토실란(TEOS)을 반응시킴으로써 형성된다. 부가적인 실리콘 소스들, 이를테면 실란, TMCT 또는 유사한 소스들, 및 다른 산소 소스들, 이를테면 O2, H2O, N2O 및 유사한 소스들, 및 이들의 혼합물들이 또한 채용될 수 있는 것이 고려된다. TEOS가 실리콘-함유 가스로서 사용되는 경우에, 헬륨 또는 질소와 같은 캐리어 가스가 채용될 수 있다. O3 대 TEOS의 비율은 약 2:1 내지 약 16:1, 이를테면 약 3:1 내지 약 6:1의 범위를 가질 수 있다.
[0030] 제 2 시즈닝 층의 증착 동안에, TEOS는 약 600 mgm 내지 약 3500 mgm, 예컨대 약 1200 mgm 내지 약 1600 mgm의 유량으로 300 mm 플라즈마 프로세싱 챔버 내로 도입될 수 있다. O3(약 5 내지 16 wt% 산소)는 약 2500 sccm 내지 약 16000 sccm, 이를테면 약 5500 sccm 내지 약 12000 sccm의 유량으로 도입된다. 헬륨 또는 질소가 2600 sccm 내지 약 12000 sccm, 이를테면 약 4500 sccm 내지 약 8500 sccm의 유량으로 도입되는 캐리어 가스로서 사용될 수 있다. 대부분의 경우들에서, 플라즈마 프로세싱 챔버 내로의 가스들의 총 유량은 약 8000 sccm 내지 약 30000 sccm, 이를테면 약 15000 sccm 내지 약 22000 sccm에서 변화될 수 있다. 다양한 예들에서, 제 2 챔버 시즈닝 프로세스는 약 6 초 내지 약 15 초, 예컨대 약 9 초 동안 수행될 수 있다. 프로세싱 시간은 제 2 시즈닝 층의 원하는 두께에 따라 변화될 수 있다.
[0031] 블록(116)에서, 플라즈마 프로세싱 챔버는 플라즈마 프로세싱 챔버로부터 임의의 프로세싱 잔여물들(예컨대, 실란)을 제거하기 위해 퍼징 가스로 퍼징된다. 퍼징은 가스 유입구 매니폴드를 통해 플라즈마 프로세싱 챔버 내로 퍼징 가스를 유동시킴으로써 수행될 수 있다. 퍼징 가스는, 예컨대, 질소, 아르곤, 네온, 또는 다른 적합한 비활성 가스들뿐만 아니라 그러한 가스들의 조합들을 포함할 수 있다. 일 예시적인 구현에서, 퍼징 가스는 아르곤이다. 블록(116)에서의 퍼징에 대한 프로세스 조건은, 블록(116)에서의 퍼징 시간이 더 짧다는 것을 제외하고, 블록(110)에서 논의되는 것들과 동일하거나 또는 유사할 수 있다. 예컨대, 퍼징 시간은 약 2 초 내지 약 10 초, 이를테면 약 3 초 내지 약 8 초에서 변화될 수 있다. 일 예시적인 구현에서, 퍼징 시간은 약 5 초이다. 그 후에, 임의의 반응 잔여물들 및/또는 원하지 않는 가스들이 진공 펌프 시스템을 통해 플라즈마 프로세싱 챔버 밖으로 펌핑된다.
[0032] 블록(116)의 완료 후에, 방법(100)은 종료로 진행할 수 있거나, 또는 블록(102)으로부터 블록(116)까지 다시 시작할 수 있다. 본원에서 설명되는 방법(100)이 또한, 주기적으로 수행될 수 있는 것이 고려된다. 예컨대, 방법(100)은 모든 각각의 2개의 증착 프로세스들이 기판에 대해 행해진 후마다, 또는 배치 프로세싱 사이클에서 미리-정의된 수의 프로세스들(예컨대, 증착 프로세스)을 수행한 후에, 수행될 수 있다. 미리-정의된 수는 1개 내지 6개, 예컨대 2개 내지 5개, 이를테면 3개의 프로세스들일 수 있다. 챔버 조건들에 따라, 원하는 챔버 조건이 달성될 때까지, 또는 표준 전체 챔버 세정 프로세스가 필요하게 될 때까지, 블록들(102 내지 116)에서 설명된 바와 같은 프로세스들 중 임의의 프로세스가 필요한 만큼 많은 횟수로 반복될 수 있다.
[0033] 본 개시내용의 이익들은, 알루미늄 플루오르화물 기화가 페이스플레이트, 및/또는 진공 챔버의 다른 챔버 컴포넌트들의 노출된 내부 표면들에 도달하는 것을 방지하기 위해, 더 높은 압력 및 더 높은 유량으로 진공 챔버를 퍼징하는 것을 포함한다. 더 높은 압력으로 퍼징 가스를 유동시키는 것은 프로세스 챔버의 페이스플레이트로부터 알루미늄 플루오르화물 및 다른 원하지 않는 잔여물들을 제거하는 것을 보조한다. 실란이 진공 챔버를 퍼징하기 위해 사용되는 경우들에서, 기판 지지부의 온도가 섭씨 500 도 또는 그 초과에 도달하는 경우에, 실란 가스가 기판 지지부 상에 얇은 비정질 실리콘 층을 증착한다. 실란은 또한, 진공 챔버에 존재하는 자유 불소 라디칼들을 소기한다. 이 비정질 실리콘 층은 알루미늄 플루오르화물이 페이스플레이트에 승화되어 도달하는 것을 방지한다. 1000개의 기판들의 프로세싱 후에, 단지 0.2 내지 0.3 μm 두께의 알루미늄 플루오르화물만이 페이스플레이트 상에 증착되는 것이 관찰되었다. 결과로서, 페이스플레이트 및/또는 챔버 컴포넌트들의 수명이 연장된다. 진공 챔버에서의 (AlF 축적으로부터의 페이스플레이트 복사율 변화로 인한) 웨이퍼 온도 드리프팅 또는 에칭 레이트 드리프팅이 방지되고, 전체 챔버 안정성이 개선된다.
[0034] 전술한 바가 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 실시예들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있다.

Claims (15)

  1. 기판 프로세싱 챔버를 처리하기 위한 방법으로서,
    기판이 존재하지 않는 상태로, 상기 기판 프로세싱 챔버의 진공 펌프 시스템의 스로틀 밸브가 완전히 개방된 포지션에 있으면서, 약 0.14 sccm/mm2 내지 약 0.33 sccm/mm2의 유량 및 약 1 토르 내지 약 30 토르의 챔버 압력으로, 상기 기판 프로세싱 챔버 내로 퍼징 가스를 유동시킴으로써, 상기 기판 프로세싱 챔버를 퍼징하는 단계를 포함하며,
    상기 퍼징 가스는 상기 기판 프로세싱 챔버의 노출된 표면들 상의 증착 잔여물과 화학적으로 반응적이고, 실리콘-함유 가스는 상기 기판 프로세싱 챔버 내에 배치된 기판 지지부의 표면 상에 비정질 실리콘 층을 증착하는,
    기판 프로세싱 챔버를 처리하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 챔버 압력은 약 1.8 토르 내지 약 3 토르로 유지되고, 상기 기판 프로세싱 챔버는 섭씨 약 500 도 또는 그 초과의 온도로 유지되는,
    기판 프로세싱 챔버를 처리하기 위한 방법.
  3. 제 1 항에 있어서,
    상기 퍼징 가스는 아르곤 또는 실리콘-함유 가스를 포함하는,
    기판 프로세싱 챔버를 처리하기 위한 방법.
  4. 제 1 항에 있어서,
    상기 증착 잔여물은 알루미늄 플루오르화물을 포함하는,
    기판 프로세싱 챔버를 처리하기 위한 방법.
  5. 프로세싱 챔버를 처리하기 위한 방법으로서,
    기판 프로세싱 챔버 내로 불소 라디칼들을 포함하는 세정 가스를 유동시키는 단계;
    상기 세정 가스로부터 플라즈마를 생성함으로써, 상기 기판 프로세싱 챔버의 내부 표면들로부터 증착 잔여물을 제거하는 단계 ― 상기 플라즈마는 제 1 시간 기간 동안 제 1 RF 전력 밀도, 제 1 챔버 압력, 및 제 1 전극 간격으로 형성됨 ―;
    제 2 시간 기간 동안, 제 2 RF 전력 밀도, 제 2 챔버 압력, 및 상기 제 1 전극 간격과 상이한 제 2 전극 간격으로 상기 플라즈마를 유지함으로써, 상기 기판 프로세싱 챔버의 내부 표면들로부터 세정 잔여물을 제거하는 단계 ― 상기 제 1 챔버 압력 및 상기 제 2 챔버 압력은 1 토르 미만임 ―; 및
    기판이 존재하지 않는 상태로, 상기 기판 프로세싱 챔버의 진공 펌프 시스템의 스로틀 밸브가 제 3 시간 기간 동안 완전히 개방되어 있으면서, 약 0.14 sccm/mm2 내지 약 0.33 sccm/mm2의 유량 및 약 1 토르 내지 약 30 토르의 챔버 압력으로, 상기 기판 프로세싱 챔버 내로 퍼징 가스를 유동시킴으로써, 상기 기판 프로세싱 챔버를 퍼징하는 단계
    를 포함하며,
    상기 퍼징 가스는 상기 기판 프로세싱 챔버의 내부 표면들 상의 증착 잔여물과 화학적으로 반응적이고, 상기 퍼징 가스는 상기 기판 프로세싱 챔버 내에 배치된 기판 지지부의 표면 상에 비정질 실리콘 층을 증착하는,
    프로세싱 챔버를 처리하기 위한 방법.
  6. 제 5 항에 있어서,
    상기 기판 프로세싱 챔버를 퍼징한 후에, 상기 기판 프로세싱 챔버의 내부 표면들 상에 제 1 실리콘-함유 시즈닝 층을 증착하기 위해 제 1 챔버 시즈닝 프로세스를 수행하는 단계를 더 포함하는,
    프로세싱 챔버를 처리하기 위한 방법.
  7. 제 6 항에 있어서,
    상기 제 1 실리콘-함유 시즈닝 층을 증착한 후에, 상기 제 1 실리콘-함유 시즈닝 층 상에 제 2 실리콘-함유 시즈닝 층을 증착하기 위해 제 2 챔버 시즈닝 프로세스를 수행하는 단계를 더 포함하며,
    상기 제 1 실리콘-함유 시즈닝 층은 상기 제 2 실리콘-함유 시즈닝 층과 화학적으로 상이한,
    프로세싱 챔버를 처리하기 위한 방법.
  8. 제 7 항에 있어서,
    상기 제 1 실리콘-함유 시즈닝 층은 실리콘 산화물 또는 비정질 실리콘을 포함하고, 상기 제 2 실리콘-함유 시즈닝 층은 도핑되지 않은 실리케이트 유리를 포함하는,
    프로세싱 챔버를 처리하기 위한 방법.
  9. 제 5 항에 있어서,
    퍼징 동안의 상기 챔버 압력은 약 1.8 토르 내지 약 3 토르로 유지되고, 상기 기판 프로세싱 챔버는 섭씨 약 500 도 또는 그 초과의 온도로 유지되는,
    프로세싱 챔버를 처리하기 위한 방법.
  10. 제 5 항에 있어서,
    상기 증착 잔여물은 알루미늄 플루오르화물을 포함하는,
    프로세싱 챔버를 처리하기 위한 방법.
  11. 제 5 항에 있어서,
    상기 제 1 시간 기간은 약 6 초 내지 약 13 초이고, 상기 제 2 시간 기간은 약 15 초 내지 약 30 초이고, 상기 제 3 시간 기간은 약 15 초 내지 약 45 초인,
    프로세싱 챔버를 처리하기 위한 방법.
  12. 프로세싱 챔버에서 기판을 프로세싱하기 위한 방법으로서,
    기판 프로세싱 챔버 내에서 기판 지지부 상에 배치된 기판에 대해 미리-정의된 수의 프로세스들을 수행하는 단계;
    상기 기판 프로세싱 챔버로부터 상기 기판을 제거하는 단계; 및
    상기 기판 프로세싱 챔버의 진공 펌프 시스템의 스로틀 밸브가 제 3 시간 기간 동안 완전히 개방되어 있으면서, 약 0.14 sccm/mm2 내지 약 0.33 sccm/mm2의 유량 및 약 1 토르 내지 약 5 토르의 챔버 압력으로, 상기 기판 프로세싱 챔버 내로 퍼징 가스를 유동시킴으로써, 상기 기판 프로세싱 챔버를 퍼징하는 단계
    를 포함하며,
    상기 퍼징 가스는 상기 기판 프로세싱 챔버의 내부 표면들 상의 알루미늄 플루오르화물을 포함하는 증착 잔여물과 화학적으로 반응적이고, 상기 퍼징 가스는 상기 기판 지지부의 표면 상에 비정질 실리콘 층을 증착하는,
    기판을 프로세싱하기 위한 방법.
  13. 제 12 항에 있어서,
    상기 기판 프로세싱 챔버를 퍼징하기 전에,
    상기 기판 프로세싱 챔버 내로 불소 라디칼들을 포함하는 세정 가스를 유동시키는 단계;
    상기 세정 가스로부터 플라즈마를 생성함으로써, 상기 기판 프로세싱 챔버의 내부 표면들로부터 증착 잔여물을 제거하는 단계 ― 상기 플라즈마는 제 1 챔버 압력 및 제 1 전극 간격으로 형성됨 ―; 및
    제 2 챔버 압력 및 상기 제 1 전극 간격과 상이한 제 2 전극 간격으로 상기 플라즈마를 유지함으로써, 상기 기판 프로세싱 챔버의 내부 표면들로부터 세정 잔여물을 제거하는 단계
    를 수행하는 단계를 더 포함하는,
    기판을 프로세싱하기 위한 방법.
  14. 제 12 항에 있어서,
    상기 기판 프로세싱 챔버를 퍼징한 후에, 상기 기판 프로세싱 챔버의 내부 표면들 상에 제 1 실리콘-함유 시즈닝 층을 증착하기 위해 제 1 챔버 시즈닝 프로세스를 수행하는 단계를 더 포함하며,
    상기 제 1 실리콘-함유 시즈닝 층은 실리콘 산화물 또는 비정질 실리콘을 포함하는,
    기판을 프로세싱하기 위한 방법.
  15. 제 14 항에 있어서,
    상기 제 1 실리콘-함유 시즈닝 층을 증착한 후에, 상기 제 1 실리콘-함유 시즈닝 층 상에 제 2 실리콘-함유 시즈닝 층을 증착하기 위해 제 2 챔버 시즈닝 프로세스를 수행하는 단계를 더 포함하며,
    상기 제 2 실리콘-함유 시즈닝 층은 도핑되지 않은 실리케이트 유리를 포함하는,
    기판을 프로세싱하기 위한 방법.
KR1020170136744A 2016-10-21 2017-10-20 가열기 상의 알루미늄 플루오르화물 축적을 방지하기 위한 기법 KR102481860B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662411316P 2016-10-21 2016-10-21
US62/411,316 2016-10-21

Publications (2)

Publication Number Publication Date
KR20180044214A true KR20180044214A (ko) 2018-05-02
KR102481860B1 KR102481860B1 (ko) 2022-12-26

Family

ID=61971040

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170136744A KR102481860B1 (ko) 2016-10-21 2017-10-20 가열기 상의 알루미늄 플루오르화물 축적을 방지하기 위한 기법

Country Status (3)

Country Link
US (1) US10892143B2 (ko)
KR (1) KR102481860B1 (ko)
TW (1) TWI738895B (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020060929A1 (en) * 2018-09-21 2020-03-26 Lam Research Corporation Method for conditioning a plasma processing chamber
JP2022501832A (ja) * 2018-10-05 2022-01-06 ラム リサーチ コーポレーションLam Research Corporation 処理チャンバの表面からの金属汚染物質の除去
KR20220024997A (ko) * 2019-06-26 2022-03-03 램 리써치 코포레이션 인 시츄 패시베이션을 통한 챔버-축적 확장
US11361976B2 (en) * 2019-11-25 2022-06-14 Tokyo Electron Limited Substrate processing method and plasma processing apparatus
CN110743876B (zh) * 2019-11-27 2022-02-15 江苏中宏机械制造有限公司 一种钢瓶自动洁净装置及其操作步骤
JP6956288B2 (ja) * 2020-04-30 2021-11-02 東京エレクトロン株式会社 基板処理方法、プラズマ処理装置、及びエッチングガス組成物

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
KR19990006869A (ko) * 1997-06-11 1999-01-25 조셉 제이. 스위니 챔버내에 시즈닝 박막을 피복하여 hdp-cvd 챔버내의 이동성 이온 및 금속오염원을 제거하기 위한 방법 및 장치
KR20010060818A (ko) * 1999-12-28 2001-07-07 박종섭 박막 트랜지스터-액정표시장치의 제조방법
KR20030057058A (ko) * 2001-12-28 2003-07-04 엘지.필립스 엘시디 주식회사 진공챔버 세정방법
KR20030084039A (ko) * 2002-04-24 2003-11-01 주식회사 하이닉스반도체 반도체 소자의 절연막 증착 방법
KR20050005347A (ko) * 2003-07-01 2005-01-13 주식회사 아이피에스 박막증착방법
KR20050102215A (ko) * 2004-04-21 2005-10-26 주식회사 아이피에스 박막 증착 방법
KR20060115274A (ko) * 2005-05-04 2006-11-08 삼성전자주식회사 반도체 공정을 위한 챔버의 시즈닝 방법
KR20070030596A (ko) * 2005-09-13 2007-03-16 삼성전자주식회사 화학기상증착 챔버의 시즈닝 방법

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01179166A (ja) * 1988-01-08 1989-07-17 Fuji Xerox Co Ltd 両極性帯電型電子写真感光体
JP3164956B2 (ja) * 1993-01-28 2001-05-14 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でアモルファスシリコン薄膜を堆積する方法
DE69420474T2 (de) 1993-06-30 2000-05-18 Applied Materials Inc Verfahren zum Spülen und Auspumpen einer Vakuumkammer bis Ultra-Hoch-Vakuum
EP0774772A1 (en) 1995-11-17 1997-05-21 Applied Materials, Inc. Methods for physically etching silicon electrically conducting surfaces
US5843239A (en) 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US20030143410A1 (en) * 1997-03-24 2003-07-31 Applied Materials, Inc. Method for reduction of contaminants in amorphous-silicon film
US6071573A (en) * 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6358327B1 (en) 1999-06-29 2002-03-19 Applied Materials, Inc. Method for endpoint detection using throttle valve position
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US20060005856A1 (en) 2004-06-29 2006-01-12 Applied Materials, Inc. Reduction of reactive gas attack on substrate heater
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US20140272184A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Methods for maintaining clean etch rate and reducing particulate contamination with pecvd of amorphous silicon filims

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
KR19990006869A (ko) * 1997-06-11 1999-01-25 조셉 제이. 스위니 챔버내에 시즈닝 박막을 피복하여 hdp-cvd 챔버내의 이동성 이온 및 금속오염원을 제거하기 위한 방법 및 장치
KR20010060818A (ko) * 1999-12-28 2001-07-07 박종섭 박막 트랜지스터-액정표시장치의 제조방법
KR20030057058A (ko) * 2001-12-28 2003-07-04 엘지.필립스 엘시디 주식회사 진공챔버 세정방법
KR20030084039A (ko) * 2002-04-24 2003-11-01 주식회사 하이닉스반도체 반도체 소자의 절연막 증착 방법
KR20050005347A (ko) * 2003-07-01 2005-01-13 주식회사 아이피에스 박막증착방법
KR20050102215A (ko) * 2004-04-21 2005-10-26 주식회사 아이피에스 박막 증착 방법
KR20060115274A (ko) * 2005-05-04 2006-11-08 삼성전자주식회사 반도체 공정을 위한 챔버의 시즈닝 방법
KR20070030596A (ko) * 2005-09-13 2007-03-16 삼성전자주식회사 화학기상증착 챔버의 시즈닝 방법

Also Published As

Publication number Publication date
TWI738895B (zh) 2021-09-11
TW201827649A (zh) 2018-08-01
US10892143B2 (en) 2021-01-12
US20180114679A1 (en) 2018-04-26
KR102481860B1 (ko) 2022-12-26

Similar Documents

Publication Publication Date Title
KR102481860B1 (ko) 가열기 상의 알루미늄 플루오르화물 축적을 방지하기 위한 기법
CN109075030B (zh) 用于在等离子体处理腔室中的原位腔室清洁效率提高的等离子体处理工艺
US10192717B2 (en) Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
JP5869923B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2020127004A (ja) シリコン酸化物の形態選択的な膜形成の方法
KR100855597B1 (ko) 육불화황 원격 플라즈마 소스 세정
US20070087579A1 (en) Semiconductor device manufacturing method
US20130017685A1 (en) Method of manufacturing semiconductor device and substrate processing apparatus
US11060189B2 (en) Method to enable high temperature processing without chamber drifting
JP6325057B2 (ja) 半導体素子の製造方法
US20190382889A1 (en) Technique to enable high temperature clean for rapid processing of wafers
US20200255940A1 (en) Method for cleaning process chamber
EP1154036A1 (en) Gas reactions to eliminate contaminates in a CVD chamber
JP3820212B2 (ja) Cvdチャンバクリーニング後にcvdチャンバをコンディショニングする方法
EP1154037A1 (en) Methods for improving chemical vapor deposition processing
EP1154038A1 (en) Method of conditioning a chamber for chemical vapor deposition
US20070054045A1 (en) Method for conditioning chemical vapor deposition chamber
US11380538B2 (en) Method of forming nitride film and apparatus for forming nitride film
US20160133441A1 (en) Etch enhancement via controlled introduction of chamber contaminants

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant