EP1458904A1 - Process for tungsten deposition by pulsed gas flow cvd - Google Patents

Process for tungsten deposition by pulsed gas flow cvd

Info

Publication number
EP1458904A1
EP1458904A1 EP02756489A EP02756489A EP1458904A1 EP 1458904 A1 EP1458904 A1 EP 1458904A1 EP 02756489 A EP02756489 A EP 02756489A EP 02756489 A EP02756489 A EP 02756489A EP 1458904 A1 EP1458904 A1 EP 1458904A1
Authority
EP
European Patent Office
Prior art keywords
containing gas
processing chamber
hydrogen
tungsten
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP02756489A
Other languages
German (de)
French (fr)
Inventor
Jong Hyun Yoo
Xinliang Lu
Chiliang Chen
Ken K. Lai
Chien-Teh Kao
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/023,125 external-priority patent/US20070009658A1/en
Priority claimed from US10/194,629 external-priority patent/US7211144B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of EP1458904A1 publication Critical patent/EP1458904A1/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]

Definitions

  • This invention relates to the processing of semiconductor substrates. More particularly, this invention relates to improvements in the process of depositing metal layers on semiconductor substrates.
  • Embodiments of the present invention relate to a process of forming a nucleation layer on a substrate disposed in a processing chamber.
  • One embodiment includes introducing one or more pulses of process gases, e.g., each pulse includes a hydrogen-containing gas and a tungsten-containing gas.
  • the hydrogen-containing gas and the tungsten-containing gas can be removed from the processing chamber between the pulses by flowing a purge gas therebetween and/or pumping the chamber.
  • Another embodiment includes introducing a first set of one or more pulses and a second set of one or more pulses.
  • Each pulse of the first set includes a first ratio of a hydrogen-containing gas and a tungsten-containing gas.
  • Each pulse of the second set includes a second ratio of the hydrogen-containing gas and the tungsten- containing gas.
  • the hydrogen-containing gas and the tungsten-containing gas can be removed from the processing chamber between each of the first set of pulses and between each of the second set of pulses by flowing a purge gas therebetween and/or pumping the chamber.
  • Still another embodiment includes introducing a first set of one or more pulses and a second set of one or more pulses.
  • Each pulse of the first set includes a first hydrogen-containing gas and a tungsten-containing gas.
  • the first hydrogen- containing gas and the tungsten-containing gas can be removed from the processing chamber between each of the first set of pulses by flowing a purge gas therebetween and/or pumping the chamber.
  • Each pulse of the second set includes a second hydrogen-containing gas and the tungsten-containing gas.
  • the second hydrogen-containing gas and the tungsten-containing gas can be removed from the processing chamber between each of the second set of pulses by flowing a purge gas therebetween and/or pumping the chamber.
  • Fig. 1 is a vertical cross-sectional view of one embodiment of a simplified chemical vapor deposition (CVD) system according to one embodiment of the present invention.
  • Fig. 2 is a vertical cross-sectional view of one embodiment of a resistively heated susceptor used in the processing chamber of Fig. 1 to secure a substrate disposed therein.
  • CVD chemical vapor deposition
  • Fig. 3 is a simplified plan view showing the connection of gas supplies to the CVD system shown above in Fig. 1.
  • Fig. 4 is a detailed cross-sectional view of a substrate shown above in Fig. 1 before nucleation of the substrate with a refractory metal layer.
  • Fig. 5 is a detailed cross-sectional view of the substrate shown above in Fig. 4 after nucleation and bulk deposition of the refractory metal layer, in accordance with one embodiment of the present invention.
  • Fig. 6 is a detailed cross-sectional view of the substrate showing deleterious effects of nucleation in accordance with prior art nucleation techniques.
  • Fig. 7 is a detailed cross-sectional view of a substrate shown above in Fig. 1 demonstrating the creation of a concentration boundary layer during nucleation of the substrate with a refractory metal layer.
  • Fig. 8 is a graph showing by-product concentration in the processing chamber shown in Fig. 1 , versus time during nucleation of a substrate with a refractory metal layer in accordance with the present invention.
  • Fig. 9 is a graph showing the thickness of a concentration boundary layer versus the time required for removing a process gas and by-products from a processing chamber, in accordance with the present invention.
  • Fig. 10 is a graph showing deposition rate of a refractory metal nucleation layer on a substrate versus the time required for removing a process gas and byproducts from a processing chamber, in accordance with the present invention.
  • Fig. 11 is a flowchart illustrating the process for depositing the refractory metal layer shown in Fig. 5, in accordance with one embodiment of the present invention.
  • Fig. 12 is a flowchart illustrating the process for depositing the refractory metal layer shown in Fig. 5, in accordance with a first alternate embodiment of the present invention.
  • Fig. 13 is a flowchart illustrating the process for depositing the refractory metal layer shown in Fig. 5, in accordance with a second alternate embodiment of the present invention.
  • Fig. 14 is a simplified diagram of system monitors used in association with the CVD system shown above in Figs. 1-3, in a multi-chamber system.
  • Fig. 15 shows an illustrative block diagram of the hierarchical control structure of the system control software employed to control the system shown above in Fig. 1.
  • System 10 is a parallel plate, cold-wall, chemical vapor deposition (CVD) system.
  • CVD system 10 has a processing chamber 12.
  • gas distribution manifold 14 Disposed within processing chamber 12 is a gas distribution manifold 14.
  • Gas distribution manifold 14 disperses deposition gases passing into processing chamber 12, with the deposition gases impinging upon a wafer 16 that rests on a resistively-heated susceptor 18.
  • Processing chamber 12 may be part of a vacuum processing system having multiple processing chambers connected to a central transfer chamber (not shown) and serviced by a robot (not shown).
  • Substrate 16 is brought into processing chamber 12 by a robot blade (not shown) through a slit valve (not shown) in a sidewall of processing chamber 12.
  • Susceptor 18 is moveable vertically by means of a motor 20.
  • Substrate 16 is brought into processing chamber 12 when susceptor 18 is in a first position 13 opposite the slit valve (not shown). At position
  • substrate 16 is supported initially by a set of pins 22 that pass through susceptor
  • Pins 22 are driven by a single motor assembly 20.
  • pins 22 retract into susceptor 18, to allow substrate 16 to rest on susceptor 18.
  • substrate 16 is affixed to the susceptor by a vacuum clamping system shown as grooves 39.
  • the substrate 16 may be affixed to the susceptor by an electrostatic chuck, a clamp ring, or other clamping system.
  • purge guide 37 which centers substrate 16 on susceptor 18.
  • Edge purge gas 23 is flowed through purge guide 37, across the edge of substrate 16 to prevent deposition gases from coming into contact with the edge and backside of substrate 16.
  • Purge gas 25 is also flowed around susceptor 18 to minimize deposition on or proximate to the same.
  • These purge gases are supplied from a purge line 24 and are also employed to protect stainless steel bellows 26 from damage by corrosive gases introduced into processing chamber 12 during processing.
  • valves 17 include valves 17a, 17b, 17c and 17d.
  • a feedline 31a places gas supply 31 in fluid communication with valves 17a and 17b.
  • a feedline 31 b places valve 17a in fluid communication with processing chamber 12.
  • a feedline 31c places valve 17b in fluid communication with foreline 35.
  • Feedline 33a places gas supply 31 in fluid communication with valves 17c and 17d.
  • Feedline 33b places valve 17c in fluid communication with processing chamber 1.2.
  • Feedline 33c places valve 17d in fluid communication with foreline 35.
  • Activation of valve 17a allows process gas from gas supply 31 to enter processing chamber 12.
  • Activation of valve 17c allows process gas from gas supply 33 to enter processing chamber 12.
  • Activation of valve 17b allows process gas from gas supply 31 to enter foreline 35, and activation of valve 17d allows process gas from gas supply 33 to enter foreline [0029]
  • gas supplied to manifold 14 is distributed uniformly across the surface of substrate 16, as shown by arrow 27. Spent processing gases and by-product gases are exhausted from processing chamber 12 by means of an exhaust system 36. The rate at which gases are released through exhaust system 36 into an exhaust line is controlled by a throttle valve (not shown).
  • a second purge gas is introduced through gas channels (not shown) present in susceptor 18.
  • Feedline 38 directs the purge gas against the edge of substrate 16, as previously described.
  • An RF power supply 48 can be coupled to manifold 14 to provide for plasma-enhanced CVD (PECVD) or cleaning of processing chamber 12.
  • PECVD plasma-enhanced CVD
  • the throttle valve (not shown), gas supply valves 17, motor 20, resistive heater coupled to susceptor 18, RF power supply 48, and other aspects of CVD system 10 are operated under control of a processor 42 over control lines 44 (only some of which are shown).
  • Processor 42 operates on a computer program stored in a computer-readable medium such as a memory 46.
  • System controller 42 controls all of the activities of the CVD machine.
  • the computer program includes sets of instructions that dictate the timing, mixture of gases, chamber pressure, chamber temperature, RF power levels, susceptor position, and other parameters of a particular process and is discussed more fully below.
  • Processor 42 may also operate other computer programs stored on other memory devices including, for example, a floppy disk or other another appropriate drive.
  • an exemplary use for system 10 is to deposit refractory metal layers on substrate 16 employing a nucleation technique to nucleate substrate 16 with a refractory metal layer.
  • substrate 16 includes a wafer 50 having one or more layers, shown as layer 52 present. Alternatively, no layers may be present on wafer 50.
  • Wafer 50 may be formed from any material suitable for semiconductor processing, such as silicon.
  • Layers 52 may be formed from any suitable material, including dielectric or conductive materials.
  • Layer 52 may include a void 54, exposing a region 56 of substrate 16, or a layer 59, such as a titanium nitride layer, disposed over layer 52 and wafer 50, shown more clearly in Fig. 5.
  • an example of a refractory metal layer deposited in accordance with one embodiment is a tungsten layer employed to form a contact adjacent to a barrier layer 59 formed from titanium nitride, TiN. Disposed between layer 52 and barrier layer 59 is an adhesion layer 58 formed from Titanium, Ti. Layers 59 and 58 conform to the profile of the void 54, covering region 56 and layer 52. Adjacent to barrier layer 59 is a nucleation layer 60 that is formed from tungsten, as discussed further below. Nucleation layer 60 conforms to the profile of layers 59 and 58, and therefore, conforms to the profile of void 54.
  • a bulk deposition layer 62 of tungsten Formed adjacent to the nucleation layer is a bulk deposition layer 62 of tungsten.
  • bulk deposition layer 62 is employed to form a contact.
  • Nucleation layer 60 serves to improve the step coverage of the resulting bulk deposition layer 62, and therefore, the resistivity of resulting contact 63.
  • pinch-off occurs that is shown in region 162a that is adjacent to upper areas 155 of void 154.
  • Pinch-off leaves a void 162b and results from a re-entrant profile of nucleation layer 160.
  • the re-entrant profile of nucleation layer 160 results from a concentration of gaseous material referred to herein as a concentration boundary layer (CBL) 160c that forms during the formation of nucleation layer 160 proximate to nadir 154a. It is believed that CBL 160c results from the by-products of the reactants resulting from formation of nucleation layer 160.
  • CBL concentration boundary layer
  • the byproducts of the reaction and outgassing from region 151 forms a gaseous material that provides CBL 160c with a thickness tcBi_.
  • the thickness tcB L increases the distance, also referred to as diffusion length, which the precursors must travel before reaching the region in void 154 upon which nucleation is to occur that is furthest from upper areas 155.
  • the region that is furthest from upper areas 155 upon which nucleation is to occur is surface 151a disposed proximate to nadir 154a.
  • This increased diffusion length results in an increase in the time required to deposit nucleation layer 160 on this region, compared to the nucleation time for deposition on other regions within void 154, such as upper regions 155.
  • nucleation layer 160 deposits much more rapidly in regions proximate to upper areas 155 than the surface 151a that is proximate to nadir 154a.
  • an exemplary process in which the drawbacks of CBL 160c are overcome with the present invention involves the deposition of a refractory metal layer, such as a tungsten layer.
  • a refractory metal layer such as a tungsten layer.
  • nucleation of substrate 16 is undertaken with tungsten-hexafluoride WF 6 being employed as a tungsten source and either molecular hydrogen, H 2 , silane, SiH , or diborane, B 2 H6, being employed as a hydrogen source.
  • the nucleation is defined by the following reaction equations:
  • Nucleation layer 60 is formed from W on the right hand side of the reaction equations 1 , 2 and 3, with HF and being one of the resulting byproducts from each of these reactions.
  • Reaction 1 also has a reaction byproduct that includes H 2 , which results from a hydrogen-rich environment.
  • Reaction 2 also includes SiFx and silane as additional byproducts, and equation 3 includes byproducts of B x F ⁇ , B x H ⁇ . It is the aforementioned by-products, coupled with outgassing from region 151 and reactions that occur from impurities in region 151 that produces gas-phase CBL 160c.
  • other tungsten containing gas such as tungsten carbonyl (W(CO)6), may also be used.
  • nucleation time t n the by-products of the deposition process and gases present are periodically removed from processing chamber 12 during nucleation. Specifically, at time t
  • the deposition rate, D , layer thickness, as well as uniformity and conformability of nucleation layer 60 may be controlled as a function of removal time t r .
  • the shorter the duration of t r the greater the improvement of thickness uniformity and conformability of nucleation layer 60 due to a reduction of the CBL, shown by curve 163 in Fig. 9.
  • the shorter the duration of removal time t r the greater the deposition time required to achieve nucleation, shown by curve 165 in Fig. 10.
  • the removal time, t r may be optimized to achieve maximum deposition rate while maximizing the thickness uniformity and conformableness of a nucleation layer.
  • the optimized duration for the removal time, t r is dependent upon many factors, such as the aspect ratio of void 54, shown in Fig. 5, the deposition chemistry, the process parameters and the like.
  • FIG. 1 An exemplary process for nucleating a substrate that takes the advantages of the principles set forth above into account, is described with respect to Figs. 1 , 8 and 11 , and the deposition of a tungsten layer.
  • the instructions to carryout the process to deposit a tungsten layer on substrate 16 are stored as a computer-readable program in memory 46, which is operated on by processor 42 to place substrate 16 in processing position 32, at step 300.
  • substrate 16 is heated to an appropriate temperature. In the present embodiment, substrate 16 is heated to approximately 400° C, but the desired temperature may be in the range between about 200°C to about 600°C, preferably between about 350°C and about 475°C, more preferably between about 375°C and about 450°C.
  • the chamber pressure is set to an initial pressure level of approximately 90 Torr, but may be in the range of 70 to 120 Torr.
  • a hydrogen-containing gas for example, silane, SiH , is then introduced into processing chamber 12, so that substrate 16 may soak in the same at optional step 306.
  • the soak time for substrate 16 is approximately 15 seconds.
  • the range of time over which substrate 16 soaks in silane may be in the range of 10 to 30 seconds.
  • silane is introduced into processing chamber 12 with a carrier gas.
  • the carrier gas may be Argon (Ar), Hydrogen gas (H 2 ), Nitrogen gas (N 2 ), Helium, other suitable gases, and combinations thereof.
  • the carrier gas comprises Argon, Ar, introduced at a flow rate approximately ten times greater than the rate at which silane is introduced.
  • Ar is introduced at a rate of approximately 1 ,000 standard cubic centimeters per second (seem) and silane at a rate of approximately 100 seem.
  • the flow chamber pressure is established to be approximately 15 Torr and may be in the range of 2 to 30 Torr.
  • Carrier gases are flowed into processing chamber 12 at step 310.
  • any carrier gas may be employed, one example employs Ar and molecular hydrogen, H 2 , each of which is introduced into processing chamber 12 at a rate in the range of 2000 to 6000 seem, with 4000 seem being an exemplary rate.
  • the carrier gases Ar and H 2 are introduced for approximately 10 seconds. However, the duration in which carrier gases are introduced into processing chamber 12 may range from 5 to 15 seconds.
  • step 308 and step 310 are preformed together in that carrier gases are flowed into the process chamber to establish a desired chamber pressure.
  • a hydrogen-containing gas is flowed into foreline 35
  • a tungsten-containing gas is flowed into foreline 35.
  • the rate at which gases are flowed into foreline 35 is regulated to create a mixture of hydrogen-containing gas and tungsten-containing gas in order to achieve a ratio of tungsten-containing gas to hydrogen-containing gas in the range of 1 :1 to 5:1.
  • the hydrogen-containing gas may be introduced with a carrier gas, such as Hydrogen gas (H 2 ), Argon, Nitrogen gas (N 2 ), Helium, other suitable gases, and combinations thereof.
  • the tungsten-containing gas may be introduced with a carrier gas, such as Argon gas, Nitrogen gas (N 2 ), Helium, other suitable gases, and combinations thereof.
  • a carrier gas such as Argon gas, Nitrogen gas (N 2 ), Helium, other suitable gases, and combinations thereof.
  • the hydrogen-containing gas that is employed is silane, SiH
  • the tungsten-containing gas that is employed is tungsten-hexafluoride, WFe.
  • Silane is flowed at a rate of about 20 seem and the tungsten-hexafluoride is flowed in at a rate of about 40 seem, for approximately 5 seconds.
  • SiH 4 is introduced with an H 2 carrier gas
  • WF 6 is introduced with an Ar carrier gas.
  • the mixture of SiH and WF 6 is flowed into foreline 35 before being diverted into processing chamber 12, in order to avoid pressure spikes that may cause particulate contamination. Specifically, the flow of SiH 4 and ⁇ NF & is stabilized in foreline 35, after which the SiH 4 and WF 6 mixture is introduced into processing chamber 12.
  • the mixture of SiH 4 and WF 6 is flowed into or pulsed into the processing chamber 12 to nucleate substrate 16 with tungsten.
  • the nucleation or pulse is carried-out for sufficient time to start nucleation of layer 160.
  • the nucleation time or pulse time is typically in the range between about 0.1 to about 10 seconds and is typically approximately about 1.5 seconds.
  • the introduction of the mixture of SiH 4 and WFe into processing chamber 12 as a pulse is halted before tc ⁇ L has reached a level to substantially hinder nucleation.
  • the mixture of SiH 4 and WFe is removed from processing chamber 12, along with the gaseous by-products of the reaction of the SiH - WFe nucleation. Removal of these gases may be achieved by reducing the chamber pressure, introducing a purge gas therein while maintaining chamber pressure, or both reducing the chamber pressure and introducing a purge gas therein. Typically the removal step lasts 3-12 seconds. Exemplary purge gases may be any inert gas such as Ar, N 2 , or He.
  • One embodiment of the method comprises removing the mixture of SiH 4 and WF ⁇ as well as the gaseous byproducts of the reaction of the SiH - WFe nucleation by reducing the chamber pressure to be in the range of approximately 1 to 3 Torr.
  • Another embodiment of the method comprises removing the mixture of SiH and WFe as well as the gaseous byproduct while maintaining chamber pressure. If the chamber pressure is maintained, then step 310 need not be repeated if a nucleation layer of desired thickness has not been deposited by step 322.
  • the hydrogen-containing gas that is employed is diborane, B 2 H 6 .
  • nucleation layer 60 is of sufficient, or desired, thickness. This determination may be achieved using any know process in the semiconductor art. For example, a spectroscopic measurement of the nucleation layer may be made. Alternatively, the thickness of nucleation layer 60 may be calculated, i.e., modeled, employing the known flow rates and other operational characteristics of system 10 and the deposition process. Were the desired thickness of nucleation layer 60 achieved, then the process would proceed to step 324 where a bulk deposition would occur to deposit tungsten layer 62 adjacent to nucleation layer 60 using conventional CVD techniques, PVD techniques, or other suitable techniques.
  • the bulk deposition is performed by CVD techniques in a common chamber with the deposition of the nucleation layer or on another chamber on a common mainframe.
  • the process ends at step 326. It should be understood that the nucleation may occur in a common chamber, two different chambers or a common mainframe or two different chambers of differing mainframes.
  • step 320 Were it determined, at step 320, that the nucleation layer was not of desired thickness, then the process proceeds to step 308 and repeats steps 308, 310, 312, 314, 316, 318, 320 and 322, until nucleation layer 60 obtains the desired thickness.
  • nucleation of substrate 16 is achieved employing multiple steps, namely, a pulse nucleation technique.
  • the nucleating gases are pulsed into processing chamber 12 for a few seconds and quickly removed by the rapid depressurization of processing chamber 12 or introduction of purge gases. This step typically lasts approximately 3 to 12 seconds. It is believed that the pulse nucleation technique reduces formation of a concentration boundary layer that results from outgassing when the surface is being nucleated.
  • a diffusive flux of reactants employed to nucleate the surface may substantially reduce the aforementioned outgassing.
  • the deleterious impact of the concentration boundary layer is found to be reduced with the present process.
  • the concentration boundary layer is allowed to form as large a size as possible while still maintaining suitable diffusive flux of reactants employed to nucleate the surface underlying the concentration boundary layer.
  • all of the process gases, reaction by-products and the material that forms the concentration boundary layer are removed from processing chamber 12 by rapidly depressurizing the same or introducing purge gases therein. This process is repeated until nucleation layer 60 reaches a suitable thickness.
  • one or more steps may be performed at the same time.
  • one embodiment comprises performing steps 312, 314, and 320 at the same time.
  • the hydrogen-containing gas and the tungsten-containing gas are flowed into a foreline of the process chamber during removal of the hydrogen-containing gas, tungsten-containing gas, and the byproducts from the processing chamber.
  • One embodiment comprises varying the ratio of the hydrogen-containing gas to the tungsten-containing between initial stages of nucleation and later stages of nucleation.
  • one embodiment comprises varying the ratio of silane (SiH ) to tungsten hexafluoride (WF 6 ).
  • Another embodiment comprises varying the ratio of diborane (B 2 He) to tungsten hexafluoride.
  • initial cycles comprising pulses containing a higher amount of hydrogen-containing gas than later cycles helps prevent the tungsten-containing gas, such as WF 6 , from attacking the layer below it. It is also believed later cycles comprising pulses containing a higher amount of tungsten-containing gas than initial cycles helps to provide improved step coverage of the formed film.
  • the process shown in Fig. 12, includes steps 400, 402, 404,
  • step 411a occurs after step 410.
  • processor 42 determines whether the ratio of the tungsten-containing gas to the hydrogen-containing gas has changed. If the ratio has not changed, the flow of the hydrogen-containing gas is resumed at step 412. Were the ratio changed, then step 411b would occur, in which a new flow rate for both the hydrogen-containing gas and the tungsten-containing gas would be set. Thereafter, step 412 would occur and the remaining steps would occur as discussed above, with respect to Fig. 11.
  • a hydrogen-containing gas and a tungsten-containing gas in step 416 is flowed into the chamber at a first ratio of hydrogen-containing gas to tungsten-containing gas for one or more "cycles" and then flowed at a second ratio for one or more cycles at a second ratio of hydrogen-containing gas to tungsten-containing gas less than the first ratio.
  • the first ratio of hydrogen-containing gas to tungsten-containing gas may be about 1 :1 and the second ratio may be about 1 :4.
  • Fig. 13 shows another alternate process for forming a tungsten layer.
  • the process shown in Fig. 13 includes steps 500, 502, 504, 506, 508, 510, 512, 514, 516, 518, 520, 522, 524 and 526 that are identical to steps 300, 302, 304, 306, 308, 310, 312, 314, 316, 318, 320, 322, 324 and 326, respectively, which are shown in Fig. 11. Additional steps 511a and 511 b, are included in the process shown in Fig. 13 to take into consideration a process in which the hydrogen-containing precursor changes from a first hydrogen containing gas to another hydrogen containing gas.
  • the hydrogen containing gases which may be used, include but are not limited to molecular hydrogen, H 2 , silane, SiH 4 , and diborane, B 2 H 6 .
  • use of SiH 4 during the initial nucleation may reduce the incubation time, reducing the time required to complete nucleation.
  • molecular hydrogen, H 2 may provide better step coverage than SiH .
  • use of B 2 H 6 during the initial nucleation may reduce the time required to complete nucleation due to its higher reactivity than SiH 4 . Then, during later stages of nucleation, SiH 4 and/or H 2 may be used to complete nucleation.
  • step 511a occurs after step 510.
  • processor 42 determines whether the same hydrogen-containing gas will be employed as was employed during an earlier nucleation process. If the type of hydrogen-containing gas has not changed, then the flow of the hydrogen-containing gas is resumed at step 512. Were the type of hydrogen-containing gas changed, then step 511 b would occur, in which a new supply of hydrogen-containing gas would be employed. Thereafter, step 512 would occur and the remaining steps would occur as discussed above with respect to Fig. 11.
  • the ratio of the first hydrogen containing gas and the tungsten containing gas may vary. Similarly, the ratio of the first hydrogen containing gas and the tungsten containing gas may vary.
  • processor 42 controls the operation of system 10 in accordance with the present invention.
  • processor 42 may contain a single-board computer (SBC), analog and digital input/output boards, interface boards and stepper motor controller boards.
  • Memory 46 may be any type known in the art, including a hard disk drive, a floppy disk drive, a RAID device, random access memory (RAM), read only memory (ROM) and the like.
  • Various parts of CVD system 10 conform to the Versa Modular European (VME) standard which defines board, card cage, and connector dimensions and types.
  • VME Versa Modular European
  • the VME standard also defines the bus structure as having a 16-bit data bus and a 24-bit address bus.
  • the computer program may be written in any conventional computer readable programming language: for example, 68000 assembly language, C, C++, Pascal, Fortran or others. Suitable programming language is entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as memory 46. If the entered language is high level, then the same is compiled; and the resultant compiler code is linked with an object code of precompiled Windows ® library routines. To execute the linked and compiled object code, a system user invokes the object code, causing the processor 42 to load the code in memory 46. Processor 42 then reads and executes the code to perform the tasks identified therein.
  • Suitable programming language is entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as memory 46. If the entered language is high level, then the same is compiled; and the resultant compiler code is linked with an object code of precompiled Windows ® library routines. To execute the linked and compiled
  • the interface between a user and processor 42 is via a CRT monitor 45 and light pen 47, shown in Fig. 14.
  • the embodiment shown includes two monitors 45, one mounted in the clean room wall for the operators and the other behind the wall for the service technicians. Monitors 45 may simultaneously display the same information, with only one light pen 47 is enabled.
  • a light sensor in the tip of light pen 47 detects light emitted by a CRT display screen associated with the monitor 45.
  • the operator touches a designated area of the display screen and pushes the button on the pen 47.
  • the touched area changes its highlighted color, or a new menu or screen is displayed, confirming communication between the light pen and the display screen.
  • Other devices, such as a keyboard, mouse, or other pointing or communication devices may be used instead of, or in addition to, light pen 47 to allow the user to communicate with controller 42.
  • FIG. 1 , 14 and 15 shown is an illustrative block diagram of the hierarchical control structure of a computer program 70 that is stored in memory 46 is shown.
  • a user uses the light pen interface, a user enters a process set number and processing chamber number into a process selector subroutine 73 in response to menus or screens displayed on the CRT monitor.
  • the process sets are the predetermined sets of process parameters necessary to carry out specified processes, and are identified by predefined set numbers.
  • the process selector subroutine 73 identifies (i) the desired processing chamber and (ii) the desired set of process parameters needed to operate the processing chamber for performing the desired process.
  • the process parameters for performing a specific process relate to process conditions, e.g., process gas composition and flow rates, temperature, pressure, plasma conditions such as RF power levels and the low frequency RF frequency, cooling gas pressure, and chamber wall temperature. These parameters are provided to the user in the form of a recipe, and are entered utilizing the light pen/ monitor 45 and 47 interface.
  • process conditions e.g., process gas composition and flow rates, temperature, pressure, plasma conditions such as RF power levels and the low frequency RF frequency, cooling gas pressure, and chamber wall temperature.
  • a process sequencer subroutine 75 comprises program code for accepting the identified processing chamber and set of process parameters from the process selector subroutine 73, and for controlling operation of the various processing chambers. Multiple users can enter process set numbers and processing chamber numbers or a user can enter multiple process set numbers and processing chamber numbers, so the sequencer subroutine 75 operates to schedule the selected processes in the desired sequence.
  • the sequencer subroutine 75 includes a program code to perform the steps of (i) monitoring the operation of the processing chambers to determine if the chambers are being used, (ii) determining what processes are being carried out in the chambers being used, and (iii) executing the desired process based on availability of a processing chamber and type of process to be carried out.
  • Conventional methods of monitoring the processing chambers can be used, such as polling.
  • sequencer subroutine 75 takes into consideration the present condition of the processing chamber, as well as other relevant factors.
  • the sequencer subroutine 75 determines which processing chamber and process set combination is going to be executed next, the sequencer subroutine 75 initiates execution of the process set by passing the particular process set parameters to a chamber manager subroutine 77a-c, which controls multiple processing tasks in a processing chamber 12 according to the process set determined by the sequencer subroutine 75.
  • the chamber manager subroutine 77a comprises program code for controlling sputtering and CVD process operations in the processing chamber 12.
  • the chamber manager subroutine 77 also controls execution of various chamber component subroutines that control operation of the chamber components necessary to carry out the selected process set. Examples of chamber component subroutines are substrate positioning subroutine 80, process gas control subroutine 83, pressure control subroutine 85, heater control subroutine 87 and plasma control subroutine 90, in some embodiments.
  • the chamber manager subroutine 77a selectively schedules or calls the process component subroutines, in accordance with the particular process set being executed.
  • the chamber manager subroutine 77a schedules the process component subroutines in a similar manner to the way in which the sequencer subroutine 75 schedules which processing chamber 12 and process set are to be executed next.
  • the chamber manager subroutine 77a includes steps of monitoring the various chamber components, determining which components need to be operated based on the process parameters for the process set to be executed, and causing execution of a chamber component subroutine responsive to the monitoring and determining steps.
  • substrate-positioning subroutine 80 comprises program code for controlling chamber components that are used to load the substrate onto susceptor 18.
  • substrate-positioning subroutine 80 may position substrate 16 within processing chamber 12, thereby controlling the distance between substrate 16 and gas distribution manifold 14.
  • susceptor 18 is lowered to receive the substrate, and thereafter, the susceptor 18 is raised to the desired height in processing chamber 12. In this manner, substrate 16 is maintained a first distance or spacing from the gas distribution manifold 14, during a deposition process.
  • Substrate positioning subroutine 80 controls movement of susceptor 18, in response to process set parameters related to the support height, which are transferred from the chamber manager subroutine 77a.
  • Process gas control subroutine 83 has program code for controlling process gas composition and flow rates.
  • Process gas control subroutine 83 controls the open/close position of the safety shut-off valves, and also ramps up/down the mass flow controllers to obtain the desired gas flow rate.
  • Process gas control subroutine 83 is invoked by chamber manager subroutine 77a, as are all chamber component subroutines, and receives process parameters related to the desired gas flow rates from the chamber manager subroutine 77a.
  • process gas control subroutine 83 operates by opening the gas supply lines and repeatedly (i) reading the necessary mass flow controllers, (ii) comparing the readings to the desired flow rates received from the chamber manager subroutine 77a, and (iii) adjusting the flow rates of the gas supply lines as necessary. Furthermore, process gas control subroutine 83 includes steps for monitoring the gas flow rates for unsafe rates and for activating the safety shut-off valves when an unsafe condition is detected.
  • an inert gas such as helium, He, or argon, Ar
  • process gas control subroutine 83 is programmed to include steps for flowing the inert gas into processing chamber 12 for an amount of time necessary to stabilize the pressure in the chamber. Then, the steps described above are carried out.
  • Pressure control subroutine 85 comprises program g code for controlling the chamber pressure by regulating the size of the opening of the throttle valve (not shown) in the exhaust system (not shown) of processing chamber 12.
  • the size of the opening of the throttle valve (not shown) is set to control the chamber pressure to the desired level, in relation to, the total process gas flow, size of the processing chamber, and pumping setpoint pressure for the exhaust system.
  • the target level is received as a parameter from chamber manager subroutine 77a.
  • Pressure control subroutine 85 operates to measure the chamber pressure by reading one or more conventional pressure manometers connected to the chamber in order to compare the measure value(s) to the target pressure, to obtain PID (proportional, integral, and differential) values from a stored pressure table corresponding to the target pressure, and to adjust the throttle valve accordingly.
  • pressure control subroutine 85 may adjust the throttle valve (not shown) to regulate the chamber pressure.
  • Heater control subroutine 87 comprises program code for controlling the current to a heating unit that is used to heat the substrate 16. Heater control subroutine 87 is also invoked by chamber manager subroutine 77a and receives a target, or set-point, temperature parameter. Heater control subroutine 87 measures the temperature by measuring the voltage output of a thermocouple located in pedestal 18. Heater control subroutine 87 also compares the measured temperature to the set-point temperature, and increases or decreases current applied to the heating unit to obtain the set-point temperature. The temperature is obtained from the measured voltage by looking up the corresponding temperature in a stored conversion table, or by calculating the temperature using a fourth-order polynomial.
  • heater control subroutine 87 would gradually control a ramp up/down of current applied to the loop. Additionally, a built-in fail-safe mode could be included to detect process safety compliance, and could shut down operation of the heating unit if the processing chamber 12 were not properly set up.
  • processing chamber 12 is outfitted with an RF power supply 48 that is used for chamber cleaning or other operations.
  • plasma control subroutine 90 would comprise program code for setting the frequency RF power levels applied to the process electrodes in the chamber 12.
  • plasma control subroutine 90 would be invoked by chamber manager subroutine 77a.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Embodiments of the present invention relate to a process of forming a nucleation layer on a substrate disposed in a processing chamber. One embodiment includes introducing one or more pulses of process gases, e.g., each pulse includes a hydrogen-containing gas and a tungsten-containing gas. The hydrogen-containing gas and the tungsten-containing gas can be removed from the processing chamber between the pulses by flowing a purge gas therebetween and/or pumping the chamber.

Description

PROCESS FOR TUNGSTEN DEPOSITION BY PULSED GAS FLOW CVD
BACKGROUND OF THE INVENTION Field of the Invention
[0001] This invention relates to the processing of semiconductor substrates. More particularly, this invention relates to improvements in the process of depositing metal layers on semiconductor substrates.
Description of the Related Art
[0002] The semiconductor processing industry continues to strive for larger production yields while increasing the uniformity of layers deposited on substrates with larger surface areas. These same factors, in combination with new materials, also provide higher integration of circuits per unit area of the substrate. As circuit integration increases, the need for greater uniformity and process control regarding the physical and electrical properties of deposited metal layers is desired. To that end, nucleation of a substrate with material prior to layer formation has proved particularly beneficial.
[0003] Nonetheless, improved nucleation techniques to deposit metal layers are desirable.
SUMMARY OF THE INVENTION
[0004] Embodiments of the present invention relate to a process of forming a nucleation layer on a substrate disposed in a processing chamber. One embodiment includes introducing one or more pulses of process gases, e.g., each pulse includes a hydrogen-containing gas and a tungsten-containing gas. The hydrogen-containing gas and the tungsten-containing gas can be removed from the processing chamber between the pulses by flowing a purge gas therebetween and/or pumping the chamber.
[0005] Another embodiment includes introducing a first set of one or more pulses and a second set of one or more pulses. Each pulse of the first set includes a first ratio of a hydrogen-containing gas and a tungsten-containing gas. Each pulse of the second set includes a second ratio of the hydrogen-containing gas and the tungsten- containing gas. The hydrogen-containing gas and the tungsten-containing gas can be removed from the processing chamber between each of the first set of pulses and between each of the second set of pulses by flowing a purge gas therebetween and/or pumping the chamber.
[0006] Still another embodiment includes introducing a first set of one or more pulses and a second set of one or more pulses. Each pulse of the first set includes a first hydrogen-containing gas and a tungsten-containing gas. The first hydrogen- containing gas and the tungsten-containing gas can be removed from the processing chamber between each of the first set of pulses by flowing a purge gas therebetween and/or pumping the chamber. Each pulse of the second set includes a second hydrogen-containing gas and the tungsten-containing gas. The second hydrogen-containing gas and the tungsten-containing gas can be removed from the processing chamber between each of the second set of pulses by flowing a purge gas therebetween and/or pumping the chamber.
BRIEF DESCRIPTION OF THE DRAWINGS
[0007] So that the manner in which the above recited features, advantages and objects of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.
[0008] It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0009] Fig. 1 is a vertical cross-sectional view of one embodiment of a simplified chemical vapor deposition (CVD) system according to one embodiment of the present invention. [0010] Fig. 2 is a vertical cross-sectional view of one embodiment of a resistively heated susceptor used in the processing chamber of Fig. 1 to secure a substrate disposed therein.
[0011] Fig. 3 is a simplified plan view showing the connection of gas supplies to the CVD system shown above in Fig. 1.
[0012] Fig. 4 is a detailed cross-sectional view of a substrate shown above in Fig. 1 before nucleation of the substrate with a refractory metal layer.
[0013] Fig. 5 is a detailed cross-sectional view of the substrate shown above in Fig. 4 after nucleation and bulk deposition of the refractory metal layer, in accordance with one embodiment of the present invention.
[0014] Fig. 6 is a detailed cross-sectional view of the substrate showing deleterious effects of nucleation in accordance with prior art nucleation techniques.
[0015] Fig. 7 is a detailed cross-sectional view of a substrate shown above in Fig. 1 demonstrating the creation of a concentration boundary layer during nucleation of the substrate with a refractory metal layer.
[0016] Fig. 8 is a graph showing by-product concentration in the processing chamber shown in Fig. 1 , versus time during nucleation of a substrate with a refractory metal layer in accordance with the present invention.
[0017] Fig. 9 is a graph showing the thickness of a concentration boundary layer versus the time required for removing a process gas and by-products from a processing chamber, in accordance with the present invention.
[0018] Fig. 10 is a graph showing deposition rate of a refractory metal nucleation layer on a substrate versus the time required for removing a process gas and byproducts from a processing chamber, in accordance with the present invention.
[0019] Fig. 11 is a flowchart illustrating the process for depositing the refractory metal layer shown in Fig. 5, in accordance with one embodiment of the present invention. [0020] Fig. 12 is a flowchart illustrating the process for depositing the refractory metal layer shown in Fig. 5, in accordance with a first alternate embodiment of the present invention.
[0021] Fig. 13 is a flowchart illustrating the process for depositing the refractory metal layer shown in Fig. 5, in accordance with a second alternate embodiment of the present invention.
[0022] Fig. 14 is a simplified diagram of system monitors used in association with the CVD system shown above in Figs. 1-3, in a multi-chamber system.
[0023] Fig. 15 shows an illustrative block diagram of the hierarchical control structure of the system control software employed to control the system shown above in Fig. 1.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
[0024] Referring to Figs. 1 and 2, an exemplary processing system 10 is shown employed to deposit a refractory metal film, in accordance with one embodiment of the present invention. System 10 is a parallel plate, cold-wall, chemical vapor deposition (CVD) system. CVD system 10 has a processing chamber 12. Disposed within processing chamber 12 is a gas distribution manifold 14. Gas distribution manifold 14 disperses deposition gases passing into processing chamber 12, with the deposition gases impinging upon a wafer 16 that rests on a resistively-heated susceptor 18.
[0025] Processing chamber 12 may be part of a vacuum processing system having multiple processing chambers connected to a central transfer chamber (not shown) and serviced by a robot (not shown). Substrate 16 is brought into processing chamber 12 by a robot blade (not shown) through a slit valve (not shown) in a sidewall of processing chamber 12. Susceptor 18 is moveable vertically by means of a motor 20. Substrate 16 is brought into processing chamber 12 when susceptor 18 is in a first position 13 opposite the slit valve (not shown). At position
13, substrate 16 is supported initially by a set of pins 22 that pass through susceptor
18. Pins 22 are driven by a single motor assembly 20. [0026] As susceptor 18 is brought to a processing position 32, located opposite gas distribution manifold 14, pins 22 retract into susceptor 18, to allow substrate 16 to rest on susceptor 18. Once positioned on susceptor 18, substrate 16 is affixed to the susceptor by a vacuum clamping system shown as grooves 39. Alternatively, the substrate 16 may be affixed to the susceptor by an electrostatic chuck, a clamp ring, or other clamping system.
[0027] As it moves upward toward processing position 32, substrate 16 contacts purge guide 37, which centers substrate 16 on susceptor 18. Edge purge gas 23 is flowed through purge guide 37, across the edge of substrate 16 to prevent deposition gases from coming into contact with the edge and backside of substrate 16. Purge gas 25 is also flowed around susceptor 18 to minimize deposition on or proximate to the same. These purge gases are supplied from a purge line 24 and are also employed to protect stainless steel bellows 26 from damage by corrosive gases introduced into processing chamber 12 during processing.
[0028] Referring to Figs. 1 and 3, deposition and carrier gases are supplied to a deposition zone of processing chamber 12, through gas lines 19, to manifold 14 in response to the control of valves 17. To that end, provided are gas supplies 31 and 33 that are selectively placed in fluid communication with processing chamber 12 by valves 17. Specifically, valves 17 include valves 17a, 17b, 17c and 17d. A feedline 31a places gas supply 31 in fluid communication with valves 17a and 17b. A feedline 31 b places valve 17a in fluid communication with processing chamber 12. A feedline 31c places valve 17b in fluid communication with foreline 35. Feedline 33a places gas supply 31 in fluid communication with valves 17c and 17d. Feedline 33b places valve 17c in fluid communication with processing chamber 1.2. Feedline 33c places valve 17d in fluid communication with foreline 35. Activation of valve 17a allows process gas from gas supply 31 to enter processing chamber 12. Activation of valve 17c allows process gas from gas supply 33 to enter processing chamber 12. Activation of valve 17b allows process gas from gas supply 31 to enter foreline 35, and activation of valve 17d allows process gas from gas supply 33 to enter foreline [0029] Referring again to Figs. 1 and 2, during processing, gas supplied to manifold 14 is distributed uniformly across the surface of substrate 16, as shown by arrow 27. Spent processing gases and by-product gases are exhausted from processing chamber 12 by means of an exhaust system 36. The rate at which gases are released through exhaust system 36 into an exhaust line is controlled by a throttle valve (not shown). During deposition, a second purge gas is introduced through gas channels (not shown) present in susceptor 18. Feedline 38 directs the purge gas against the edge of substrate 16, as previously described. An RF power supply 48 can be coupled to manifold 14 to provide for plasma-enhanced CVD (PECVD) or cleaning of processing chamber 12.
[0030] The throttle valve (not shown), gas supply valves 17, motor 20, resistive heater coupled to susceptor 18, RF power supply 48, and other aspects of CVD system 10 are operated under control of a processor 42 over control lines 44 (only some of which are shown). Processor 42 operates on a computer program stored in a computer-readable medium such as a memory 46. System controller 42 controls all of the activities of the CVD machine. The computer program includes sets of instructions that dictate the timing, mixture of gases, chamber pressure, chamber temperature, RF power levels, susceptor position, and other parameters of a particular process and is discussed more fully below. Processor 42 may also operate other computer programs stored on other memory devices including, for example, a floppy disk or other another appropriate drive.
[0031] Referring to Figs. 1 and 4, an exemplary use for system 10 is to deposit refractory metal layers on substrate 16 employing a nucleation technique to nucleate substrate 16 with a refractory metal layer. To that end, substrate 16 includes a wafer 50 having one or more layers, shown as layer 52 present. Alternatively, no layers may be present on wafer 50. Wafer 50 may be formed from any material suitable for semiconductor processing, such as silicon. Layers 52 may be formed from any suitable material, including dielectric or conductive materials. Layer 52 may include a void 54, exposing a region 56 of substrate 16, or a layer 59, such as a titanium nitride layer, disposed over layer 52 and wafer 50, shown more clearly in Fig. 5. [0032] Referring to Fig. 5, an example of a refractory metal layer deposited in accordance with one embodiment is a tungsten layer employed to form a contact adjacent to a barrier layer 59 formed from titanium nitride, TiN. Disposed between layer 52 and barrier layer 59 is an adhesion layer 58 formed from Titanium, Ti. Layers 59 and 58 conform to the profile of the void 54, covering region 56 and layer 52. Adjacent to barrier layer 59 is a nucleation layer 60 that is formed from tungsten, as discussed further below. Nucleation layer 60 conforms to the profile of layers 59 and 58, and therefore, conforms to the profile of void 54. Formed adjacent to the nucleation layer is a bulk deposition layer 62 of tungsten. In this example, bulk deposition layer 62 is employed to form a contact. Nucleation layer 60 serves to improve the step coverage of the resulting bulk deposition layer 62, and therefore, the resistivity of resulting contact 63.
[0033] Difficulty arises when depositing nucleation layer 60. Specifically, as the aspect ratio of void 54 increases, so does the difficulty in producing a nucleation layer having uniform thickness and acceptable conformableness.
[0034] Referring to Figs. 6 and 7, in an extreme case, pinch-off occurs that is shown in region 162a that is adjacent to upper areas 155 of void 154. Pinch-off leaves a void 162b and results from a re-entrant profile of nucleation layer 160. It is believed that the re-entrant profile of nucleation layer 160 results from a concentration of gaseous material referred to herein as a concentration boundary layer (CBL) 160c that forms during the formation of nucleation layer 160 proximate to nadir 154a. It is believed that CBL 160c results from the by-products of the reactants resulting from formation of nucleation layer 160. Specifically, the byproducts of the reaction and outgassing from region 151 forms a gaseous material that provides CBL 160c with a thickness tcBi_. The thickness tcBL increases the distance, also referred to as diffusion length, which the precursors must travel before reaching the region in void 154 upon which nucleation is to occur that is furthest from upper areas 155. In the present example the region that is furthest from upper areas 155 upon which nucleation is to occur is surface 151a disposed proximate to nadir 154a. This increased diffusion length results in an increase in the time required to deposit nucleation layer 160 on this region, compared to the nucleation time for deposition on other regions within void 154, such as upper regions 155. As a result, nucleation layer 160 deposits much more rapidly in regions proximate to upper areas 155 than the surface 151a that is proximate to nadir 154a.
[0035] Referring to Figs. 5 and 7, an exemplary process in which the drawbacks of CBL 160c are overcome with the present invention involves the deposition of a refractory metal layer, such as a tungsten layer. To that end, nucleation of substrate 16 is undertaken with tungsten-hexafluoride WF6 being employed as a tungsten source and either molecular hydrogen, H2, silane, SiH , or diborane, B2H6, being employed as a hydrogen source. The nucleation is defined by the following reaction equations:
(1 ) WF6 + H2 → HF + W + H2
(2) WF6 + SiH4 → HF + W + SiH4 + SiFx
(3) WF6 + B2H6 - HF + W + BXFY + Bx
Nucleation layer 60 is formed from W on the right hand side of the reaction equations 1 , 2 and 3, with HF and being one of the resulting byproducts from each of these reactions. Reaction 1 also has a reaction byproduct that includes H2, which results from a hydrogen-rich environment. Reaction 2 also includes SiFx and silane as additional byproducts, and equation 3 includes byproducts of BxFγ, BxHγ. It is the aforementioned by-products, coupled with outgassing from region 151 and reactions that occur from impurities in region 151 that produces gas-phase CBL 160c. In other embodiments, other tungsten containing gas, such as tungsten carbonyl (W(CO)6), may also be used.
[0036] Referring to Figs. 1 , 7 and 8, to reduce, if not avoid, the problems presented by CBL 160c, the by-products of the deposition process and gases present are periodically removed from processing chamber 12 during nucleation. Specifically, at time t|, the process gases are first introduced into processing chamber 12. As time progresses, formation of nucleation layer 160 continues that results in increased concentration of by-products and increased quantities of material outgassed from region 151. At time t2, the introduction of process gases into processing chamber 12 is terminated. Thus, between time t| and t2) nucleation occurs, referred to as nucleation time tn. Concurrent with termination of the flow of process gas into processing chamber 12 at time t2, removal of the same is effectuated. This may be achieved by introducing an inert purge gas, such as Ar or N2, or by rapidly depressurizing processing chamber 12 or both. The desired result, however, is that by time t3, process gases and by-products and material outgassed from region 151 , which attribute to the formation of CBL 160c, are removed from processing chamber 12. The time interval between t2 and t3 is referred to as removal time tr. At time t3, processing chamber 12 is once again pressurized and the process gas introduced at time t4 to continue nucleation of substrate.
[0037] It was discovered that for a given nucleation time tn, the deposition rate, D , layer thickness, as well as uniformity and conformability of nucleation layer 60 may be controlled as a function of removal time tr. Specifically, the shorter the duration of tr, the greater the improvement of thickness uniformity and conformability of nucleation layer 60 due to a reduction of the CBL, shown by curve 163 in Fig. 9. However, the shorter the duration of removal time tr, the greater the deposition time required to achieve nucleation, shown by curve 165 in Fig. 10. Therefore, for a given nucleation time, tn, the removal time, tr, may be optimized to achieve maximum deposition rate while maximizing the thickness uniformity and conformableness of a nucleation layer. The optimized duration for the removal time, tr, is dependent upon many factors, such as the aspect ratio of void 54, shown in Fig. 5, the deposition chemistry, the process parameters and the like.
[0038] An exemplary process for nucleating a substrate that takes the advantages of the principles set forth above into account, is described with respect to Figs. 1 , 8 and 11 , and the deposition of a tungsten layer. The instructions to carryout the process to deposit a tungsten layer on substrate 16 are stored as a computer-readable program in memory 46, which is operated on by processor 42 to place substrate 16 in processing position 32, at step 300. At step 302, substrate 16 is heated to an appropriate temperature. In the present embodiment, substrate 16 is heated to approximately 400° C, but the desired temperature may be in the range between about 200°C to about 600°C, preferably between about 350°C and about 475°C, more preferably between about 375°C and about 450°C. It has been found that deposition at a low temperature improves step coverage. However, if the temperature is too low, then the stress of the film is too high. It has also been found that a high substrate temperature may increase the attack by the tungsten- containing gas of the layer below it. At step 304, the chamber pressure is set to an initial pressure level of approximately 90 Torr, but may be in the range of 70 to 120 Torr. A hydrogen-containing gas, for example, silane, SiH , is then introduced into processing chamber 12, so that substrate 16 may soak in the same at optional step 306. The soak time for substrate 16 is approximately 15 seconds. However, the range of time over which substrate 16 soaks in silane may be in the range of 10 to 30 seconds. To that end, silane is introduced into processing chamber 12 with a carrier gas. The carrier gas may be Argon (Ar), Hydrogen gas (H2), Nitrogen gas (N2), Helium, other suitable gases, and combinations thereof. In one embodiment, the carrier gas comprises Argon, Ar, introduced at a flow rate approximately ten times greater than the rate at which silane is introduced. In one example, Ar is introduced at a rate of approximately 1 ,000 standard cubic centimeters per second (seem) and silane at a rate of approximately 100 seem.
[0039] At step 308, the flow chamber pressure is established to be approximately 15 Torr and may be in the range of 2 to 30 Torr. Carrier gases are flowed into processing chamber 12 at step 310. Although any carrier gas may be employed, one example employs Ar and molecular hydrogen, H2, each of which is introduced into processing chamber 12 at a rate in the range of 2000 to 6000 seem, with 4000 seem being an exemplary rate. The carrier gases Ar and H2 are introduced for approximately 10 seconds. However, the duration in which carrier gases are introduced into processing chamber 12 may range from 5 to 15 seconds. In one embodiment, step 308 and step 310 are preformed together in that carrier gases are flowed into the process chamber to establish a desired chamber pressure.
[0040] Referring to both Figs. 3 and 11 , at step 312 a hydrogen-containing gas is flowed into foreline 35, and at step 314 a tungsten-containing gas is flowed into foreline 35. The rate at which gases are flowed into foreline 35 is regulated to create a mixture of hydrogen-containing gas and tungsten-containing gas in order to achieve a ratio of tungsten-containing gas to hydrogen-containing gas in the range of 1 :1 to 5:1. The hydrogen-containing gas may be introduced with a carrier gas, such as Hydrogen gas (H2), Argon, Nitrogen gas (N2), Helium, other suitable gases, and combinations thereof. The tungsten-containing gas may be introduced with a carrier gas, such as Argon gas, Nitrogen gas (N2), Helium, other suitable gases, and combinations thereof. In one example, the hydrogen-containing gas that is employed is silane, SiH , and the tungsten-containing gas that is employed is tungsten-hexafluoride, WFe. Silane is flowed at a rate of about 20 seem and the tungsten-hexafluoride is flowed in at a rate of about 40 seem, for approximately 5 seconds. In one embodiment, SiH4 is introduced with an H2 carrier gas, and WF6 is introduced with an Ar carrier gas. The mixture of SiH and WF6 is flowed into foreline 35 before being diverted into processing chamber 12, in order to avoid pressure spikes that may cause particulate contamination. Specifically, the flow of SiH4 and \NF& is stabilized in foreline 35, after which the SiH4 and WF6 mixture is introduced into processing chamber 12.
[0041] Referring again to Figs. 1 and 11 , at step 316, the mixture of SiH4 and WF6 is flowed into or pulsed into the processing chamber 12 to nucleate substrate 16 with tungsten. The nucleation or pulse is carried-out for sufficient time to start nucleation of layer 160. The nucleation time or pulse time is typically in the range between about 0.1 to about 10 seconds and is typically approximately about 1.5 seconds. At step 318, the introduction of the mixture of SiH4 and WFe into processing chamber 12 as a pulse is halted before tcβL has reached a level to substantially hinder nucleation. At step 320, the mixture of SiH4 and WFe is removed from processing chamber 12, along with the gaseous by-products of the reaction of the SiH - WFe nucleation. Removal of these gases may be achieved by reducing the chamber pressure, introducing a purge gas therein while maintaining chamber pressure, or both reducing the chamber pressure and introducing a purge gas therein. Typically the removal step lasts 3-12 seconds. Exemplary purge gases may be any inert gas such as Ar, N2, or He. One embodiment of the method comprises removing the mixture of SiH4 and WFδ as well as the gaseous byproducts of the reaction of the SiH - WFe nucleation by reducing the chamber pressure to be in the range of approximately 1 to 3 Torr. Another embodiment of the method comprises removing the mixture of SiH and WFe as well as the gaseous byproduct while maintaining chamber pressure. If the chamber pressure is maintained, then step 310 need not be repeated if a nucleation layer of desired thickness has not been deposited by step 322. In addition, in other embodiments, the hydrogen-containing gas that is employed is diborane, B2H6.
[0042] Referring to Figs. 1 , 5 and 11 , at step 322, it is determined whether nucleation layer 60 is of sufficient, or desired, thickness. This determination may be achieved using any know process in the semiconductor art. For example, a spectroscopic measurement of the nucleation layer may be made. Alternatively, the thickness of nucleation layer 60 may be calculated, i.e., modeled, employing the known flow rates and other operational characteristics of system 10 and the deposition process. Were the desired thickness of nucleation layer 60 achieved, then the process would proceed to step 324 where a bulk deposition would occur to deposit tungsten layer 62 adjacent to nucleation layer 60 using conventional CVD techniques, PVD techniques, or other suitable techniques. Preferably, the bulk deposition is performed by CVD techniques in a common chamber with the deposition of the nucleation layer or on another chamber on a common mainframe. After deposition of the bulk tungsten layer 62, the process ends at step 326. It should be understood that the nucleation may occur in a common chamber, two different chambers or a common mainframe or two different chambers of differing mainframes.
[0043] Were it determined, at step 320, that the nucleation layer was not of desired thickness, then the process proceeds to step 308 and repeats steps 308, 310, 312, 314, 316, 318, 320 and 322, until nucleation layer 60 obtains the desired thickness. In this manner, nucleation of substrate 16 is achieved employing multiple steps, namely, a pulse nucleation technique. The nucleating gases are pulsed into processing chamber 12 for a few seconds and quickly removed by the rapid depressurization of processing chamber 12 or introduction of purge gases. This step typically lasts approximately 3 to 12 seconds. It is believed that the pulse nucleation technique reduces formation of a concentration boundary layer that results from outgassing when the surface is being nucleated. Specifically, it is believed that a diffusive flux of reactants employed to nucleate the surface may substantially reduce the aforementioned outgassing. The deleterious impact of the concentration boundary layer is found to be reduced with the present process. In the present process, the concentration boundary layer is allowed to form as large a size as possible while still maintaining suitable diffusive flux of reactants employed to nucleate the surface underlying the concentration boundary layer. Thereafter, all of the process gases, reaction by-products and the material that forms the concentration boundary layer are removed from processing chamber 12 by rapidly depressurizing the same or introducing purge gases therein. This process is repeated until nucleation layer 60 reaches a suitable thickness. It has been found that the above process as described in relation to Figure 11 may be used to provide a tungsten nucleation layer to a step coverage of about 60% or greater over 0.17 //m plugs having an aspect ratio of about 8:1 or greater in comparison to a step coverage of about 30% utilizing prior techniques.
[0044] In the process as shown in Figure 11 , one or more steps may be performed at the same time. For example, one embodiment comprises performing steps 312, 314, and 320 at the same time. The hydrogen-containing gas and the tungsten-containing gas are flowed into a foreline of the process chamber during removal of the hydrogen-containing gas, tungsten-containing gas, and the byproducts from the processing chamber.
[0045] Referring to Fig. 7, an alternate process for forming a tungsten layer to enhance step coverage is shown. One embodiment comprises varying the ratio of the hydrogen-containing gas to the tungsten-containing between initial stages of nucleation and later stages of nucleation. For example, one embodiment comprises varying the ratio of silane (SiH ) to tungsten hexafluoride (WF6). Another embodiment comprises varying the ratio of diborane (B2He) to tungsten hexafluoride.
[0046] It is believed initial cycles comprising pulses containing a higher amount of hydrogen-containing gas than later cycles helps prevent the tungsten-containing gas, such as WF6, from attacking the layer below it. It is also believed later cycles comprising pulses containing a higher amount of tungsten-containing gas than initial cycles helps to provide improved step coverage of the formed film.
[0047] To that end, the process, shown in Fig. 12, includes steps 400, 402, 404,
406, 408, 410, 412, 414, 416, 418, 420, 422, 424 and 426 that are identical to steps
300, 302, 304, 306, 308, 310, 312, 314, 316, 318, 320, 322, 324 and 326, respectively, as shown in Fig. 11. Additional steps 411a and 411b are included in the process shown in Fig. 12 to take into consideration a process in which the ratio the tungsten-containing gas to the hydrogen-containing gas may have changed.
[0048] Referring to both Figs. 1 and 12, step 411a occurs after step 410. At step 411a, processor 42 determines whether the ratio of the tungsten-containing gas to the hydrogen-containing gas has changed. If the ratio has not changed, the flow of the hydrogen-containing gas is resumed at step 412. Were the ratio changed, then step 411b would occur, in which a new flow rate for both the hydrogen-containing gas and the tungsten-containing gas would be set. Thereafter, step 412 would occur and the remaining steps would occur as discussed above, with respect to Fig. 11.
[0049] In one embodiment, a hydrogen-containing gas and a tungsten-containing gas in step 416 is flowed into the chamber at a first ratio of hydrogen-containing gas to tungsten-containing gas for one or more "cycles" and then flowed at a second ratio for one or more cycles at a second ratio of hydrogen-containing gas to tungsten-containing gas less than the first ratio. For example, the first ratio of hydrogen-containing gas to tungsten-containing gas may be about 1 :1 and the second ratio may be about 1 :4.
[0050] Fig. 13 shows another alternate process for forming a tungsten layer.. The process shown in Fig. 13 includes steps 500, 502, 504, 506, 508, 510, 512, 514, 516, 518, 520, 522, 524 and 526 that are identical to steps 300, 302, 304, 306, 308, 310, 312, 314, 316, 318, 320, 322, 324 and 326, respectively, which are shown in Fig. 11. Additional steps 511a and 511 b, are included in the process shown in Fig. 13 to take into consideration a process in which the hydrogen-containing precursor changes from a first hydrogen containing gas to another hydrogen containing gas. The hydrogen containing gases which may be used, include but are not limited to molecular hydrogen, H2, silane, SiH4, and diborane, B2H6.
[0051] For example, use of SiH4 during the initial nucleation may reduce the incubation time, reducing the time required to complete nucleation. However, molecular hydrogen, H2, may provide better step coverage than SiH . As a result, it may be beneficial to initiate nucleation with SiH as a hydrogen-containing precursor and complete nucleation with molecular hydrogen, H2.
[0052] In another example, use of B2H6 during the initial nucleation may reduce the time required to complete nucleation due to its higher reactivity than SiH4. Then, during later stages of nucleation, SiH4 and/or H2 may be used to complete nucleation.
[0053] Referring to both Figs. 1 and 13, step 511a occurs after step 510. At step 511a, processor 42 determines whether the same hydrogen-containing gas will be employed as was employed during an earlier nucleation process. If the type of hydrogen-containing gas has not changed, then the flow of the hydrogen-containing gas is resumed at step 512. Were the type of hydrogen-containing gas changed, then step 511 b would occur, in which a new supply of hydrogen-containing gas would be employed. Thereafter, step 512 would occur and the remaining steps would occur as discussed above with respect to Fig. 11. In other embodiments, if the hydrogen containing gas is changed, the ratio of the first hydrogen containing gas and the tungsten containing gas may vary. Similarly, the ratio of the first hydrogen containing gas and the tungsten containing gas may vary.
[0054] As stated above, processor 42 controls the operation of system 10 in accordance with the present invention. To that end, processor 42 may contain a single-board computer (SBC), analog and digital input/output boards, interface boards and stepper motor controller boards. Memory 46 may be any type known in the art, including a hard disk drive, a floppy disk drive, a RAID device, random access memory (RAM), read only memory (ROM) and the like. Various parts of CVD system 10 conform to the Versa Modular European (VME) standard which defines board, card cage, and connector dimensions and types. The VME standard also defines the bus structure as having a 16-bit data bus and a 24-bit address bus.
[0055] The computer program may be written in any conventional computer readable programming language: for example, 68000 assembly language, C, C++, Pascal, Fortran or others. Suitable programming language is entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as memory 46. If the entered language is high level, then the same is compiled; and the resultant compiler code is linked with an object code of precompiled Windows® library routines. To execute the linked and compiled object code, a system user invokes the object code, causing the processor 42 to load the code in memory 46. Processor 42 then reads and executes the code to perform the tasks identified therein.
[0056] The interface between a user and processor 42 is via a CRT monitor 45 and light pen 47, shown in Fig. 14. The embodiment shown includes two monitors 45, one mounted in the clean room wall for the operators and the other behind the wall for the service technicians. Monitors 45 may simultaneously display the same information, with only one light pen 47 is enabled. A light sensor in the tip of light pen 47 detects light emitted by a CRT display screen associated with the monitor 45. To select a particular screen or function, the operator touches a designated area of the display screen and pushes the button on the pen 47. The touched area changes its highlighted color, or a new menu or screen is displayed, confirming communication between the light pen and the display screen. Other devices, such as a keyboard, mouse, or other pointing or communication devices may be used instead of, or in addition to, light pen 47 to allow the user to communicate with controller 42.
[0057] Referring to Figs. 1 , 14 and 15, shown is an illustrative block diagram of the hierarchical control structure of a computer program 70 that is stored in memory 46 is shown. Using the light pen interface, a user enters a process set number and processing chamber number into a process selector subroutine 73 in response to menus or screens displayed on the CRT monitor. The process sets are the predetermined sets of process parameters necessary to carry out specified processes, and are identified by predefined set numbers. The process selector subroutine 73 identifies (i) the desired processing chamber and (ii) the desired set of process parameters needed to operate the processing chamber for performing the desired process. The process parameters for performing a specific process relate to process conditions, e.g., process gas composition and flow rates, temperature, pressure, plasma conditions such as RF power levels and the low frequency RF frequency, cooling gas pressure, and chamber wall temperature. These parameters are provided to the user in the form of a recipe, and are entered utilizing the light pen/ monitor 45 and 47 interface.
[0058] The signals for monitoring the process are provided by the analog and digital input boards of the system controller, and the signals for controlling the process propagate on the analog and digital output boards of CVD system 10. A process sequencer subroutine 75 comprises program code for accepting the identified processing chamber and set of process parameters from the process selector subroutine 73, and for controlling operation of the various processing chambers. Multiple users can enter process set numbers and processing chamber numbers or a user can enter multiple process set numbers and processing chamber numbers, so the sequencer subroutine 75 operates to schedule the selected processes in the desired sequence. Preferably, the sequencer subroutine 75 includes a program code to perform the steps of (i) monitoring the operation of the processing chambers to determine if the chambers are being used, (ii) determining what processes are being carried out in the chambers being used, and (iii) executing the desired process based on availability of a processing chamber and type of process to be carried out. Conventional methods of monitoring the processing chambers can be used, such as polling. When scheduling the process to be executed, sequencer subroutine 75 takes into consideration the present condition of the processing chamber, as well as other relevant factors.
[0059] Once the sequencer subroutine 75 determines which processing chamber and process set combination is going to be executed next, the sequencer subroutine 75 initiates execution of the process set by passing the particular process set parameters to a chamber manager subroutine 77a-c, which controls multiple processing tasks in a processing chamber 12 according to the process set determined by the sequencer subroutine 75. For example, the chamber manager subroutine 77a comprises program code for controlling sputtering and CVD process operations in the processing chamber 12. The chamber manager subroutine 77 also controls execution of various chamber component subroutines that control operation of the chamber components necessary to carry out the selected process set. Examples of chamber component subroutines are substrate positioning subroutine 80, process gas control subroutine 83, pressure control subroutine 85, heater control subroutine 87 and plasma control subroutine 90, in some embodiments.
[0060] In operation, the chamber manager subroutine 77a selectively schedules or calls the process component subroutines, in accordance with the particular process set being executed. The chamber manager subroutine 77a schedules the process component subroutines in a similar manner to the way in which the sequencer subroutine 75 schedules which processing chamber 12 and process set are to be executed next. Typically, the chamber manager subroutine 77a includes steps of monitoring the various chamber components, determining which components need to be operated based on the process parameters for the process set to be executed, and causing execution of a chamber component subroutine responsive to the monitoring and determining steps.
[0061] Referring to both Figs. 1 and 15, substrate-positioning subroutine 80 comprises program code for controlling chamber components that are used to load the substrate onto susceptor 18. Optionally, substrate-positioning subroutine 80 may position substrate 16 within processing chamber 12, thereby controlling the distance between substrate 16 and gas distribution manifold 14. When substrate 16 is loaded into the processing chamber 12, susceptor 18 is lowered to receive the substrate, and thereafter, the susceptor 18 is raised to the desired height in processing chamber 12. In this manner, substrate 16 is maintained a first distance or spacing from the gas distribution manifold 14, during a deposition process. Substrate positioning subroutine 80 controls movement of susceptor 18, in response to process set parameters related to the support height, which are transferred from the chamber manager subroutine 77a.
[0062] Process gas control subroutine 83 has program code for controlling process gas composition and flow rates. Process gas control subroutine 83 controls the open/close position of the safety shut-off valves, and also ramps up/down the mass flow controllers to obtain the desired gas flow rate. Process gas control subroutine 83 is invoked by chamber manager subroutine 77a, as are all chamber component subroutines, and receives process parameters related to the desired gas flow rates from the chamber manager subroutine 77a. Typically, process gas control subroutine 83 operates by opening the gas supply lines and repeatedly (i) reading the necessary mass flow controllers, (ii) comparing the readings to the desired flow rates received from the chamber manager subroutine 77a, and (iii) adjusting the flow rates of the gas supply lines as necessary. Furthermore, process gas control subroutine 83 includes steps for monitoring the gas flow rates for unsafe rates and for activating the safety shut-off valves when an unsafe condition is detected.
[0063] In some processes, an inert gas such as helium, He, or argon, Ar, is flowed into processing chamber 12 to stabilize the chamber pressure before reactive process gases are introduced. For these processes, process gas control subroutine 83 is programmed to include steps for flowing the inert gas into processing chamber 12 for an amount of time necessary to stabilize the pressure in the chamber. Then, the steps described above are carried out.
[0064] Pressure control subroutine 85 comprises programgcode for controlling the chamber pressure by regulating the size of the opening of the throttle valve (not shown) in the exhaust system (not shown) of processing chamber 12. The size of the opening of the throttle valve (not shown) is set to control the chamber pressure to the desired level, in relation to, the total process gas flow, size of the processing chamber, and pumping setpoint pressure for the exhaust system. When pressure control subroutine 85 is invoked, the target level is received as a parameter from chamber manager subroutine 77a. Pressure control subroutine 85 operates to measure the chamber pressure by reading one or more conventional pressure manometers connected to the chamber in order to compare the measure value(s) to the target pressure, to obtain PID (proportional, integral, and differential) values from a stored pressure table corresponding to the target pressure, and to adjust the throttle valve accordingly. Alternatively, pressure control subroutine 85 may adjust the throttle valve (not shown) to regulate the chamber pressure.
[0065] Heater control subroutine 87 comprises program code for controlling the current to a heating unit that is used to heat the substrate 16. Heater control subroutine 87 is also invoked by chamber manager subroutine 77a and receives a target, or set-point, temperature parameter. Heater control subroutine 87 measures the temperature by measuring the voltage output of a thermocouple located in pedestal 18. Heater control subroutine 87 also compares the measured temperature to the set-point temperature, and increases or decreases current applied to the heating unit to obtain the set-point temperature. The temperature is obtained from the measured voltage by looking up the corresponding temperature in a stored conversion table, or by calculating the temperature using a fourth-order polynomial. Were an embedded loop used to heat susceptor 18, heater control subroutine 87 would gradually control a ramp up/down of current applied to the loop. Additionally, a built-in fail-safe mode could be included to detect process safety compliance, and could shut down operation of the heating unit if the processing chamber 12 were not properly set up.
[0066] In some embodiments, processing chamber 12 is outfitted with an RF power supply 48 that is used for chamber cleaning or other operations. Were a chamber cleaning plasma process employed, plasma control subroutine 90 would comprise program code for setting the frequency RF power levels applied to the process electrodes in the chamber 12. Similarly to the previously described chamber component subroutines, plasma control subroutine 90 would be invoked by chamber manager subroutine 77a.
[0067] The process parameters set forth above are exemplary, as are the process gases recited above. It should be understood that the processing conditions might be varied as desired. For example, the invention has been described as depositing a tungsten layer adjacent to a layer of TiN. However, the present process works equally well when depositing a tungsten layer adjacent to a layer of titanium, Ti, or directly upon a wafer surface. Other layers in addition, metal layers, may also be nucleated employing the present processes. Therefore, the scope of the invention should be determined not with reference to the above description, but instead should be determined with reference to the appended claims along with their full scope of equivalents.
[0068] While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

Claims:
1. A process of forming a nucleation layer on a substrate disposed in a processing chamber, comprising: introducing into the process chamber one or more pulses, each pulse comprising a hydrogen-containing gas and a tungsten-containing gas; and removing the hydrogen-containing gas and the tungsten-containing gas from the processing chamber between the pulses.
2. The process of claim 1 , further comprising introducing the hydrogen- containing gas and the tungsten-containing gas into a foreline during removing the hydrogen-containing gas and the tungsten-containing gas from the processing chamber.
3. The process of claim 1 , wherein removing the hydrogen-containing gas and the tungsten-containing gas from the processing chamber comprises reducing the pressure of the processing chamber.
4. The process of claim 1 , wherein removing the hydrogen-containing gas and the tungsten-containing gas from the processing chamber comprises introducing a purge gas into the processing chamber while maintaining the pressure of the processing chamber.
5. The process of claim 1 , wherein removing the hydrogen-containing gas and the tungsten-containing gas from the processing chamber comprises introducing a purge gas into the processing chamber while reducing the pressure of the processing chamber.
6. The process of claim 1 , further comprising depositing a bulk layer over the nucleation layer in the same or different processing chamber.
7. A process of forming a nucleation layer on a substrate disposed in a processing chamber, comprising: introducing into the processing chamber a first set of one or more pulses, each pulse comprising a first ratio of a hydrogen-containing gas and a tungsten- containing gas; introducing into the processing chamber a second set of one or more pulses, each pulse comprises a second ratio of the hydrogen-containing gas and the tungsten-containing gas; and removing the hydrogen-containing gas and the tungsten-containing gas from the processing chamber between each of the first set of pulses and between each of the second set of pulses.
8. The process of claim 7, wherein the second ratio of the hydrogen-containing gas and the tungsten-containing gas is less than the first ratio.
9. The process of claim 7, further comprising introducing the hydrogen- containing gas and the tungsten-containing gas into a foreline during removing the hydrogen-containing gas and the tungsten-containing gas from the processing chamber.
10. The process of claim 7, wherein removing the hydrogen-containing gas and the tungsten-containing gas from the processing chamber comprises reducing the pressure of the processing chamber.
11. The process of claim 7, wherein removing the hydrogen-containing gas and the tungsten-containing gas from the processing chamber comprises introducing a purge gas into the processing chamber while maintaining the pressure of the processing chamber.
12. The process of claim 7, wherein removing the hydrogen-containing gas and the tungsten-containing gas from the processing chamber comprises introducing a purge gas into the processing chamber while reducing the pressure of the processing chamber.
13. The process of claim 7, further comprising depositing a bulk layer over the nucleation layer in the same or different processing chamber.
14. A process of forming a nucleation layer on a substrate disposed in a processing chamber, comprising: introducing into the processing chamber a first set of one or more pulses, each pulse comprising a first hydrogen-containing gas and a tungsten-containing gas; removing the first hydrogen-containing gas and the tungsten-containing gas from the processing chamber between each of the first set of pulses. introducing into the processing chamber a second set of one or more pulses, each pulse comprises a second hydrogen-containing gas and the tungsten- containing gas; and removing the second hydrogen-containing gas and the tungsten-containing gas from the processing chamber between each of the second set of pulses.
15. The process of claim 14, wherein the first hydrogen-containing gas comprises silane and wherein the second hydrogen-containing gas comprises hydrogen gas.
16. The process of claim 14, further comprising introducing the first hydrogen- containing gas and the tungsten-containing gas into a foreline during removing the first hydrogen-containing gas and the tungsten-containing gas from the processing chamber beach each of the first set of pulses; and further comprising introducing the second hydrogen-containing gas and the tungsten-containing gas into the foreline during removing the second hydrogen-containing gas and the tungsten-containing gas from the processing chamber between each of the second set of pulses.
17. The process of claim 14, wherein removing the first hydrogen-containing gas and the tungsten-containing gas from the processing chamber comprises reducing the pressure of the processing chamber and wherein removing the second hydrogen-containing gas and the tungsten-containing gas from the processing chamber comprises reducing the pressure of the processing chamber.
18. The process of claim 14, wherein removing the first hydrogen-containing gas and the tungsten-containing gas from the processing chamber comprises introducing a purge gas into the processing chamber while maintaining the pressure of the processing chamber wherein removing the second hydrogen-containing gas and the tungsten-containing gas from the processing chamber comprises introducing the purge gas into the processing chamber while maintaining the pressure of the processing chamber.
19. The process of claim 14, wherein removing the first hydrogen-containing gas and the tungsten-containing gas from the processing chamber comprises introducing a purge gas into the processing chamber while reducing the pressure of the processing chamber and wherein removing the second hydrogen-containing gas and the tungsten-containing gas from the processing chamber comprises introducing a purge gas into the processing chamber while reducing the pressure of the processing chamber.
20. The process of claim 14, further comprising depositing a bulk layer over the nucleation layer in the same or different processing chamber.
EP02756489A 2001-12-17 2002-07-16 Process for tungsten deposition by pulsed gas flow cvd Withdrawn EP1458904A1 (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US23125 1979-03-23
US194442 1994-02-10
US10/023,125 US20070009658A1 (en) 2001-07-13 2001-12-17 Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US19444202A 2002-07-12 2002-07-12
US194629 2002-07-12
US10/194,629 US7211144B2 (en) 2001-07-13 2002-07-12 Pulsed nucleation deposition of tungsten layers
PCT/US2002/022486 WO2003064724A1 (en) 2001-12-17 2002-07-16 Process for tungsten deposition by pulsed gas flow cvd

Publications (1)

Publication Number Publication Date
EP1458904A1 true EP1458904A1 (en) 2004-09-22

Family

ID=27670343

Family Applications (1)

Application Number Title Priority Date Filing Date
EP02756489A Withdrawn EP1458904A1 (en) 2001-12-17 2002-07-16 Process for tungsten deposition by pulsed gas flow cvd

Country Status (3)

Country Link
EP (1) EP1458904A1 (en)
JP (1) JP2005516119A (en)
WO (1) WO2003064724A1 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7273811B2 (en) * 2005-06-27 2007-09-25 The Regents Of The University Of California Method for chemical vapor deposition in high aspect ratio spaces
JP2009024252A (en) * 2007-05-15 2009-02-05 Applied Materials Inc Atomic layer deposition process for tungsten material
CN102265383B (en) 2008-12-31 2014-06-11 应用材料公司 Method of depositing tungsten film with reduced resistivity and improved surface morphology
KR101971547B1 (en) * 2013-01-03 2019-04-24 주식회사 원익아이피에스 Metal Layer Fabrication Method for Semiconductor Device

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0660402B2 (en) * 1985-08-02 1994-08-10 富士通株式会社 Selective growth method for tungsten film
JP3194971B2 (en) * 1990-01-08 2001-08-06 エルエスアイ ロジック コーポレーション Apparatus for filtering process gas introduced into a CVD chamber before introduction into the CVD chamber
JPH0464223A (en) * 1990-07-04 1992-02-28 Fujitsu Ltd Manufacture of semiconductor device
JP3445467B2 (en) * 1997-05-28 2003-09-08 沖電気工業株式会社 Method for manufacturing semiconductor device
US5994775A (en) * 1997-09-17 1999-11-30 Lsi Logic Corporation Metal-filled via/contact opening with thin barrier layers in integrated circuit structure for fast response, and process for making same
FI20000099A0 (en) * 2000-01-18 2000-01-18 Asm Microchemistry Ltd A method for growing thin metal films

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO03064724A1 *

Also Published As

Publication number Publication date
WO2003064724A1 (en) 2003-08-07
JP2005516119A (en) 2005-06-02

Similar Documents

Publication Publication Date Title
US20070009658A1 (en) Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US6099904A (en) Low resistivity W using B2 H6 nucleation step
US6156382A (en) Chemical vapor deposition process for depositing tungsten
US6449521B1 (en) Decontamination of a plasma reactor using a plasma after a chamber clean
US6294466B1 (en) HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
US5843239A (en) Two-step process for cleaning a substrate processing chamber
EP1036214B1 (en) Mixed frequency cvd process and apparatus
US6429126B1 (en) Reduced fluorine contamination for tungsten CVD
KR100298486B1 (en) Low Dielectric Constant Silicon Dioxide Sandwich Layer and Forming Method
US6162709A (en) Use of an asymmetric waveform to control ion bombardment during substrate processing
US6136388A (en) Substrate processing chamber with tunable impedance
JP2002529912A (en) Method for in-situ post-deposition surface passivation of chemical vapor deposited films
WO1999003312A1 (en) Method for improved cleaning of substrate processing systems
WO1999029146A1 (en) Method and apparatus for monitoring and adjusting chamber impedance
US6271129B1 (en) Method for forming a gap filling refractory metal layer having reduced stress
US5858464A (en) Methods and apparatus for minimizing excess aluminum accumulation in CVD chambers
KR20010032726A (en) Chemical vapor deposition of titanium on a wafer comprising an in-situ precleaning step
EP1458904A1 (en) Process for tungsten deposition by pulsed gas flow cvd
US6083569A (en) Discharging a wafer after a plasma process for dielectric deposition
US6204174B1 (en) Method for high rate deposition of tungsten
KR20040068591A (en) Process for tungsten deposition by pulsed gas flow cvd
JPH10144683A (en) Apparatus and method for improving gap filling power for fsg film and film stability
Mak et al. Low resistivity W using B 2 H 6 nucleation step

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20040713

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LI LU MC NL PT SE SK TR

17Q First examination report despatched

Effective date: 20040923

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20090203

REG Reference to a national code

Ref country code: DE

Ref legal event code: 8566