KR102509652B1 - Tsv들 (through silicon vias) 내로 구리의 전착을 위한 니켈 라이너 및 코발트 라이너의 전처리 - Google Patents

Tsv들 (through silicon vias) 내로 구리의 전착을 위한 니켈 라이너 및 코발트 라이너의 전처리 Download PDF

Info

Publication number
KR102509652B1
KR102509652B1 KR1020160024885A KR20160024885A KR102509652B1 KR 102509652 B1 KR102509652 B1 KR 102509652B1 KR 1020160024885 A KR1020160024885 A KR 1020160024885A KR 20160024885 A KR20160024885 A KR 20160024885A KR 102509652 B1 KR102509652 B1 KR 102509652B1
Authority
KR
South Korea
Prior art keywords
electroplating
copper
seed layer
wafer substrate
wafer
Prior art date
Application number
KR1020160024885A
Other languages
English (en)
Other versions
KR20160108174A (ko
Inventor
매튜 에스. 토륨
스티븐 티. 메이어
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160108174A publication Critical patent/KR20160108174A/ko
Application granted granted Critical
Publication of KR102509652B1 publication Critical patent/KR102509652B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • C25D5/38Pretreatment of metallic surfaces to be electroplated of refractory metals or nickel
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers

Abstract

니켈-함유 씨드층 또는 코발트-함유 씨드층 상으로의 구리의 전착 (electrodeposition) 전에, 반도체 웨이퍼는 적어도 약 10 g/L, 보다 바람직하게 적어도 약 30 g/L의 농도의 구리 이온들, 및 폴리알킬렌 글리콜류의 화합물과 같은, 전기도금 억제제를 포함하는 사전-세정액과 함께 씨드층에 콘택트함으로써 전처리된다. 이 전처리는 TSV들 (through silicon vias) 과 같은 하나 이상의 대형 리세스된 피처들을 갖는 웨이퍼들에 특히 유용하다. 사전-세정액은 바람직하게 웨이퍼 기판과 콘택트하기 전에 탈가스된다. 전처리는 바람직하게 리세스된 피처들 내에서 버블 형성을 방지하기 위해 부압 (subatmospheric pressure) 하에서 수행된다. 웨이퍼가 전처리된 후, 구리는 웨이퍼 상의 리세스된 피처들을 충진하기 위해 전기도금 용액 (예컨대 산성 전기도금 용액) 으로부터 전착된다. 기술된 전처리는 전기도금 동안 씨드층의 부식을 최소화하고 도금 디펙트들을 감소시킨다.

Description

TSV들 (THROUGH SILICON VIAS) 내로 구리의 전착을 위한 니켈 라이너 및 코발트 라이너의 전처리{PRETREATMENT OF NICKEL AND COBALT LINERS FOR ELECTRODEPOSITION OF COPPER INTO THROUGH SILICON VIAS}
본 명세서에 개시된 실시예들은 전기도금을 위한 전처리 방법들에 관련된다. 보다 구체적으로, 실시예들은 집적 회로 제작을 위해 웨이퍼 상에 도전성 재료들을 전착하기 (electrodepositing) 전에 반도체 웨이퍼를 처리하기 위한 프리 웨팅 (pre-wetting) 방법들에 관한 것이다.
집적 회로 제작시, 웨이퍼 기판 상의 하나 이상의 리세스된 피처들을 충진하기 위해 금속 씨드층 상에 구리와 같은, 도전성 재료가 전기도금에 의해 종종 증착된다. 전기도금은, 다마신 프로세싱 동안 웨이퍼의 비아들 및 트렌치들 내로 금속을 증착하기 위해 선택된 방법이고, 또한 3D 집적 회로들 및 3D 패키지들에 사용된 상대적으로 대형 수직 전기적 연결부들인, TSV (Through-Silicon Vias) 에 사용된다.
전기도금 동안, 씨드층 (통상적으로 웨이퍼의 주변부에서) 에 대한 전기적 콘택트들이 이루어지고, 웨이퍼는 캐소드로서 역할을 하도록 전기적으로 바이어스된다. 웨이퍼는, 도금될 금속 이온들을 함유하고, 보통 전기도금 용액에 충분한 도전성을 제공하는 산인, 전기도금 용액과 콘택트하게 된다. 예를 들어, 구리의 전착을 위한 통상적인 전기도금 용액들은 구리 술페이트 및 황산 또는 구리 메탄술포네이트 및 메탄술포닉 산을 함유하는 산성 용액이다. 구리 도금 용액들은 또한 기판의 상이한 표면들 상에서 전착 레이트들을 조절하는, 촉진제들, 억제제들, 및 평탄화제들로 지칭되는 첨가제류들을 포함하는, 유기 첨가제들을 함유할 수도 있다. 이들 도금 용액들은 통상적으로 약 1 미만의 pH를 갖는다. 전기도금은 통상적으로 리세스된 피처들을 금속으로 충진하기에 충분한 양의 시간 동안 수행된다. 이어서, 웨이퍼의 필드 영역에 증착된 원치 않는 금속은 CMP (chemical mechanical polishing) 에 의해서와 같이, 평탄화 동작에서 제거된다.
전기도금 동안 부닥치게 되는 문제들 중 하나는 씨드층에 대한 대미지로 인해, 그리고/또는 전기도금 시작시 리세스된 피처들 내의 전해질 조성의 불균형으로 인해 충진된 리세스된 피처들 내에 보이드들 및 디펙트들의 형성이다. 예를 들어, 일부 씨드층들은 도금 용액의 산성 분위기에 센서티브하고 부식을 겪을 수도 있다. 이들 산 센서티브 씨드층들은 니켈-함유층들, 예컨대 NiB 층들 및 NiP 층들, 및 코발트-함유 층들을 포함한다. 그러나, 니켈-함유 씨드층들은, 구리를 증착하기 위해 통상적으로 사용되는 보다 덜 컨포멀한 PVD (physical vapor deposition) 에 대해 유리한 것으로 비교되는, 매우 컨포멀한 방식으로 무전해 디포지션에 의해 증착될 수 있기 때문에, 많은 애플리케이션들에서 바람직한 씨드층들이다. 구리가 또한 무전해 디포지션에 의해 증착될 수 있지만, 이 방법에 의해 증착된 구리는 기판을 라이닝하고 상부에 씨드층들이 증착되는 확산 배리어층들 (예컨대 W 및/또는 WN 확산 배리어층들) 에 대해 부족한 접착력을 나타낸다는 것을 알게 되었다. 반대로, 무전해 디포지션에 의해 형성된 니켈층들은 이러한 확산 배리어층들에 대해 우수한 접착력을 갖는다. 니켈층들은 (실리콘 및/또는 실리콘 옥사이드 내로 구리의 확산을 방지하는) 확산 배리어층들로서, 접착층들로서, 그리고 전기도금할 웨이퍼의 표면 상에 충분한 전도도를 제공하는 씨드층들로서 모두 역할을 하는 중간 층들로서 작용할 수도 있다. 본 명세서에 논의된 니켈층 및 코발트층은 씨드층들 (또는 라이너들) 로서 지칭될 것이지만, 이 층들은 전기도금할 웨이퍼 표면에 필요한 전도도를 제공하는 것에 부가하여 하나 이상의 부가적인 기능들을 서빙할 수도 있는 것으로 이해된다.
본 명세서에서 논의된 니켈층 및 코발트층은 대체로, 이로 제한되는 것은 아니지만, 무전해 디포지션을 포함하는 다양한 방법들을 사용하여 증착될 수 있다. 예를 들어, 니켈-함유 씨드층들은 PVD 프로세스 또는 CVD (chemical vapor deposition) 프로세스에 의해 증착될 것이다. 일 바람직한 실시예에서, 니켈층은 DMAB (dimethylamine borane) 와 같은 붕소 환원제 및 적어도 1 atomic %의 붕소를 함유하는 니켈 씨드층 막의 형성을 발생시키는, 니켈 염을 함유하는 무전해 도금 용액을 사용하는 무전해 도금 프로세스를 사용하여 디포지션된다.
본 명세서에 기술된 실시예들은 전기도금 전에 니켈-함유 씨드층 및/또는 코발트-함유 씨드층들을 갖는 반도체 웨이퍼들을 처리하기 위한 웨이퍼 전처리 방법 및 장치를 제공한다. 제공된 방법은 씨드층들에 대한 대미지를 상당히 감소시킬 수 있고 다마신 리세스된 피처들 및 TSV들을 포함하는, 크고 작은 리세스된 피처들 양자의 보이드-프리 전기충진을 가능하게 한다. 방법은 산성 도금 용액들로부터 구리의 전착 전에 웨이퍼들을 전처리하는데 특히 유용하지만, 또한 중성 및 염기성 전기도금 용액들로부터 구리의 전착 전에 웨이퍼들의 전처리를 위해 사용될 수 있다. 전처리 액체 내에 고농도로 제공된 제 2 구리 이온들 (Cu2 +) 을 사용한 니켈-함유층들의 처리는 부식에 대하여 이들 층들의 패시베이션을 발생시킨다는 것을 예기치 않게 발견하였다. 전처리 액체에 전기도금 억제제 (예컨대 폴리알킬렌 글리콜류로부터의 화합물) 의 첨가는 이 패시베이션에 시너지로 작용하고, 후속하는 전기도금 동안 보이드들의 형성을 더 감소시킨다.
본 발명의 제 1 양태에서, 하나 이상의 리세스된 피처들 (예를 들어, TSV들) 을 포함하는 웨이퍼 기판 상에 구리를 전기도금하는 방법이 제공된다. 방법은 (a) 웨이퍼 기판을 제공하는 단계로서, 웨이퍼 기판은 표면의 적어도 일부 상에 노출된 니켈-함유 씨드층 및/또는 코발트-함유 씨드층을 갖는, 웨이퍼 기판을 제공하는 단계; (b) 웨이퍼 기판 상의 씨드층을 프리 웨팅하도록 웨이퍼 기판을 프리 웨팅 액체와 콘택트하는 단계로서, 프리 웨팅 액체는 적어도 약 10 g/L (예를 들어, 적어도 약 30 g/L) 의 농도의 제 2 구리 이온들 (Cu2+) 및 전기도금 억제제를 포함하는, 웨이퍼 기판을 프리 웨팅 액체와 콘택트하는 단계; 및 (c) 씨드층 상에 구리를 전착하는 (electrodeposit) 단계로서, 전착된 구리는 하나 이상의 리세스된 피처들을 적어도 부분적으로 충진하는, 구리를 전착하는 단계를 포함한다. 본 명세서에 제공된 실시예들은 니켈-함유 (예를 들어, NiB 및 NiP) 층들 및 코발트-함유 층들 (예를 들어, 코발트와 텅스텐 합금들) 양자를 전처리하기 위해 사용될 수 있다. 방법들은 산성 전기도금 용액을 사용하여 구리를 전착하기 전에 씨드층들을 전처리하기에 특히 유용하다.
바람직한 실시예에서 기판을 프리 웨팅 액체와 콘택트하는 단계는, 적어도 약 10 g/L의 농도의 구리 이온들 및 전기도금 억제제 양자를 함유하는 단일 프리 웨팅 액체 (수용액) 를 사용하여 수행될 수 있다. 다른 실시예들에서, 기판을 프리 웨팅 액체와 콘택트하는 단계는 2개의 서브-단계들을 포함한다: 제 1 서브-단계에서, 기판은 적어도 약 10 g/L의 농도의 구리 이온들을 함유하는 제 1 프리 웨팅 액체와 콘택트하고, 제 2 서브-단계에서, 기판은 전기도금 억제제를 함유하는 제 2 프리 웨팅 액체와 콘택트하고, 제 1 프리 웨팅 액체와 제 2 프리 웨팅 액체의 조성들은 상이할 수 있다 (예를 들어, 제 1 프리 웨팅 액체는 억제제가 없을 수도 있고; 제 2 프리 웨팅 액체는 구리 이온들이 없을 수도 있다. 또 다른 실시예에서, 서브-단계들의 순서는 반전될 수도 있고, 즉, 기판은 제 1 서브-단계에서 억제제를 함유하는 제 1 프리 웨팅 액체에 콘택트되고, 이어서 제 2 서브-단계에서 적어도 약 10 g/L의 농도로 구리 이온들을 함유하는 제 2 프리 웨팅 액체에 콘택트될 수도 있다. 상기 기술된 바와 같이, 단일 프리 웨팅 액체를 사용한 처리 및 2개의 구별된 프리 웨팅 액체들을 사용한 처리 양자는 적어도 약 10 g/L의 농도의 제 2 구리 이온들 (Cu2 +) 및 전기도금 억제제를 포함하는 프리 웨팅 액체와 기판을 콘택트시키는 범위 내에 있다.
일부 실시예들에서, 프리 웨팅 액체는 웨이퍼와 콘택트하기 전에 탈가스되고, 전처리는 리세스된 피처들 내에서 버블 형성 가능성을 제거하기 위해 부압 (subatmospheric pressure) 에서 수행되는 것이 바람직하다.
프리 웨팅 액체는 바람직하게, 상대적으로 고농도, 예를 들어, 적어도 약 50 ppm의 농도로 전기도금 억제제를 함유한다. 전기도금 억제제는, 예를 들어, 폴리알킬렌 글리콜류로부터의 화합물일 수 있다. 일부 실시예들에서, 억제제는 아미노기를 포함하는 폴리알킬렌 글리콜류로부터의 화합물이다. 억제제는 TSV 및 다마신 구조체들을 형성하기 위해 효과적인 구리 도금 욕 용액들의 공통 컴포넌트이기 때문에, 일부 실시예들에서, 프리 웨팅 액체에 사용된 억제제는 후속하는 구리 도금 프로세스에서 전기도금 용액에 사용된 화합물과 동일한 화합물이다. 일부 실시예들에서, 프리 웨팅 액체 내 전기도금 억제제의 농도는 전기도금 용액의 전기도금 억제제의 농도 이상이다.
일반적으로, 프리 웨팅 액체의 pH는 산성이거나, 중성이거나 염기성일 수 있다. 일부 실시예들에서, 전처리 액체의 pH는 산성이다. 일부 실시예들에서, pH는 약 2 미만이다. 프리 웨팅 액체는 산, 예컨대 황산, 메탄술포닉산 및 이들의 혼합물들을 포함할 수 있다. 전처리 액체 내 제 2 구리 이온들의 농도가 구리를 전기도금하기 위해 사용된 전기도금 용액 내 제 2 구리 이온들의 농도 이상이 되도록, 전처리 액체 및 전기도금 용액 내 제 2 구리 이온들의 온도를 선택하는 것이 바람직하다. 고농도의 제 2 구리 이온들 및 전기도금 억제제에 더하여, 전처리 액체는 할라이드 (예를 들어, 클로라이드 또는 브로마이드), 전기도금 촉진제, 전기도금 평탄화제 및 이들의 조합들로 구성된 그룹으로부터 선택된 하나 이상의 부가적인 첨가제들을 포함할 수도 있다. 일부 실시예들에서, 구리를 도금하기 위해 사용된 프리 웨팅 액체 및 전기도금 용액은 동일한 조성을 갖는다.
일 예에서, 방법은 산, 적어도 약 30 g/L의 농도의 제 2 구리 이온들 및 적어도 약 50 ppm의 농도의 전기도금 억제제를 포함하는 프리 웨팅 액체와 니켈-함유 씨드층을 콘택트하는 단계를 수반하고, 전기도금 억제제는 폴리알킬렌 글리콜류로부터의 화합물이다.
본 명세서에 제공된 방법들은 포토리소그래픽 패터닝을 수반하는 프로세스들에 통합될 수 있다. 일부 실시예들에서, 방법들은, 포토레지스트를 웨이퍼 기판에 도포하는 단계; 포토레지스트를 광에 노출시키는 단계; 포토레지스트를 패터닝하고 패턴을 웨이퍼 기판에 전사하는 단계; 및 웨이퍼 기판으로부터 포토레지스트를 선택적으로 제거하는 단계를 더 포함한다.
본 발명의 또 다른 양태에서, 하나 이상의 리세스된 피처들을 포함하는 웨이퍼 기판 상의 노출된 니켈-함유 씨드층 및/또는 코발트-함유 씨드층 상에 구리를 전기도금하기 위한 장치가 제공된다. 장치는 (a) 웨이퍼 기판으로 프리 웨팅 액체를 전달하는 동작을 위해 구성된 프리 웨팅 챔버; (b) 구리 전기도금 용액을 홀딩하는 동작을 위해 구성된 도금 용기로서, 장치는 전기도금 용액으로부터의 구리를 웨이퍼 기판 상의 씨드층에 전착하는 동작을 위해 구성되는, 도금 용기; 및 (c) 본 명세서에 제공된 임의의 방법들을 수행하기 위한 프로그램 인스트럭션들 및/또는 로직을 포함하는 제어기를 포함한다. 예를 들어, 장치는 (i) 웨이퍼 기판을 프리 웨팅 액체와 콘택트하고; (ii) 구리를 씨드층 상에 전착하기 위한 인스트럭션들 및/또는 로직을 포함할 수도 있고, 프리 웨팅 액체는, 웨이퍼 기판 상의 씨드층을 프리 웨팅하기 위해, 적어도 약 10 g/L의 농도의 제 2 구리 이온들 (Cu2 +) 및 전기도금 억제제를 포함하고, 그리고, 전착된 구리는 하나 이상의 리세스된 피처들을 적어도 부분적으로 충진한다.
또 다른 양태에서, 본 명세서에 제공된 전기도금 장치 및 스텝퍼를 포함하는 시스템이 제공된다.
또 다른 양태에서, 프로그램 인스트럭션들을 포함하는 비일시적 컴퓨터 머신-판독가능 매체가 제공된다. 전기도금 장치를 제어하기 위한 프로그램 인스트럭션들은 상기 기술된 임의의 방법들을 수행하기 위한 코드를 포함한다. 예를 들어, 프로그램 인스트럭션들은 (i) 웨이퍼 기판 상의 씨드층을 프리 웨팅하기 위해, 적어도 약 10 g/L의 농도의 제 2 구리 이온들 (Cu2 +) 및 전기도금 억제제를 포함하는, 프리 웨팅 액체와 웨이퍼 기판을 콘택트하기 위한 코드; 및 (ii) 씨드층 상에 구리를 전착하기 위한 코드를 포함할 수도 있고, 전착된 구리는 하나 이상의 리세스된 피처들을 적어도 부분적으로 충진한다.
본 발명의 이들 및 다른 특징들은 이하의 도면들 및 연관된 기술을 참조하여 보다 상세히 기술될 것이다.
도 1a는 구리가 탈이온수를 사용하여 전처리된 구리 씨드층 상에 전기도금된 후 획득된, 구리로 충진된 TSV를 갖는 웨이퍼 기판의 단면의 개략도이다.
도 1b는 구리가 탈이온수를 사용하여 전처리된 NiB 씨드층 상에 전기도금된 후 획득된, 구리로 충진된 TSV를 갖는 웨이퍼 기판의 단면의 개략도이다.
도 2a는 5 g/L의 농도의 제 2 구리 이온들을 함유하는 용액으로 전처리된 NiB 씨드층을 갖고, 리세스된 피처들은 갖지 않는 웨이퍼의 상단부의 사진이다.
도 2b는 60 g/L의 농도의 제 2 구리 이온들을 함유하는 용액으로 전처리된 NiB 씨드층을 갖고, 리세스된 피처들은 갖지 않는 웨이퍼의 상단부의 사진이다.
도 3a 내지 도 3d는 본 명세서에 제공된 실시예에 따른, TSV 프로세싱의 다양한 스테이지들에서 반도체 디바이스의 단면의 개략적인 도면들을 나타낸다.
도 4는 본 명세서에 제공된 실시예에 따른, 증착 방법에 대한 프로세스 흐름도를 나타낸다.
도 5는 본 명세서에 제공된 실시예들에 따른 전처리 방법에 대한 프로세스 흐름도를 나타낸다.
도 6은 본 명세서에 제공된 실시예에 따른 전처리 용액을 준비하는 방법에 대한 프로세스 흐름도를 나타낸다.
도 7은 본 명세서에 제공된 전처리 액체를 전달하기에 적합한 프리 웨팅 프로세스 챔버의 간략화된 개략도이다.
도 8은 본 명세서에 제공된 실시예에 따른, 리세스된 피처들을 충진하기에 적합한 전기도금 장치의 간략화된 개략도이다.
이하의 기술에서, 본 발명은 어떻게 실시될 수 있는지를 설명하는 것을 돕도록 특정한 구체적인 구성들 및 프로세스들로 제공된다. 본 발명은 이들 구체적인 실시예들로 제한되지 않는다. 본 발명의 구체적인 실시예들의 예들은 첨부된 도면들에 예시된다. 본 발명이 이들 구체적인 실시예들과 함께 기술될 것이지만, 이는 이러한 구체적인 실시예들로 본 발명을 제한하도록 의도되지 않았다는 것이 이해될 것이다. 반대로, 첨부된 청구항들의 범위 및 등가물들 내에 포함할 수도 있기 때문에, 대안들, 수정들 및 등가물들을 커버하도록 의도된다. 이하의 기술에서, 다수의 구체적인 상세들은 본 발명의 전체적인 이해를 제공하기 위해 언급된다. 본 발명은 이들 구체적인 상세들 중 일부 또는 전부가 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 본 발명을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다.
본 개시에서 다양한 용어들이 반도체 워크피스를 기술하기 위해 사용된다. 예를 들어, "웨이퍼" 및 "기판"은 상호교환가능하게 사용된다. 용어 "웨이퍼" 또는 "반도체 기판"은 워크피스의 어느 곳에 반도체 재료를 포함하는 기판을 지칭하고 (반도체 재료가 노출될 필요는 없음), 프로세싱을 겪는 전체 웨이퍼 또는 웨이퍼의 일부를 지칭할 수도 있다. 전기화학적 반응을 통해 도전성 표면 상에 금속을 증착 또는 도금하는 프로세스는 일반적으로 전기도금 또는 전기충진으로 지칭된다. 구리를 전기도금하기 위해 사용된 용액은 전기도금 용액 및 전해질과 같이 상호교환가능하게 참조된다. 본 명세서에서 구리-함유 금속은 비한정적으로, 순수 구리 금속, 다른 금속들과의 구리 합금들, 및 전기충진 동작들 동안 사용된 비금속성 종 (예를 들어, 평탄화제들, 촉진제들, 억제제들, 표면 활성화제들, 등) 이 주입된 구리 금속을 포함하는, "구리"를 지칭한다. 웨이퍼 기판을 프리 웨팅하기 위해 사용된 제 2 구리 이온들 및 전기도금 억제제를 함유하는 수용액은 프리 웨팅 액체 또는 전처리 용액으로 지칭된다.
본 명세서에 사용된 바와 같이, 용어 "억제제"는 기판의 표면에 흡착할 수 있고 전착 동안 기판의 표면에서 전류를 억제할 수 있어서, 주어진 전위에 대한 감소된 구리 전착 레이트를 발생시킬 수 있는 화합물류를 지칭한다. 이러한 화합물들의 예들은 폴리알킬렌 글리콜들 (예를 들어 치환된 폴리에틸렌 글리콜들 및 치환된 폴리프로필렌 글리콜들 및 치환되지 않은 폴리에틸렌 글리콜들 및 치환되지 않은 폴리프로필렌 글리콜들) 과 같은 표면-활성 폴리머들을 포함한다. 일부 실시예들에서, 아미노기를 함유하는 폴리알킬렌 글리콜이 억제제로서 사용된다.
본 명세서에 기술된 전기충진 프로세스들은 구리로 기판 상의 리세스된 피처들의 부분적인 충진 또는 완전한 충진을 지칭한다. 도금 전해질들은 구리 이온들의 소스 (구리염) 를 포함하고, 그리고 일부 실시예들에서, 전해질 전도도를 상승시키기 위해 산 (예를 들어, 황산, 메탄술폰산 또는 이들의 조합) 을 포함한다. 도금 전해질은 또한 전기도금 레이트를 조절하기 위해 억제제, 촉진제, 평탄화제 및 할라이드 이온들 중 하나 이상을 포함할 수도 있다. SPS (bis-(3-sulfopropyl) disulfide) 및 MPS (3-mercapto-1-propane sulfonic acid) 와 같은 촉진제들은 억제를 줄이고, 촉진된 구리 증착을 야기한다. 폴리에틸렌이민 또는 야누스 그린 B와 같은 평탄화제는 종종 도금된 피처들의 표면 토포그래피를 개선하도록 사용된다. 일부 실시예들에서, 산성 전기도금 용액들은 약 7 미만, 예컨대 약 2 미만의 pH로 사용된다. 다른 실시예들에서, 중성 또는 염기성 전기도금 용액들이 채용될 수도 있다. 일부 실시예들에서, 보텀-업 충진에 최적화된 전해질들을 사용하는 것이 바람직하고, 보텀-업 충진은 리세스된 피처의 하단부에서 촉진된 전기도금 및 리세스된 피처의 측벽들과 리세스된 피처의 개구부에서 억제된 전기도금을 특징으로 한다. 일부 실시예들에서, 이러한 전해질들은 고농도 (예를 들어, 40 g/L 이상) 로 구리 이온들, 억제제, 촉진제, 평탄화제, 할라이드 이온들 및 산을 함유한다. 다른 실시예들에서, 컨포멀막 또는 반컨포멀 막을 전기도금하는데 최적화된 전해질들을 사용하는 것이 바람직하고 이는 리세스된 피처의 개구부에서의 도금 레이트 미만이거나 (반컨포멀 막에 대해) 동일한 (컨포멀 막에 대해) 레이트로 리세스된 피처의 하단부에서의 전기도금을 특징으로 한다. 일부 실시예들에서, 이러한 전해질들은 구리 이온들과 바인딩하고 구리 전기도금을 위해 요구되는 전위를 상승시키는 착화제들을 함유할 수도 있다. 공통으로 사용된 착화제들의 예들은 시아나이드 (cyanide), 시트르산, 및 EDTA (ethylenediaminetetraacetic acid) 이다.
기술된 전처리 프로세스들은 임의의 타입의 니켈-함유 씨드층 또는 코발트-함유 씨드층 상에서 실시될 수 있다. 일부 실시예들에서, 니켈-함유 씨드층 및/또는 코발트-함유 씨드층은 무전해 증착에 의해 증착된다. 니켈-함유 씨드층 또는 코발트-함유 씨드층은 니켈 및 코발트에 더하여 다른 원소들을 포함할 수도 있다. 니켈-함유층들의 예들은 NiB 층들 및 NiP 층들을 포함하고, 화학식들은 50 % Ni 화학량론을 암시하지 않는다. 일부 실시예들에서, 다른 원소 (예를 들어, NiB에서 붕소 및 NiP에서 인) 의 함량은 약 0.01 내지 50 atomic %, 예컨대 약 25 atomic %이다. 코발트-함유 씨드층들의 예들은, 예를 들어, 코발트-텅스텐 합금들을 포함한다. 일부 실시예들에서, 니켈-함유층들 및 코발트-함유 층들은 적어도 약 40 atomic %의 농도로 니켈 또는 코발트를 함유한다.
제공된 방법들은 임의의 타입의 전해질을 사용하여 전기도금하기 앞서 전처리들에 사용될 수 있다. 전처리 이점들은, 상기 언급된 바와 같은, 전해질들로 한정되지 않지만, 3 미만, 예컨대 1 미만의 pH를 갖는, 매우 산성이고 부식성인 도금 용액들로 전기도금하기 전에, 특히 두드러진다.
제공된 방법들은 다양한 리세스된 피처들을 충진하기 위해 사용될 수 있지만, 상대적으로 큰 사이즈들 및 고 종횡비를 갖는 실리콘층 내에 형성된 리세스된 피처들인, TSV들을 충진하는데 특히 유리하다. TSV들은 통상적으로, 약 0.1 ㎛ 이상, 예컨대 약 1 ㎛ 이상 (예를 들어, 약 5 ㎛ 이상) 의 개구부에서의 폭들, 및 약 5 ㎛ 이상, 예컨대 약 20 ㎛ 이상 (예를 들어, 50 ㎛ 이상, 및 100 ㎛ 이상) 의 깊이들로, 5:1 이상, 예컨대 10:1 이상, 심지어 20:1 이상 (예를 들어, 약 30:1에 도달) 의 종횡비들을 갖는다. TSV들의 예들은 5 x 50 ㎛ 및 10 x 100 ㎛의 피처들을 포함한다. 이러한 대형 리세스된 피처들은, 산-센서티브 씨드층들로 코팅될 때, 종래의 기법들을 사용하여 충진하기 특히 어렵다. 본 명세서에 제공된 방법들은 또한 약 100 ㎚ 이하의 개구부의 폭들을 갖는 다마신 리세스된 피처들과 같은, 보다 작은 리세스된 피처들을 충진하기 위해 사용될 수도 있다. 다른 타입들의 리세스된 피처들은 실리콘 옥사이드 및 실리콘 옥사이드계 재료들 (예를 들어 유리), 알루미늄 옥사이드 (예를 들어 사파이어), 폴리이미드, 또는 다른 폴리머 기판들 내에 형성된 리세스된 피처들을 포함한다.
본 명세서에 제공된 방법들은 주로 니켈-함유층들을 참조하여 예시될 것이다. 본 명세서에 제공된 원리들 및 프로세스 조건들을 코발트-함유 층들, 및 니켈 및 코발트의 조합을 포함하는 층들에 또한 적용된다는 것이 이해된다.
종래의 TSV 프로세싱에서, PVD (physical vapor deposition) 에 의해 컨포멀한 방식으로 증착된 구리 씨드층은 TSV 피처들 내로 구리의 전착 동안 전기적 콘택트가 이루어지는 도전층으로서 역할을 한다. 전기도금 전에, 구리 씨드층은 기판의 표면 상에 웨팅 층을 형성하고, 전기도금 동안 TSV 피처들 내에서 버블들의 형성을 방지하기 위해 전처리 챔버 내에서 부압 하에서 탈이온수를 사용하여 전처리된다. 부압 하에서의 전처리 후에, 전처리 챔버는 가스로 충진되고 대기압이 된다. 이어서, 프리 웨팅층으로서 물을 함유하는 반도체 기판은 전기도금 용기로 이송된다. 전기도금은 구리 이온들 및 하나 이상의 전기도금 첨가제들을 함유하는 산성 용액에서 관례적으로 수행된다. 도 1a는 이러한 종래의 방법을 사용하여 구리로 전기충진된 TSV를 갖는 기판의 개략적인 단면을 예시한다. 구리 씨드층은 도시되지 않았다. 기판은 실리콘 (101) 층 및 실리콘 내에 임베딩된 구리 충진된 비아 (111) 를 포함한다. 유전체 라이너 (미도시) 는 통상적으로 (비아가 실리콘 내로 에칭된 후) 실리콘층 (101) 의 외측부 상에 형성되고, 컨포멀한 확산 배리어층 (105) 과의 계면에 존재한다. 이러한 유전체 라이너 (예를 들어, 실리콘 다이옥사이드 또는 실리콘 다이옥사이드계 재료) 는 실리콘층의 상단부의 열 산화에 의해 또는 CVD (chemical vapor deposition) 또는 ALD (atomic layer deposition) 에 의해서와 같이, 컨포멀한 증착에 의해 형성될 수 있다. 컨포멀한 확산 배리어층 (105) (예를 들어, Ta, TaN, Ti, TiN, W, WN 또는 이의 조합) 은 구리와의 계면에서 유전체 라이너 (미도시) 층 상에 존재한다. 전착된 구리층 (111) 은 구리 씨드층 부식에 기여할 수도 있는 어떠한 보이드들도 형성하지 않고 어떠한 디펙트들도 보이지 않고, 비아를 충진한다.
그러나, 구리 씨드층들은 우수한 접착력 및 컨포멀한 방식 양자로 인해 상기 열거된 확산 배리어층들 상에 증착되기 어렵다. 이들 어려움들은 구리가 고종횡비 리세스된 피처들, 예컨대 TSV들 내에 증착될 때 악화된다. 게다가, 구리의 PVD 증착은 웨팅 증착 방법들에 비해 고비용이다. 무전해 디포지션과 같은, 보다 저렴한 방법들에 의해 컨포멀하고 우수한 접착력으로 증착될 수 있는 니켈-함유 층들 및 코발트-함유 층들은 TSV 프로세싱에서 구리 씨드층들을 대체할 준비가 된다. 그러나, 이들 재료들은 매우 부식되기 쉽고, 특별한 전처리 부재시, 이러한 층들 상의 전기도금은 보이드들 및 다른 디펙트들의 형성을 발생시킨다. 예를 들어, 도 1b는 부압 하에서 탈이온수를 사용한 종래의 전처리가 NiB 씨드층에 대해 실시될 때, NiB 씨드층은 구리 씨드층과 상이하게 거동한다. 동일한 조건들 하에서, NiB 층에 대한 구리의 전기도금은 NiB 층의 부식으로 인해 리세스된 피처 내에서 보이드의 형성을 발생시킨다. 도 1b는 도 1a에 도시된 구리 씨드층을 포함하는 기판에 대해 사용된 조건들과 동일한 전기도금 조건들 및 전처리를 사용하여 NiB 층 상에 구리가 전기도금된 후 기판을 도시한다. NiB 씨드층은 도시되지 않았다. 큰 보이드 (112) 가 전기충진된 비아 (111) 내에 형성된 것을 알 수 있다.
고농도로 제 2 구리 이온들 (Cu2 +) 을 갖는 니켈-함유 씨드층들의 전처리는 부식에 대한 니켈의 패시베이션을 발생시키고 구리의 전착시 디펙트들의 감소를 야기한다는 것이 예기치 않게 발견되었다. 기판은 적어도 약 10 g/L, 예컨대 적어도 약 30 g/L, 또는 적어도 약 40 g/L의 농도로 제 2 구리 이온들을 함유하는 수용액과 콘택트한다. 일부 실시예들에서, 약 40 내지 70 g/L의 농도로 제 2 구리 이온들을 함유하는 수용액으로 기판을 전처리하는 것이 바람직하다. 이러한 발견은, 제 2 구리 이온들이 니켈에 비해 산화 특성들을 갖고, 따라서, 니켈-함유 씨드층의 부식을 증가시키는 것으로 예상될 수 있기 때문에, 예상되지 않았다. 어떠한 모델 또는 이론에도 매이지 않고, 니켈과 용해된 구리 이온들 사이에서 발생할 것으로 예상되는 일부 공지의 반 반응들의 예들은 다음과 같다:
Cu2 + + 2e- → Cu (제 2 구리 이온들의 구리로 환원) (1)
Cu2 + + e- → Cu+ (제 2 구리 이온들의 제 1 구리 이온으로 환원) (2)
Cu+ + e- → Cu (제 1 구리 이온의 구리로 환원) (3)
Ni (NiB/NiP) → Ni2 + + 2e- (니켈의 산화) (4)
반 반응들 (1) 내지 (3) 의 조합은 다음의 완전한 반응들을 발생시킬 수 있다:
Cu2 + + Ni (NiB/NiP) → Cu + Ni2+ (5)
2Cu2 + + Ni (NiB/NiP) → 2Cu+ + Ni2+ (6)
2Cu+1 + Ni (NiB/NiP) → Cu + Ni+2 (7)
사전웨팅 용액 내의 제 2 구리 이온들의 농도가 보다 높다면, 이들 반응들은 오른쪽으로 구동될 것으로 예상되지만 (부식을 위해 보다 큰 구동력), 반대도 참이라는 것을 알았다. 따라서, 초기의 보다 높은 구동력을 사용한 프로세스는 추가 반응 (패시베이션) 의 방지를 발생시킬 경향이 있다고 이론화되었다.
또한, TSV들 내 전기도금 동안, 뿐만 아니라 어떠한 리세스된 피처들도 갖지 않는 블랭킷 웨이퍼 상에서의 전기도금 동안 나타난다는 것이 예기치 않게 발견되었다. 이는 이 효과가 리세스된 피처의 상단부와 하단부에서의 제 2 구리 이온들 농도 차로부터 발생되는 부식 전위와 연관될 수도 있는 임의의 효과들과 구별된다는 것을 나타낸다.
도 2a 및 도 2b는 블랭킷 웨이퍼에 대한 이 효과를 예시한다. 제 1 실험에서 리세스된 피처들이 없는, 상부에 NiB 층을 갖는 웨이퍼는 5 g/L까지의 저 구리 농도로 구리 (II) 술페이트를 함유하는 수용액으로 이루어진 전처리 액체와 콘택트하고 이어서 추가 전기도금 없이 물로 린싱된다. 도 2a는 NiB 층에 대해 발생되는 대미지의 사진 이미지를 도시한다. 이러한 전처리 후에 NiB 층 (201) 은 다수의 디펙트들 (203) 을 나타낸다는 것을 알 수 있다. 디펙트들의 검사는, 디펙트들이 구리 이온들과 NiB 층 간의 갈바닉 부식 반응에 의해 형성된 금속성 Cu의 결정들이라는 것을 드러냈다. 또 다른 실험에서, 리세스된 피처들이 없는, 상부에 증착된 NiB 층을 갖는 웨이퍼는 60 g/L의 보다 높은 구리 농도의 구리 (II) 술페이트를 함유하는 수용액으로 이루어진 전처리 액체와 콘택트한다. 도 2b로부터 NiB 층 (201) 은 디펙트들이 없는 채로 남아있다는 것을 알 수 있다.
또한, 고농도 (10 g/L 이상) 의 제 2 구리 이온들을 사용한 전처리는 산성 전처리 용액들을 사용하여 수행될 수 있다는 것이 예기치 않게 발견되었다. 니켈-함유 씨드층 또는 코발트-함유 씨드층은 산-센서티브로 공지되었고 산성 전처리 분위기에서 신속하게 부식하는 것으로 예상되었기 때문에 이는 예상되지 않았다. 니켈 및 코발트의 환원 전위는 물의 분해 (수소 방출) 를 위한 표준 환원 전위에 비해 보다 네거티브이기 때문에, 이들 재료들은 산성 용액들에서 부식되는 것은 통상적으로 알게 된다. 다음의 부식 반응들은 산성 용액들에서 자발적인 것으로 예상된다:
Ni + 2H+ → Ni2 + + H2 (8)
Co + 2H+ → Co2 + + H2 (9)
이들 요인들에도 불구하고, 고농도의 제 2 구리 이온들의 존재시, 이러한 부식은 발생하지 않고, 일부 실시예들에서, 전처리 액체는 약 2 미만, 예컨대 약 1 미만의 산성 pH를 가질 수 있다는 것을 알았다. 산성 전처리 용액의 사용이 많은 실시예들 (특히 전기도금 용액 또한 산성일 때) 에서 유리하지만, 다른 실시예들에서, 전처리 액체의 pH는 (제 2 구리 이온들이 전처리 액체에 용해된 채로 있는 한) 2보다 크고, 예를 들어, 7보다 크다. 마지막으로, 전처리 액체 내에 고농도의 제 2 구리 이온들만을 사용하는 것은 보이드-프리 전기도금을 위해 항상 충분하지는 않을 수도 있다는 것이 발견되었다. 상대적으로 고농도로 전처리 액체 내에 제공된 전기도금 억제제의 첨가는 웨이퍼 기판 상의 모든 리세스된 피처들에 걸쳐 보이드들의 형성을 방지하기 위해 필요하다는 것이 발견되었다. 이하의 이론으로 제한되지 않고, 억제제는, 고농도로 제공될 때, 니켈 표면 상에 막을 형성하고, 니켈 상에서 구리의 환원 동안 전하 이송을 억제할 수도 있고 순수 니켈 표면 상에서 구리의 핵생성을 개선할 수도 있다고 여겨진다. 전해질에서 고 구리 농도는 보다 균일한 전착된 구리 막을 생성하기 위해 충분히 순방향으로 구리 증착 프로세스를 구동하는 것을 도울 수도 있다.
상이한 전처리 액체들을 사용하여 TSV 상에 구리를 전기도금하기 위한 실험 결과들은 표 1에 제공된다.
표 1. 상이한 전처리 화학물질들을 사용하여 NiB 씨드층 상의 TSV들에서 구리의 전기도금
전처리 액체 탈이온 (DI) 수만

DI 수 내 억제제 용액
DI 수 내 60g/L의 제 2 구리 이온들,
60g/L의 황산 및
50 ppm의 클로라이드 용액
DI 수 내 억제제,
제 2 구리 이온들,
60g/L의 황산 및
50 ppm의 클로라이드 용액
TSV 충진 관찰됨 대부분의 TSV들에서 보이드들이 형성됨 일부 TSV들에서 보이드들이 형성됨 일부 TSV들에서 보이드들이 형성됨 TSV들 내에 보이드들이 형성되지 않음
표 1에 제공된 모든 실험들에서, 구리는 60 g/L의 제 2 구리 이온들, 60 g/L의 H2SO4, 50 ppm의 클로라이드 이온들, 및 MLI HSL-A/B/C 촉진제, 억제제, 및 평탄화제 (WA, Moses Lake 소재의 Moses Lake Industries로부터 입수가능함) 를 함유하는 동일한 산성 전기도금 용액을 사용하여 전기도금된다. MLI HSL-B는 전처리 액체에서 억제제로서 사용된다. 억제제 및 고농도의 제 2 구리 이온들 양자가 전처리 액체 내에 존재하는 경우에만, 웨이퍼 기판 전체에서 보이드-프리 충진이 달성되었다는 것을 알 수 있다. 부가적으로, 고농도의 제 2 구리 이온들 및 억제제를 함유하는 전처리 액체는, 씨드층의 부식을 감소시키고 보이드 프리 충진을 발생시키는 능력을 여전히 유지하면서, 다른 컴포넌트들, 예컨대, 클로라이드, 촉진제, 평탄화제, 및 이들의 조합을 더 포함할 수도 있다는 것이 별도로 제시된다.
일부 실시예들에서, 전처리 액체 내에서 제 2 구리 이온들의 농도가 동일하거나 전기도금 용액 내에서 제 2 구리 이온들의 농도보다 높도록, 전처리 액체의 조성 및 전기도금 용액의 조성을 선택하는 것이 바람직하다는 것을 주의하는 것이 또한 중요하다. 예를 들어, 60 g/L 농도의 제 2 구리 이온들을 갖는 전기도금 용액이 사용되면, 전처리 액체 내에서 60 g/L 이상의 농도의 제 2 구리 이온들을 사용하는 것이 바람직하다. 이 선택은 전기도금의 시작시 부식 전위를 감소시킬 것으로 예상된다. 전처리 액체 내에서 구리의 농도가 도금 욕보다 보다 낮다면, 전기도금 욕 내로 웨이퍼의 도입 후, 웨이퍼 표면 및 피처 내에서 용해된 금속들의 상이한 액티비티들로 인해, 내부 부식 셀의 확립이 발생할 수 있다. 용액 내에서, 피처의 하단부와 피처의 상단부 간의 전기화학적 전위 차는 Nernst 식의 형태로 표현될 수 있다:
Figure 112016034979418-pat00001
(10)
식 10에서, R은 보편 기체 상수이고, T는 절대 온도이고, n은 부식 반응을 위한 전자들의 수이고, F는 Faraday 상수이고, C(feature) 및 C(surface) 는 두 위치들에서 금속 이온들의 농도이다. 식 1로 주어진 바와 같이 농도 차에 의해 생성된 부식 구동 전위를 갖는 농도 셀이 생성된다. 용해된 구리 이온들이 없거나 저농도 구리 이온들을 갖는 프리 웨팅 유체를 사용할 때, 피처의 하단부는 금속 이온들을 담는 도금 욕 내로 침지된 후 일부 시간 기간 동안 C(surface) 보다 보다 작은 C(feature) 농도에 부닥칠 것이다. 따라서, 피처의 벽들 및 하단부 상의 금속이 우선적으로 산화되고, 전자들을 릴리즈하고, 표면에서 용액으로부터의 금속 이온들과 결합함으로써 사이클을 완료하게 하는 부식 전위를 갖는, 표면과 피처의 하단부 위치 사이에 부식 전위 차가 있을 것이다.
제공된 방법들의 일부 구현예들에서, 전처리 액체 및 전기도금 용액이 동일한 조성을 갖도록 선택하는 것이 바람직하다. 본 명세서에 사용된 바와 같이, 용어 "동일한 조성"은 용액들 내에 존재하는 (같거나 상이한 농도들로 존재할 수도 있는) 동일한 화학적 엔티티들을 참조한다. 예를 들어, 전처리 액체 및 전기도금 용액 양자는 본질적으로 구리염 (예를 들어, 구리 술페이트 또는 구리 메탄술포네이트), 산 (예를 들어, 황산), 및 동일한 타입의 억제제 (예를 들어, 폴리알킬렌 글리콜류로부터의 분자) 의 수용액으로 이루어질 수도 있다. 선택가능하게, 전처리 액체 및 전기도금 용액은 동일한 타입의 할라이드 (예를 들어, 클로라이드), 동일한 타입의 촉진제, 및 동일한 타입의 평탄화제를 함유할 수도 있다. 일부 실시예들에서, 전처리 액체의 모든 컴포넌트들의 농도 및 전기도금 용액의 모든 컴포넌트들의 농도는 일치한다. 일 실시예에서, 전처리 액체의 모든 컴포넌트들의 농도 및 전기도금 용액의 모든 컴포넌트들의 농도는, 전기도금 용액에서보다 전처리 액체에서 보다 높은 농도로 제공되는, 제 2 구리 이온들을 제외하고 일치한다. 일부 실시예들에서, 전처리 액체 내 억제제의 농도는 전기도금 용액 내 억제제의 농도와 같거나 보다 높다.
일부 실시예들에서, 프리 웨팅 액체는 적어도 약 50 ppm, 예컨대 적어도 약 100 ppm 또는 적어도 약 150 ppm (예를 들어, 약 200 ppm) 의 농도의 폴리알킬렌 글리콜류로부터의 화합물들과 같은 억제제를 함유하고, 적어도 약 10 g/L, 예컨대 적어도 약 30 g/L, 예를 들어, 약 40 내지 70 g/L의 농도의 제 2 구리 이온들을 갖는다. 이러한 프리 웨팅 액체는 약 2 미만의 pH를 가질 것이다.
전처리 방법은 도 4에 도시된 예시적인 프로세스 흐름도 및 도 3a 내지 도 3d에 도시된 프로세싱을 겪는 기판의 단면도들의 시퀀스에 의해 예시된다. 동작 401에서, 하나 이상의 리세스된 피처들 및 니켈-함유 씨드층 및/또는 코발트-함유 씨드층을 갖는 웨이퍼 기판이 제공된다. 이러한 기판의 예의 단면도는 도 3a에 도시된다. 도 3a는 실리콘층 (101) 내에 존재하는 TSV (103) 를 도시한다. 실리콘층 (101) 은 또한 확산 배리어 (105) 와의 계면에서 유전체 컨포멀 라이너 (미도시) 를 포함한다. 도 3a는 하나의 비아를 포함하는 기판의 일부를 도시한다. 많은 구현예들에서, 기판은 수백 또는 심지어 수백만 개의 비아들을 포함하는 반도체 웨이퍼이다.
유전체 코팅된 실리콘층 (101) 은 확산 배리어층 (105) (예를 들어, Ta, TaN, Ti, TiN, W, WN 또는 이들의 조합) 으로 라이닝되고, 확산 배리어층 (105) 상에 증착된 니켈-함유 또는 코발트-함유 씨드층 (107) 을 갖는다. 니켈-함유 씨드층 (107) 은 TSV (103) 의 내부 벽들을 컨포멀하게 라이닝하고, 또한 확산 배리어층 위의 필드 영역에 존재한다. 일부 실시예들에서, 니켈-함유 씨드층은 무전해 디포지션에 의해 증착된 NiB 층 또는 NiP 층이다. 무전해 디포지션은 PVD 층들보다 보다 컨포멀한 층들을 증착하기 때문에 PVD (physical vapor deposition) 에 비하여 장점들을 제안한다. 대안적으로, 실질적으로 컨포멀한 니켈 및/또는 코발트 씨드층은 유기금속 니켈 전구체들 및/또는 코발트 전구체들 (예컨대 니켈 카르보닐 및/또는 코발트 카르보닐) 을 사용하여 CVD에 의해 증착될 수 있다. 붕소가 도핑된 니켈 막들 및 코발트 막들 및 인이 도핑된 니켈 막들 및 코발트 막들은 또한, 니켈-함유 전구체 및 코발트-함유 전구체와 붕소 도핑된 막들에 대해 붕소-함유 화합물 (예를 들어, 디보란) 또는 인 도핑된 막들에 대해 인-함유 화합물 (예를 들어, 인 펜타옥사이드) 을 사용하는 CVD에 의해 컨포멀하게 증착될 수 있다. 니켈의 무전해 디포지션시, 니켈-함유층을 형성하기 위해 기판은 니켈 및 환원제 (예를 들어, 하이포포스페이트, 디알킬아미노보란, 또는 소듐 보로하이드라이드) 와 콘택트된다. 환원제의 특성에 따라, NiB 층 또는 NiP 층이 형성된다. 예를 들어, 붕소-함유 환원제의 사용은 NiB 층들을 제공하고, 하이포포스페이스 또는 다른 인-함유 환원제의 사용은 NiP 층들의 형성을 발생시킨다.
이어서 노출된 씨드층을 갖는 기판은 도 4의 동작 403에 도시된 바와 같이, 전처리를 겪는다. 기판은 적어도 약 10 g/L의 농도의 제 2 구리 이온들 및 전기도금 억제제를 함유하는 수용액인, 프리 웨팅 액체와 콘택트된다. 일부 실시예들에서, 전기도금 억제제는 폴리알킬렌 글리콜류로부터의 화합물이다 (예를 들어, 치환되거나 치환되지 않은 폴리에틸렌 글리콜 또는 치환되거나 치환되지 않은 폴리프로필렌 글리콜). 이러한 적합한 전기도금 억제제의 예는 WA, Moses Lake 소재의 Moses Lake Industries로부터 입수가능한 HSL-B이다. 억제제는 바람직하게, 상대적으로 고농도, 예컨대 적어도 약 50 ppm, 예컨대 적어도 약 100 ppm, 예를 들어, 약 200 ppm의 농도로 제공된다. 일부 실시예들에서, 프리 웨팅 액체는 또한 전기도금 액체에 사용되는 첨가제들을 함유할 수 있다. 전처리 동안 이들 화합물들의 사용은 전기도금의 시작 시 첨가제들의 느린 확산 레이트와 연관된 문제들을 완화시킨다. 이들 첨가제들의 예들은 할라이드들 (예를 들어, 클로라이드 또는 브로마이드), 전기도금 촉진제들, 및 평탄화제들을 포함한다.
전처리 액체는 임의의 적합한 방법, 예컨대 기판 상으로 액체의 스프레이, 기판 상으로 액체 스트리밍, 액체 내로 기판의 침지, 등에 의해 기판과 콘택트하게 될 수 있다. 일부 실시예들에서, 회전하는 기판 상으로 액체를 스프레이하는 것이 바람직하다.
전처리 후 기판의 단면이 도 3b에 도시된다. 프리 웨팅 액체의 연속적인 웨팅 층 (108) 은 기판 상에 형성되고 TSV들 (103) 을 충진한다. 씨드층은 제 2 구리 이온들을 사용하는 산화에 의해 패시베이션되고, 기판의 표면에 흡착하는 도금 억제제 (109) 의 층에 의한 부식으로부터 더 보호된다.
다음에, 동작 405에서, 구리는 리세스된 피처들을 적어도 부분적으로 충진하도록 기판 상에 전착된다. 통상적으로, 리세스된 피처들은 구리로 완전히 충진되고 일부 구리는 또한 필드 영역 내에 증착된다. 전착 동안, 씨드층은, 웨이퍼 기판이 캐소드로서 역할을 하도록, (전해질 내로의 침지 전 또는 전해질 내로의 침지 직후) 네거티브로 바이어스된다. 기판은, 구리 이온들을 함유하고, 일부 실시예들에서는 산인 도금 용액과 콘택트하게 된다. 도금 용액은 또한 첨가제들을 함유할 수도 있다. 첨가제들의 예들은 촉진제들, 억제제들, 및 평탄화제들을 포함한다. 구리의 증착을 위한 예시적인 도금 용액은 구리염 (예를 들어, 구리 술페이트), 산 (예를 들어, 황산), 촉진제 (예를 들어, bis-(3-sulfopropyl) disulfide, SPS), 클로라이드 이온들, 및 억제제를 포함한다. 일부 실시예들에서, 특히, 도금이 니켈-함유 씨드층들 상에 수행될 때 산성 도금 용액들이 사용된다. 다른 실시예들에서, 중성 전기도금 용액들 또는 약 염기성 전기도금 용액들이 사용될 수도 있다. 이들은 니켈-함유 씨드층 또는 코발트-함유 씨드층 양자 상의 도금에 적합하지만, 코발트의 고 산 센서티비티로 인해, 코발트-함유 씨드층들 상에 도금하는 것이 바람직할 수도 있다.
도 3c에 도시된 구조는 전기도금에 의해 구리 (111) 로 완전히 충진된 리세스된 피처를 예시한다. 아래에 놓인 씨드층은 명확성을 보존하기 위해 이 도면에서 도시되지 않는다. 기판은 고농도의 제 2 구리 이온들 및 전기도금 억제제를 갖는, 전처리 액체를 사용하여 전처리되기 때문에, 보이드들이 충진된 리세스된 피처 내에 형성되지 않는다.
다음에, 동작 407에서, 과잉 구리가 기판으로부터 제거된다. 일부 실시예들에서, 전기도금 동안 필드 영역 상에 증착된 원치 않는 구리는 예를 들어, CMP (chemical mechanical polishing), 전기화학적 폴리싱 (electrochemical polishing), 또는 웨팅 에칭 기법을 사용하여 나중에 제거된다. 이러한 금속 제거 후에 획득된 기판의 구조가 도 3d에 도시된다. 예시된 실시예에서, 기판은 전착된 구리 및 아래에 놓인 씨드층을 제거하기 위해 평탄화된다. 일부 실시예들에서, 이어서 확산 배리어층은 후속 평탄화 동작에 의해 제거된다.
일부 실시예들에서, 특히, 1 ㎛보다 큰 폭들을 갖는 피처들을 갖는 기판들을 프로세싱할 때, 기판 상의 피처들 내에서 버블들의 형성을 방지하기 위해 특별한 단계들이 취해진다. 이들 실시예들은 도 5에 도시된 전처리 프로세스 흐름도에 의해 예시된다. 동작 501에서, 프리 웨팅 액체는 탈가스된다. 일부 실시예들에서, 탈가스는 액체로부터 산소 및 질소를 실질적으로 제거하도록 수행된다. 이러한 포괄적인 탈가스는, 예를 들어, 프리 웨팅 액체를 탈가스기에 콘택하는 멤브레인을 통과시킴으로써 수행될 수 있다. 상업적으로 입수가능한 탈가스 디바이스들의 예들은 NC, Charlotte 소재의 Membrana으로부터의 Liquid-CelTM 및 Minnesota, Chaska 소재의 Entegris로부터의 pHasorTM 를 포함한다. 동작 503에서, 웨이퍼 기판을 하우징하는 프리 웨팅 프로세스 챔버 내의 압력은 부압으로 감소된다. 일부 실시예들에서, 압력은 약 10 내지 100 torr, 예컨대 약 30 내지 50 torr, 예컨대 약 60 torr로 감소된다. 동작 505에서, 탈가스된 프리 웨팅 액체는 프리 웨팅 챔버 내에 위치된 기판과 콘택트하게 된다. 예를 들어, 기판은 탈가스된 프리 웨팅 액체가 기판 상에 스프레이되거나 스트리밍되는 동안 회전할 수도 있다. 연속적인 웨팅 층인 이 동작의 결과로서 형성되어, 후속하는 전기도금 동안 버블 형성의 기회를 최소화한다. 다음에, 동작 507에서, 압력은 프리 웨팅 챔버 내에서 대기압 압력으로 상승되고, 프리 웨팅된 기판은 후속하는 리세스된 피처들 내로 금속의 전착을 위해 전기도금 챔버 내로 이송된다. 본 명세서에서 제공된 전처리 액체들과 함께 사용될 수 있는 감소된 압력 하에서 프리 웨팅을 위한 장치 및 방법들의 상세들은 2015년 2월 24일 허여된, 명칭이 "Wetting Pretreatment for Enhanced Damascene Metal Filling"인, 본 명세서에 전체가 참조로서 인용된, Mayer 등의 미국 특허 제 8,962,085 호에 기술된다.
바람직한 실시예에서, 대부분의 비응결성 가스들 (예를 들어, 산소 및 질소) 은 기판과 콘택트하기 전에 프리 웨팅 용액으로부터, 그리고 전기도금 전 전기도금 용액으로부터의 탈가스를 통해 제거되고, 탈가스된 프리 웨팅 용액은 버블들의 형성을 방지하기 위해 진공 하에서 기판과 콘택트한다. 다른 실시예들에서, 대부분의 비응결성 가스들은 프리 웨팅 용액으로부터만 제거되고, 전기도금 용액으로부터 또는 전기도금 용액으로부터, 프리 웨팅 용액으로부터는 제거되지 않는다. 또 다른 실시예에서, 전기도금 용액 또는 프리 웨팅 액체 어느 것도 탈가스되지 않는다.
본 명세서에 기술된 전처리에 적합한 프리 웨팅 용액은 다양한 방법 시퀀스들을 사용하여 준비될 수 있다. 도 6은 고농도의 제 2 구리 이온들을 함유하는 사전웨팅 용액의 준비를 위한 일 예시적인 방법을 도시한다. 동작 601에서, 적어도 약 10 g/L의 농도의 제 2 구리 이온들을 함유하는 용액이 제공된다. 다음에, 동작 603에서, 억제제가 용액에 첨가된다. 선택가능하게, 동작 605에서 전처리 액체의 pH는 약 2 미만으로 조정된다. 이어서 형성된 용액은 동작 607에서 선택가능하게 탈가스될 수도 있다. 일부 실시예들에서, 프리 웨팅 용액은 본질적으로 적어도 약 10 g/L의 농도의 제 2 구리 이온들, 적어도 약 50 ppm의 폴리알킬렌 글리콜류로부터의 화합물, 및 산이 제공된 물, 구리염 (예를 들어, 구리 술페이트 또는 구리 메탄술포네이트) 으로 이루어지고, 용액의 pH는 약 2 미만이다. 일부 실시예들에서, 할라이드 (예를 들어, 클로라이드 또는 브로마이드) 가 또한 이 용액에 첨가된다.
일반적으로, 제 2 구리 이온들 및 억제제가 단일 용액에 용해된 동안 제 2 구리 이온들 및 억제제를 적용하는 것이 바람직하다. 다른 실시예들에서, 기판을 프리 웨팅 액체와 콘택트하는 단계는 2 서브단계들을 포함하고: 제 1 서브단계에서, 기판은 적어도 약 10 g/L의 농도로 구리 이온들을 함유하는 제 1 프리 웨팅 액체와 콘택트하고, 제 2 서브단계에서, 기판은 억제제를 함유하는 제 2 프리 웨팅 액체와 콘택트하고, 제 1 프리 웨팅 액체 및 제 2 프리 웨팅 액체의 조성들은 상이할 수 있다 (예를 들어, 제 1 프리 웨팅 액체는 억제제가 없을 수도 있고; 제 2 프리 웨팅 액체는 구리 이온들이 없을 수도 있다). 또 다른 실시예에서, 서브단계들의 순서는 반전될 수도 있고, 즉, 기판은 제 1 서브단계에서 억제제를 함유하는 제 1 프리 웨팅 액체에 의해 콘택트될 수도 있고, 이어서 제 2 서브단계에서 적어도 약 10 g/L의 농도로 구리 이온들을 함유하는 제 2 프리 웨팅 액체에 의해 콘택트될 수도 있다. 상기 기술된 바와 같이, 단일 프리 웨팅 액체 및 2개의 별개의 프리 웨팅 액체들을 사용하는 처리 양자는 적어도 약 10 g/L의 농도의 제 2 구리 이온들 (Cu2 +) 을 포함하는 프리 웨팅 액체 및 전기도금 억제제와 기판을 콘택트하는 범위 내에 속한다.
본 명세서에 제공된 방법들은 웨이퍼 상으로 프리 웨팅 액체를 전달하는 동작을 위해 구성된 임의의 타입의 장치에서 실시될 수 있다. 일부 실시예들에서, 전처리는 전기도금 챔버와 상이한 별도의 프리 웨팅 챔버에서 수행된다. 다른 실시예들에서, 전처리는 전기도금 전에 전기도금 챔버 내에서 수행된다. 장치는 통상적으로 본 명세서에 제공된 임의의 방법들을 수행하기 위한 프로그램 인스트럭션들 및/또는 빌트-인 로직을 포함하는 제어기를 더 포함한다. 제어기는 기판에 제공된 프리 웨팅 액체의 플로우 및 조성을 제어하기 위한 프로그램 인스트럭션, 프리 웨팅 챔버의 압력을 조절하기 위한 프로그램 인스트럭션, 그리고 기판 상에 구리를 전기도금하기 위한 프로그램 인스트럭션들을 포함할 수도 있다.
일부 실시예들에서, 기판은 리세스된 피처들 내에 버블들의 포착이 방지되도록, 전기도금 전에 프리 웨팅 챔버 내에서 프리 웨팅된다. 프리 웨팅 챔버의 일 실시예는 도 7에 도시된다. 이 실시예에서 도시된 프리 웨팅 챔버는 일 시간 기간 동안 웨이퍼 기판 상으로 프리 웨팅 액체를 스프레이 또는 스트리밍하도록 구성된다. 도 7에서, 웨이퍼 (701) 는 웨이퍼 홀더 (702) 를 갖는 프리 웨팅 챔버 (703) 에서 똑바로 (face-up) 홀딩된다. 일부 실시예들에서, 웨이퍼 홀더는 프리 웨팅 프로세스 동안 실질적으로 수평 (예를 들어, "똑바로 (face-up)" 또는 "거꾸로 (face-down)") 배향으로 웨이퍼 기판을 홀딩하도록 구성된다. 다른 실시예들에서, 웨이퍼 홀더는 프리 웨팅 프로세스 동안 실질적으로 수직 배향으로 웨이퍼 기판을 홀딩하도록 구성된다.
통상적인 동작에서, 진공 시스템 (미도시) 에 연결된 진공 포트 (709) 를 통해 진공이 먼저 챔버 (703) 에 풀링된다 (pulled on). 이는 챔버 내 압력을 부압으로 감소시킨다. 챔버 내 대부분의 가스가 진공에 의해 제거된 후, 프리 웨팅 액체가 노즐 (705) 또는 다른 메커니즘으로부터 웨이퍼 표면으로 전달된다. 일부 실시예들에서, 프리 웨팅 유체는 프리 웨팅 유체로 릴리즈되는 가스가 진공 분위기 내로 들어가는 것을 방지하기 위해 웨이퍼 표면과 콘택트하기 전에 탈가스된다. 웨이퍼는 웨이퍼의 완전한 웨팅 및 노출을 보장하기 위해 프리 웨팅 유체 전달 프로세스 동안 모터 (707) 를 사용하여 회전될 수도 있다. 일부 실시예에서, 프리 웨팅 액체는 웨이퍼 기판의 중심에서 약 3 ㎝ 이내에서 회전하는 웨이퍼 기판과 먼저 콘택트한다. 프리 웨팅 후에, 웨이퍼는 혼입된 프리 웨팅 유체를 제거하기 위해 모터 (707) 를 사용하여 저 회전 레이트로 스핀하지만, 웨이퍼 표면 상에 유체의 박층을 남긴다. 과잉 프리 웨팅 유체는 드레인되고 포트 (711) 를 통해 진공 챔버를 나간다. 이어서 웨이퍼는 표면 장력에 의해 웨이퍼 표면 및 피처들 내에 유지된 프리 웨팅 유체의 박층으로 도금하기 위해 Lam Research 크램쉘 셀과 같은 도금 셀로 이송된다. 프리 웨팅 챔버는 또한 본 명세서에 기술된 프리 웨팅 프로세스의 다양한 양태들을 수행하기 위한 프로그램 인스트럭션들 및/또는 로직을 포함하는, 제어기 (713) 를 통상적으로 포함할 것이다.
일부 실시예들에서, 프리 웨팅 챔버 및 전기도금 챔버는 일 모듈 내에 포함되고, 모듈은 프리 웨팅이 완료된 후, 프리 웨팅 챔버로부터 전기도금 챔버로 기판을 이송하기 위한 프로그램 인스트럭션들을 갖는 제어기를 포함할 수도 있다.
전기도금 단계가 구현되는 장치가 도 8에 예시된다. 장치는 기판들 (예를 들어, 웨이퍼들) 이 프로세싱되는 하나 이상의 전기도금 셀들을 포함한다. 명확성을 보존하기 위해 일 전기도금 셀이 도 8에 도시된다. 보텀-업 전기도금을 최적화하기 위해, 첨가제들 (예를 들어, 촉진제들 및 억제제들) 은 종종 전해질에 첨가된다; 그러나 첨가제들을 갖는 전해질은 바람직하지 않은 방식들로 애노드와 반응할 수도 있다. 따라서, 도금 셀의 애노드 영역 및 캐소드 영역은 때때로, 상이한 조성의 도금 용액들이 영역 각각에서 사용될 수도 있도록 멤브레인에 의해 분리된다. 캐소드 영역의 도금 용액은 캐소드액이라고 하고, 애노드 영역에서는 애노드액이라고 한다. 도금 장치 내로 애노드액 및 캐소드액을 도입하기 위해 다수의 엔지니어링 설계들이 사용될 수 있다.
도 8을 참조하면, 일 실시예에 따른 전기도금 장치 (801) 의 개략적인 단면이 도시된다. 도금 욕 (803) 은 레벨 (805) 로 도시된 도금 용액을 담는다. 이 용기의 캐소드액 부분은 캐소드액 내에 기판들을 수용하는 동작을 위해 구성된다. 웨이퍼 (807) 는 도금 용액 내로 침지되고, 예를 들어, 회전가능한 스핀들 (811) 상에 장착된 "크램쉘" 홀딩 픽스처 (809) 에 의해 홀딩되고, 스핀들은 웨이퍼 (807) 와 함께 크램쉘 (809) 의 회전을 허용한다. 본 발명을 사용하기에 적합한 양태들을 갖는 크램쉘-타입 도금 장치의 일반적인 기술은 모든 목적들을 위해 참조로서 인용된, Patton 등에 허여된 미국 특허 제 6,156,167 호 및 Reid 등에 허여된 미국 특허 제 6,800,187 호에 상세히 기술된다.
애노드 (813) 는 도금 욕 (803) 내에서 웨이퍼 아래에 배치되며 멤브레인 (815), 바람직하게는 이온 선택성 멤브레인에 의해서 웨이퍼 영역으로부터 분리된다. 예를 들어, NafionTM 양이온 교환 멤브레인 (cationic exchange membrane) (CEM) 이 사용될 수 있다. 애노딕 멤브레인 아래의 영역은 때로 "애노드 챔버"로서 지칭된다. 이온 선택성 애노드 멤브레인 (815) 은 도금 셀의 애노드 영역과 캐소드 영역 간에서 이온이 서로 통하게 하면서 동시에 애노드에서 생성된 입자들이 웨이퍼 근처로 들어가서 웨이퍼를 오염시키는 것을 방지한다. 애노드 멤브레인은 또한 도금 프로세스 동안에 전류 흐름을 재분포시켜서 도금 균일성을 개선시키는데 유용하다. 적합한 애노드 멤브레인들의 상세한 설명들은 Reid 등에 허여된 미국 특허들 제 6,126,798 호 및 제 6,569,299 호에 제공되며, 이 두 문헌은 모든 목적을 위해서 본 명세서에서 참조로서 인용된다. 양이온 교환 멤브레인들과 같은 이온 교환 멤브레인이 이러한 애플리케이션들을 위해서 특히 적합하다. 이러한 멤브레인들은 통상적으로 이오노메릭 재료들 (ionomeric materials), 예를 들어 술포닉기들을 포함하는 과불화 공중합체들 (perfluorinated co-polymers) (예를 들어, NafionTM), 술폰화 폴리이미드들 (sulfonated polyimides), 및 양이온 교환을 위해서 적합하다고 본 기술 분야의 당업자에게 알려진 다른 재료들로 이루어진다. 적합한 NafionTM 멤브레인들의 선택된 예들은 Dupont de Nemours Co.로부터 입수가능한 N324 멤브레인 및 N424 멤브레인을 포함한다.
도금 동안, 도금 용액으로부터의 이온들이 기판 상에 증착된다. 금속 이온들은 확산 경계 층을 통해 그리고 TSV 홀 내로 확산되어야 한다. 이러한 확산을 보조하는 통상적인 방식은 펌프 (817) 에 의해서 제공된 전기도금 용액의 관통 대류성 흐름 (through convection flow) 이다. 부가적으로, 진동 교반 또는 음파 교반 (sonic agitation) 부재가 웨이퍼 회전과 함께 사용될 수 있다. 예를 들어, 진동 트랜스듀서 (808) 가 웨이퍼 척 (809) 에 부착될 수도 있다.
도금 용액은 펌프 (817) 에 의해 도금 욕 (803) 으로 연속적으로 제공된다. 일반적으로, 도금 용액은 상향으로 애노드 멤브레인 (815) 및 확산기 플레이트 (819) 를 통해서 웨이퍼 (807) 의 중앙으로 흐르고 이어서 웨이퍼 (807) 에 걸쳐서 방사상 외측으로 흐른다. 또한, 도금 용액은 도금 욕 (803) 의 일측으로부터 도금 욕의 애노드 영역으로 제공될 수도 있다. 이어서, 도금 용액은 오버플로우 저장부 (821) 로 도금 욕 (803) 을 오버플로우한다. 도금 용액은 이어서 여과되며 (미도시) 펌프 (817) 로 돌아가서 도금 용액의 재순환을 완료한다. 도금 셀의 특정 구성들에서, 개별 전해질이, 조금씩 침투가능한 멤브레인들 또는 이온 선택성 멤브레인들을 사용하여서 주 도금 용액과의 혼합이 방지되면서, 애노드가 수용된 도금 셀의 부분을 통해서 순환된다.
기준 전극 (831) 은 별도의 챔버 (833) 내의 도금 욕 (803) 의 외측에 위치하며, 이 챔버는 주 도금 욕 (803) 으로부터 오버플로우된 용액으로 채워진다. 대안적으로, 일부 실시예들에서, 기준 전극은 가능한한 기판 표면에 근접하게 위치하며 기준 전극 챔버가 모세관 튜브를 통해서 또는 또 다른 방법에 의해서 웨이퍼 기판의 측면과 연결되거나 웨이퍼 기판 바로 아래에 연결된다. 바람직한 실시예들 중 일부에서, 장치는 웨이퍼 주변부와 연결되어 웨이퍼의 주변부에서의 금속 씨드 층의 전위를 센싱하도록 구성되지만 웨이퍼로 어떠한 전류도 전달하지 않는 콘택트 센싱 리드들을 더 포함한다.
기준 전극 (831) 은 통상적으로 전기도금이 제어된 전위에서 수행되는 것이 요구되는 경우에 채용된다. 기준 전극 (831) 은 수은/수은 술페이트, 은 클로라이드, 포화된 칼로멜 또는 구리 금속과 같은 다양한 통상적으로 사용되는 타입들 중 하나일 수도 있다. 웨이퍼 (807) 와 직접 접촉하는 콘택트 센싱 리드 (미도시) 가 기준 전극과 더불어서 보다 정확한 전위 측정을 위해서 일부 실시예들에서 사용될 수도 있다.
DC 전력 공급부 (835) 가 웨이퍼 (807) 로의 전류 흐름을 제어하는데 사용될 수 있다. 펄스 전류의 공급 또는 펄싱된 전압을 인가할 수 있는 전력 공급부가 또한 적합할 수도 있고, 펄스들은 프로세스에 걸쳐 다양한 지속기간들 각각에 대한 순방향 (도금), 오프 (비 도금), 및 역 (디플레이팅 (de plating)) 세그먼트들의 다양한 조합들일 수도 있고, 반복되고/되거나 조절될 수도 있다. DC 전력 공급부 (835) 는 하나 이상의 슬립 링들, 브러시들 및 콘택트들 (미도시) 을 통해서 웨이퍼 (807) 에 전기적으로 연결된 네거티브 출력 리드 (839) 를 갖는다. 전력 공급부 (835) 의 포지티브 출력 리드 (841) 는 도금 욕 (803) 내에 위치한 애노드 (813) 와 전기적으로 연결된다. 전력 공급부 (835), 기준 전극 (831) 및 콘택트 센싱 리드 (미도시) 는 시스템 제어기 (847) 에 연결될 수 있고, 이 제어기는 다른 기능들 중에서도 전기도금 셀의 엘리먼트들에 제공된 전류 및 전위를 조절하는 것을 가능하게 한다. 예를 들어, 제어기는 전위가 제어되고 전류가 제어되는 방식으로 전기도금이 되게 한다. 제어기는 도금 셀의 다양한 엘리먼트들에 인가되어야 하는 전류 및 전압 레벨 및 이러한 레벨들이 변화되어야 하는 시간들을 특정하는 프로그램 인스트럭션들을 포함할 수도 있다. 순방향 전류가 인가되면, 전력 공급부 (835) 는 웨이퍼 (807) 가 애노드 (813) 에 대해서 네거티브 전위를 갖도록 웨이퍼 (807) 를 바이어스한다. 이로써, 전류가 애노드 (813) 로부터 웨이퍼 (807) 로 흐르며 전기화학적 환원 (예를 들어, Cu2 + + 2 e- = Cu0) 이 웨이퍼 표면 (캐소드) 상에서 발생하여서, 웨이퍼의 표면 상에 전기적으로 도전성인 층 (예를 들어, 구리) 의 증착을 발생시킨다.
장치는 또한 도금 용액 온도를 특정 레벨로 유지하는 가열기 (845) 를 포함할 수도 있다. 도금 용액은 도금 욕의 다른 요소들로 열을 전달하는데 사용될 수도 있다. 예를 들어, 웨이퍼 (807) 가 도금 욕 내로 로딩될 때, 장치 전반의 온도가 실질적으로 균일해질 때까지 도금 용액을 전기도금 장치 (801) 를 통해 순환시키도록 가열기 (845) 및 펌프 (817) 가 턴 온될 수도 있다. 일 실시예에서, 가열기는 시스템 제어기 (847) 에 연결된다. 시스템 제어기 (847) 는 전기도금 장치 내의 도금 용액 온도의 피드백을 수신하여서 추가적인 가열이 필요한지를 결정하도록 써모커플에 연결될 수도 있다.
제어기는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함한다. 이 프로세서는 CPU, 컴퓨터, 아날로그 및/또는 디지털 입출력 접속부, 스텝퍼 모터 제어기 보드, 등을 포함한다. 특정 실시예들에서, 제어기는 전기도금 장치 및/또는 프리 웨팅 챔버의 액티비티들을 모두 제어한다.
예를 들어, 제어기는 첨부된 청구항들에서 또는 상기 기술된 임의의 방법에 따라 전처리 및 전기도금을 수행하기 위한 인스트럭션들을 포함할 수 있다. 본 발명에 따라 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 비일시적 머신-판독가능 매체가 시스템 제어기에 커플링될 수도 있다.
통상적으로, 제어기 (847) 와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 상태의 그래픽 소프트웨어 디스플레이, 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
전기도금 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 예를 들어 어셈블리 언어, C, C++, Pascal, Fortran, 등과 같은 임의의 통상적인 컴퓨터 판독 가능 프로그래밍 언어로 기록될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램 내에 특정된 태스크들을 수행하도록 프로세서에 의해서 실행된다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 전달들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에서 상기 기술된 장치/프로세스는, 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위해 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비 내에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로, 단계들 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉 기판 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 그 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다. 일부 실시예들에서, 본 명세서에 기술된 방법들은 전기도금 장치 및 스텝퍼를 포함하는 시스템에서 구현될 것이다.
실험적 예들
예 1 (비교). 개구부에 60 ㎛ 깊이 및 6 ㎛ 직경을 갖는 복수의 비아들을 포함하는 웨이퍼 기판이 사용된다. 기판은 WN/W 확산 배리어 바이레이어 상에 무전해 디포지션에 의해 증착된 NiB 씨드층을 갖는다. 웨팅 층을 형성하기 위해 부압 하에서 탈가스된 탈이온수가 기판에 스프레이된다. 이어서, 압력은 대기압으로 상승되고, 기판은 프리 웨팅 챔버로부터 전기도금 셀로 이송되고, 전기도금 셀에서, 리세스된 피처를 충진하기 위해, 60 g/L의 구리 이온들, 60 g/L의 H2SO4, 50 ppm의 클로라이드 이온들, 및 MLI HSL-A/B/C 촉진제, 억제제, 및 평탄화제 (WA, Moses Lake 소재의 Moses Lake Industries로부터 입수가능함) 를 함유하는 산성 도금 용액을 사용하여 구리가 전착된다. 충진된 비아들의 단면들의 SEM (scanning electron microscope) 이미지들에서 보이드들이 관찰되었다. 보이드들은 웨이퍼의 중심 및 중간 위치들에 위치된 비아들에 대해 비아들의 하단부들에서 관찰되었다. 웨이퍼 에지에 위치된 비아들에서는 보이드들이 관찰되지 않았다.
예 2 (비교). 웨이퍼 기판은, 프리 웨팅 액체가 WA, Moses Lake 소재의 Moses Lake Industries로부터 입수가능한 HSL-PT1 (폴리알킬렌 글리콜류로부터의 화합물) 인 것을 제외하고, 예 1과 같이 프로세싱되었다. 보이드들은 웨이퍼의 중심에 위치된 비아들에 대해 비아들의 하단부들에서 관찰되었다. 웨이퍼의 중간 부분들 또는 웨이퍼 에지에 위치된 비아들에서는 보이드들이 관찰되지 않았다.
예 3 (비교). 웨이퍼 기판은 프리 웨팅 액체가, 약 1 미만의 pH를 갖는, 구리 이온들의 농도가 60 g/L인 구리 술페이트, 황산 (농도 60 g/L), 클로라이드 (농도 50 ppm) 의 수용액의 조성인 것을 제외하고 예 1과 같이 프로세싱되었다. 이 경우의 실험은 예 1 및 예 2보다 보다 작은 스케일로 수행되었지만, 결과들에 기초하면, 비교예 1 및 비교예 2와 유사한 충진 성능이 전체 웨이퍼 기판에 대해 예상된다. 예 4. 웨이퍼 기판은, 프리 웨팅 액체가 약 1 미만의 pH를 갖는, HSL-B (WA, Moses Lake 소재의 Moses Lake Industries로부터 입수가능한 전기도금 억제제, 농도 10 mL/L), 구리 이온들의 농도가 60 g/L인 구리 술페이트, 황산 (농도 60 g/L), 클로라이드 (농도 50 ppm) 의 수용액의 조성인 것을 제외하고 예 1과 같이 프로세싱되었다. 웨이퍼 기판 전체에서 모든 충진된 비아들의 SEM들에서 보이드들이 관찰되지 않았다.
프리 웨팅 액체에서 산 및 클로라이드 농도의 효과들은 블랭킷 웨이퍼의 분극 (polarization) 을 관찰함으로써 연구되었고 강한 효과를 갖는 것으로 관찰되지 않았다. 따라서, 고농도의 제 2 구리 이온들 및 전기도금 억제제를 함유하는 프리 웨팅 액체는 광범위한 클로라이드 농도들 (클로라이드의 부재를 포함) 에 대해, 그리고 광범위한 프리 웨팅 액체의 pH에 대해 부식을 방지하기 위해 효과적일 것이다.
대안적인 실시예들
니켈층 및 코발트층의 패시베이션을 위해 프리 웨팅 액체에 제 2 구리 이온들을 사용하는 것이 바람직하지만, 대안적인 실시예들에서, 프리 웨팅 액체 내 제 2 구리 이온들은 이러한 패시베이션이 가능한 임의의 산화제에 의해 치환될 수 있다. 산화제들의 예들은 제 2 철 이온들, 크롬산 이온들 및 질산 이온들을 포함한다.

Claims (20)

  1. 하나 이상의 리세스된 피처들을 포함하는 웨이퍼 기판 상에 구리를 전기도금하는 방법에 있어서,
    상기 방법은,
    (a) 웨이퍼 기판을 제공하는 단계로서, 상기 웨이퍼 기판은 표면의 적어도 일부 상에 노출된 니켈-함유 씨드층 및/또는 코발트-함유 씨드층을 갖는, 상기 웨이퍼 기판을 제공하는 단계;
    (b) 상기 웨이퍼 기판 상의 상기 씨드층을 프리 웨팅하도록 (pre-wetting) 상기 웨이퍼 기판을 프리 웨팅 액체와 콘택트하는 단계로서, 상기 프리 웨팅 액체는 적어도 10 g/L의 농도의 제 2 구리 이온들 (Cu2+) 및 적어도 50 ppm의 농도의 전기도금 억제제를 포함하는, 상기 웨이퍼 기판을 상기 프리 웨팅 액체와 콘택트하는 단계; 및
    (c) 상기 씨드층 상에 구리를 전착하는 (electrodeposit) 단계로서, 상기 전착된 구리는 상기 하나 이상의 리세스된 피처들을 적어도 부분적으로 충진하는, 상기 구리를 전착하는 단계를 포함하는, 웨이퍼 기판 상에 구리를 전기도금하는 방법.
  2. 제 1 항에 있어서,
    상기 씨드층은 니켈-함유층인, 웨이퍼 기판 상에 구리를 전기도금하는 방법.
  3. 제 1 항에 있어서,
    상기 단계 (c) 는 산성 전기도금 용액을 사용하여 상기 씨드층 상에 구리를 전착하는 단계를 포함하는, 웨이퍼 기판 상에 구리를 전기도금하는 방법.
  4. 제 1 항에 있어서,
    상기 웨이퍼 기판은 부압 (subatmospheric pressure) 하에서 상기 단계 (b) 에서 상기 프리 웨팅 액체와 콘택트하는, 웨이퍼 기판 상에 구리를 전기도금하는 방법.
  5. 제 2 항에 있어서,
    상기 프리 웨팅 액체는 적어도 30 g/L의 농도의 제 2 구리 이온들 (Cu2+) 을 포함하는, 웨이퍼 기판 상에 구리를 전기도금하는 방법.
  6. 삭제
  7. 제 2 항에 있어서,
    상기 전기도금 억제제는 폴리알킬렌 글리콜류로부터의 화합물인, 웨이퍼 기판 상에 구리를 전기도금하는 방법.
  8. 제 2 항에 있어서,
    상기 전기도금 억제제는 아미노기를 함유하는 폴리알킬렌 글리콜류로부터의 화합물인, 웨이퍼 기판 상에 구리를 전기도금하는 방법.
  9. 제 2 항에 있어서,
    상기 프리 웨팅 액체의 pH는 2 미만인, 웨이퍼 기판 상에 구리를 전기도금하는 방법.
  10. 제 2 항에 있어서,
    상기 웨이퍼 기판과 콘택트하기 전에 상기 프리 웨팅 액체를 탈가스하는 단계를 더 포함하는, 웨이퍼 기판 상에 구리를 전기도금하는 방법.
  11. 제 2 항에 있어서,
    상기 프리 웨팅 액체 내의 상기 제 2 구리 이온들의 농도는 상기 단계 (c) 에서 구리를 전기도금하기 위해 사용된 전기도금 용액 내의 제 2 구리 이온들의 농도와 같거나 보다 높은, 웨이퍼 기판 상에 구리를 전기도금하는 방법.
  12. 제 2 항에 있어서,
    상기 프리 웨팅 액체는 상기 단계 (c) 에서 구리를 전기도금하기 위해 사용된 전기도금 용액과 동일한 조성을 갖는, 웨이퍼 기판 상에 구리를 전기도금하는 방법.
  13. 제 2 항에 있어서,
    상기 프리 웨팅 액체는 할라이드, 전기도금 촉진제, 전기도금 평탄화제 및 이들의 조합들로 구성된 그룹으로부터 선택되는 첨가제를 더 포함하는, 웨이퍼 기판 상에 구리를 전기도금하는 방법.
  14. 제 2 항에 있어서,
    상기 프리 웨팅 액체는 황산, 메탄술폰산 및 이들의 혼합물들로 구성된 그룹으로부터 선택된 산을 포함하는, 웨이퍼 기판 상에 구리를 전기도금하는 방법.
  15. 제 2 항에 있어서,
    상기 니켈-함유층은 NiB 층인, 웨이퍼 기판 상에 구리를 전기도금하는 방법.
  16. 제 2 항에 있어서,
    상기 니켈-함유층은 NiP 층인, 웨이퍼 기판 상에 구리를 전기도금하는 방법.
  17. 제 2 항에 있어서,
    상기 하나 이상의 리세스된 피처들은 TSV들 (through silicon vias) 인, 웨이퍼 기판 상에 구리를 전기도금하는 방법.
  18. 제 2 항에 있어서,
    상기 프리 웨팅 액체는 산, 적어도 30 g/L의 농도의 제 2 구리 이온들을 포함하고, 상기 전기도금 억제제는 폴리알킬렌 글리콜류로부터의 화합물인, 웨이퍼 기판 상에 구리를 전기도금하는 방법.
  19. 제 1 항에 있어서,
    상기 웨이퍼 기판에 포토레지스트를 도포하는 단계;
    상기 포토레지스트를 광에 노출시키는 단계;
    상기 포토레지스트를 패터닝하고 상기 패턴을 상기 웨이퍼 기판에 전사하는 단계; 및
    상기 웨이퍼 기판으로부터 상기 포토레지스트를 선택적으로 제거하는 단계를 더 포함하는, 웨이퍼 기판 상에 구리를 전기도금하는 방법.
  20. 하나 이상의 리세스된 피처들을 포함하는 웨이퍼 기판 상의 노출된 니켈-함유 씨드층 및/또는 코발트-함유 씨드층 상에 구리를 전기도금하기 위한 장치에 있어서,
    상기 장치는,
    (a) 상기 웨이퍼 기판으로 프리 웨팅 액체를 전달하는 동작을 위해 구성된 프리 웨팅 챔버;
    (b) 구리 전기도금 용액을 홀딩하는 동작을 위해 구성된 도금 용기로서, 상기 장치는 상기 전기도금 용액으로부터의 구리를 상기 웨이퍼 기판 상의 상기 씨드층 상에 전착하는 동작을 위해 구성되는, 상기 도금 용기; 및
    (c) 프로그램 인스트럭션들 및/또는 로직을 포함하는 제어기를 포함하고,
    상기 프로그램 인스트럭션들 및/또는 로직은,
    (i) 상기 웨이퍼 기판을 프리 웨팅 액체와 콘택트하고,
    (ii) 구리를 상기 씨드층 상에 전착하기 위한 것이고,
    상기 프리 웨팅 액체는, 상기 웨이퍼 기판 상의 상기 씨드층을 프리 웨팅하기 위해, 적어도 10 g/L의 농도의 제 2 구리 이온들 (Cu2+) 및 적어도 50 ppm의 농도의 전기도금 억제제를 포함하고, 그리고,
    상기 전착된 구리는 상기 하나 이상의 리세스된 피처들을 적어도 부분적으로 충진하는, 구리를 전기도금하기 위한 장치.
KR1020160024885A 2015-03-04 2016-03-02 Tsv들 (through silicon vias) 내로 구리의 전착을 위한 니켈 라이너 및 코발트 라이너의 전처리 KR102509652B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/638,750 US9617648B2 (en) 2015-03-04 2015-03-04 Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
US14/638,750 2015-03-04

Publications (2)

Publication Number Publication Date
KR20160108174A KR20160108174A (ko) 2016-09-19
KR102509652B1 true KR102509652B1 (ko) 2023-03-13

Family

ID=56850346

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160024885A KR102509652B1 (ko) 2015-03-04 2016-03-02 Tsv들 (through silicon vias) 내로 구리의 전착을 위한 니켈 라이너 및 코발트 라이너의 전처리

Country Status (6)

Country Link
US (1) US9617648B2 (ko)
JP (1) JP6832067B2 (ko)
KR (1) KR102509652B1 (ko)
CN (1) CN105937043B (ko)
SG (2) SG10201601332YA (ko)
TW (1) TWI769131B (ko)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9677188B2 (en) 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
US8962085B2 (en) 2009-06-17 2015-02-24 Novellus Systems, Inc. Wetting pretreatment for enhanced damascene metal filling
US9455139B2 (en) 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9613833B2 (en) 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
JP6450296B2 (ja) * 2015-10-05 2019-01-09 浜松ホトニクス株式会社 配線構造体、及び配線構造体の製造方法
WO2017127197A1 (en) * 2016-01-21 2017-07-27 Applied Materials, Inc. Process and chemistry of plating of through silicon vias
US10329683B2 (en) * 2016-11-03 2019-06-25 Lam Research Corporation Process for optimizing cobalt electrofill using sacrificial oxidants
US10373864B2 (en) * 2016-12-27 2019-08-06 Applied Materials, Inc. Systems and methods for wetting substrates
JP7067863B2 (ja) 2016-12-28 2022-05-16 株式会社荏原製作所 基板を処理するための方法および装置
US10103056B2 (en) * 2017-03-08 2018-10-16 Lam Research Corporation Methods for wet metal seed deposition for bottom up gapfill of features
US10242879B2 (en) * 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
CN109385650A (zh) * 2017-08-09 2019-02-26 中南大学 一种硅通孔结构、硅通孔结构的制造方法及其装置
KR20230125095A (ko) * 2017-11-01 2023-08-28 램 리써치 코포레이션 전기화학적 도금 장치 상에서 도금 전해질 농도 제어
CN114051542A (zh) * 2019-05-01 2022-02-15 朗姆研究公司 半导体设备制造中在金属电沉积期间的晶种层的保护
CN113013085A (zh) * 2019-12-20 2021-06-22 有研工程技术研究院有限公司 一种生长具有高温稳定性的纳米孪晶铜的硅通孔填充方法
KR20220130202A (ko) 2020-02-26 2022-09-26 후지필름 가부시키가이샤 금속 충전 미세 구조체, 금속 충전 미세 구조체의 제조 방법 및 구조체
KR102484547B1 (ko) * 2020-10-08 2023-01-04 연세대학교 산학협력단 액체금속 표면 상에 백금 나노구조체를 형성시키는 방법 및 그 방법에 의해 제조된 액체금속
CN113809001B (zh) * 2021-09-03 2023-12-01 长江存储科技有限责任公司 半导体器件及其形成方法
CN115896881B (zh) * 2022-11-17 2023-06-13 安徽建筑大学 可防止偏移的半导体晶圆片电镀系统

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100320609A1 (en) * 2009-06-17 2010-12-23 Mayer Steven T Wetting pretreatment for enhanced damascene metal filling

Family Cites Families (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1255395A (en) 1916-05-05 1918-02-05 Arthur E Duram Liquid-separator and the like.
US3360248A (en) 1964-10-23 1967-12-26 Houdaille Industries Inc Thin stream direct contact fluid heater
US3849002A (en) 1973-05-11 1974-11-19 Hach Chemical Co Method and apparatus for eliminating air during fluid turbidity measurement
US4101919A (en) 1976-08-02 1978-07-18 Quantor Corporation Film processing apparatus
US4229191A (en) 1978-08-04 1980-10-21 Moore Lester P Technique for modifying the capacity of gas-liquid separator
EP0021570B1 (en) 1979-05-23 1983-10-05 Imperial Chemical Industries Plc Process and apparatus for the treatment of waste water
US4816081A (en) 1987-02-17 1989-03-28 Fsi Corporation Apparatus and process for static drying of substrates
JPH0772357B2 (ja) 1989-03-07 1995-08-02 日本電気株式会社 電気メッキ方法
US5000827A (en) 1990-01-02 1991-03-19 Motorola, Inc. Method and apparatus for adjusting plating solution flow characteristics at substrate cathode periphery to minimize edge effect
US5221449A (en) 1990-10-26 1993-06-22 International Business Machines Corporation Method of making Alpha-Ta thin films
JPH0819516B2 (ja) 1990-10-26 1996-02-28 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン 薄膜状のアルファTaを形成するための方法および構造
SE467976B (sv) 1991-02-20 1992-10-12 Dcm Innovation Ab Anordning foer elektroplaetering, vid framstaellning av matriser foer tillverkning av t ex cd-skivor samt foerfarande foer tillverkning av matriser medelst anordningen
US5482611A (en) 1991-09-30 1996-01-09 Helmer; John C. Physical vapor deposition employing ion extraction from a plasma
JPH06151397A (ja) 1992-11-09 1994-05-31 Ryoden Semiconductor Syst Eng Kk ウエハ洗浄装置
JPH07230173A (ja) 1994-02-17 1995-08-29 Dainippon Screen Mfg Co Ltd 現像方法及びその装置
JPH08265358A (ja) 1995-03-20 1996-10-11 Hitachi Ltd 無線lanシステム及びその基地局装置、無線端末装置及び情報フレームの中継方法
US5800626A (en) 1997-02-18 1998-09-01 International Business Machines Corporation Control of gas content in process liquids for improved megasonic cleaning of semiconductor wafers and microelectronics substrates
US5831727A (en) 1997-04-29 1998-11-03 Hach Company Bubble elimination from liquid
US5985762A (en) 1997-05-19 1999-11-16 International Business Machines Corporation Method of forming a self-aligned copper diffusion barrier in vias
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
EP0901153B1 (en) 1997-09-02 2009-07-15 Ebara Corporation Method and apparatus for plating a substrate
US6156167A (en) 1997-11-13 2000-12-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating semiconductor wafers
US6126798A (en) 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6159354A (en) 1997-11-13 2000-12-12 Novellus Systems, Inc. Electric potential shaping method for electroplating
US6391166B1 (en) 1998-02-12 2002-05-21 Acm Research, Inc. Plating apparatus and method
DE69929967T2 (de) 1998-04-21 2007-05-24 Applied Materials, Inc., Santa Clara Elektroplattierungssystem und verfahren zur elektroplattierung auf substraten
JPH11307481A (ja) 1998-04-24 1999-11-05 Sony Corp 電解めっき装置および電解めっき方法
US6217716B1 (en) 1998-05-06 2001-04-17 Novellus Systems, Inc. Apparatus and method for improving target erosion in hollow cathode magnetron sputter source
US6099702A (en) 1998-06-10 2000-08-08 Novellus Systems, Inc. Electroplating chamber with rotatable wafer holder and pre-wetting and rinsing capability
US6074544A (en) 1998-07-22 2000-06-13 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
US6793796B2 (en) 1998-10-26 2004-09-21 Novellus Systems, Inc. Electroplating process for avoiding defects in metal features of integrated circuit devices
US6402923B1 (en) 2000-03-27 2002-06-11 Novellus Systems Inc Method and apparatus for uniform electroplating of integrated circuits using a variable field shaping element
TW522455B (en) 1998-11-09 2003-03-01 Ebara Corp Plating method and apparatus therefor
US6413388B1 (en) 2000-02-23 2002-07-02 Nutool Inc. Pad designs and structures for a versatile materials processing apparatus
US6124203A (en) 1998-12-07 2000-09-26 Advanced Micro Devices, Inc. Method for forming conformal barrier layers
US6004470A (en) 1999-01-04 1999-12-21 Abril; Tim Apparatus and method for coolant/tramp oil separation
US6193854B1 (en) 1999-01-05 2001-02-27 Novellus Systems, Inc. Apparatus and method for controlling erosion profile in hollow cathode magnetron sputter source
US6179973B1 (en) 1999-01-05 2001-01-30 Novellus Systems, Inc. Apparatus and method for controlling plasma uniformity across a substrate
US6221757B1 (en) 1999-01-20 2001-04-24 Infineon Technologies Ag Method of making a microelectronic structure
US6582578B1 (en) 1999-04-08 2003-06-24 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
US6368475B1 (en) 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
US6596148B1 (en) 1999-08-04 2003-07-22 Mykrolis Corporation Regeneration of plating baths and system therefore
US6333275B1 (en) 1999-10-01 2001-12-25 Novellus Systems, Inc. Etchant mixing system for edge bevel removal of copper from silicon wafers
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6551483B1 (en) 2000-02-29 2003-04-22 Novellus Systems, Inc. Method for potential controlled electroplating of fine patterns on semiconductor wafers
JP3979847B2 (ja) 2000-03-17 2007-09-19 株式会社荏原製作所 めっき装置
JP4664320B2 (ja) 2000-03-17 2011-04-06 株式会社荏原製作所 めっき方法
US8475636B2 (en) 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
US8308931B2 (en) 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
KR20010107766A (ko) 2000-05-26 2001-12-07 마에다 시게루 기판처리장치 및 기판도금장치
JP2004515053A (ja) 2000-06-26 2004-05-20 アプライド マテリアルズ インコーポレイテッド ウェーハ洗浄方法及び装置
US6576110B2 (en) 2000-07-07 2003-06-10 Applied Materials, Inc. Coated anode apparatus and associated method
JP2002097598A (ja) 2000-09-25 2002-04-02 Mitsubishi Electric Corp 電解メッキ装置
US6964792B1 (en) 2000-11-03 2005-11-15 Novellus Systems, Inc. Methods and apparatus for controlling electrolyte flow for uniform plating
US6610189B2 (en) 2001-01-03 2003-08-26 Applied Materials, Inc. Method and associated apparatus to mechanically enhance the deposition of a metal film within a feature
US7014679B2 (en) 2001-02-07 2006-03-21 Mykrolis Corporation Process for degassing an aqueous plating solution
US6540899B2 (en) 2001-04-05 2003-04-01 All Wet Technologies, Inc. Method of and apparatus for fluid sealing, while electrically contacting, wet-processed workpieces
US6551487B1 (en) 2001-05-31 2003-04-22 Novellus Systems, Inc. Methods and apparatus for controlled-angle wafer immersion
US6800187B1 (en) 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
US20040188257A1 (en) 2001-08-31 2004-09-30 John Klocke Methods for processing micro-feature workpieces, patterned structures on micro-feature workpieces, and integrated tools for processing micro-feature workpieces
TWI227285B (en) 2001-10-15 2005-02-01 Univ Southern California Methods of and apparatus for producing a three-dimensional structure
JP2003129298A (ja) 2001-10-17 2003-05-08 Matsushita Electric Ind Co Ltd メッキ液評価装置、メッキ液評価方法、電子デバイスの製造装置及び電子デバイスの製造方法
JP2003129283A (ja) 2001-10-18 2003-05-08 Hitachi Ltd メッキ処理装置及びそれを用いた半導体装置の製造方法
US7771662B2 (en) 2001-10-19 2010-08-10 Hologic, Inc Vial system and method for processing liquid-based specimens
US20030116439A1 (en) 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
JP3979464B2 (ja) 2001-12-27 2007-09-19 株式会社荏原製作所 無電解めっき前処理装置及び方法
US6753250B1 (en) 2002-06-12 2004-06-22 Novellus Systems, Inc. Method of fabricating low dielectric constant dielectric films
US6749739B2 (en) 2002-10-07 2004-06-15 Eci Technology, Inc. Detection of suppressor breakdown contaminants in a plating bath
JP4015531B2 (ja) 2002-10-31 2007-11-28 大日本スクリーン製造株式会社 メッキ装置およびメッキ方法
US7189146B2 (en) 2003-03-27 2007-03-13 Asm Nutool, Inc. Method for reduction of defects in wet processed layers
US20040200725A1 (en) 2003-04-09 2004-10-14 Applied Materials Inc. Application of antifoaming agent to reduce defects in a semiconductor electrochemical plating process
JP2004315889A (ja) 2003-04-16 2004-11-11 Ebara Corp 半導体基板のめっき方法
US20060141157A1 (en) 2003-05-27 2006-06-29 Masahiko Sekimoto Plating apparatus and plating method
US20050026455A1 (en) 2003-05-30 2005-02-03 Satomi Hamada Substrate processing apparatus and substrate processing method
JP2006004955A (ja) 2003-05-30 2006-01-05 Ebara Corp 基板処理装置及び基板処理方法
US7270734B1 (en) 2003-06-06 2007-09-18 Technic, Inc. Near neutral pH cleaning/activation process to reduce surface oxides on metal surfaces prior to electroplating
JP2005048209A (ja) 2003-07-30 2005-02-24 Hitachi Ltd 無電解メッキ方法、無電解メッキ装置、半導体装置の製造方法及びその製造装置
JP2005133160A (ja) 2003-10-30 2005-05-26 Ebara Corp 基板処理装置及び方法
ATE450813T1 (de) 2004-05-17 2009-12-15 Fujifilm Corp Verfahren zur erzeugung eines musters
JP4973829B2 (ja) 2004-07-23 2012-07-11 上村工業株式会社 電気銅めっき浴及び電気銅めっき方法
US7438794B2 (en) 2004-09-30 2008-10-21 Intel Corporation Method of copper electroplating to improve gapfill
TW200632147A (ko) 2004-11-12 2006-09-16
TWI328622B (en) 2005-09-30 2010-08-11 Rohm & Haas Elect Mat Leveler compounds
JP2009516080A (ja) * 2005-11-18 2009-04-16 レプリソールス テクノロジーズ アーベー 電極およびその形成方法
WO2007112768A1 (en) 2006-03-30 2007-10-11 Freescale Semiconductor, Inc. Process for filling recessed features in a dielectric substrate
US8261758B2 (en) 2006-08-17 2012-09-11 Novellus Systems, Inc. Apparatus and method for cleaning and removing liquids from front and back sides of a rotating workpiece
KR100832705B1 (ko) 2006-12-23 2008-05-28 동부일렉트로닉스 주식회사 시스템 인 패키지의 비아 도금방법 및 그 시스템
JP4805862B2 (ja) 2007-02-21 2011-11-02 富士通セミコンダクター株式会社 基板処理装置、基板処理方法、及び半導体装置の製造方法
JPWO2008126522A1 (ja) 2007-03-15 2010-07-22 日鉱金属株式会社 銅電解液及びそれを用いて得られた2層フレキシブル基板
TWI341554B (en) 2007-08-02 2011-05-01 Enthone Copper metallization of through silicon via
JP2009064599A (ja) 2007-09-05 2009-03-26 Canon Inc 塗布装置
CN101971037A (zh) 2008-03-14 2011-02-09 富士胶片株式会社 探针卡
US7776741B2 (en) 2008-08-18 2010-08-17 Novellus Systems, Inc. Process for through silicon via filing
US20100163078A1 (en) 2008-12-31 2010-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Spinner and method of cleaning substrate using the spinner
US8237246B2 (en) 2009-02-12 2012-08-07 International Business Machines Corporation Deep trench crackstops under contacts
KR101067608B1 (ko) 2009-03-30 2011-09-27 다이닛뽕스크린 세이조오 가부시키가이샤 기판처리장치 및 기판처리방법
US8404095B2 (en) 2009-06-02 2013-03-26 The United States Of America, As Represented By The Secretary Of The Navy Preparing electrodes for electroplating
US9455139B2 (en) 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9677188B2 (en) 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
MY157126A (en) * 2009-07-30 2016-05-13 Basf Se Composition for metal plating comprising suppressing agent for void free submicron feature filling
US9138784B1 (en) 2009-12-18 2015-09-22 Novellus Systems, Inc. Deionized water conditioning system and methods
US8992757B2 (en) * 2010-05-19 2015-03-31 Novellus Systems, Inc. Through silicon via filling using an electrolyte with a dual state inhibitor
CA2801875C (en) * 2010-06-11 2018-09-04 Alchimer Copper-electroplating composition and process for filling a cavity in a semiconductor substrate using this composition
US8795480B2 (en) 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
BR112013003430A2 (pt) 2010-08-17 2016-06-21 Chemetall Gmbh "processo para o cobreamento de substratos metálicos sem corrente elétrica."
KR101914022B1 (ko) * 2010-12-21 2018-11-01 바스프 에스이 레벨링제를 포함하는 금속 전기도금용 조성물
US9816193B2 (en) 2011-01-07 2017-11-14 Novellus Systems, Inc. Configuration and method of operation of an electrodeposition system for improved process stability and performance
JP2012224944A (ja) * 2011-04-08 2012-11-15 Ebara Corp 電気めっき方法
US9028666B2 (en) 2011-05-17 2015-05-12 Novellus Systems, Inc. Wetting wave front control for reduced air entrapment during wafer entry into electroplating bath
KR102113883B1 (ko) 2012-03-13 2020-05-22 노벨러스 시스템즈, 인코포레이티드 관통 레지스트 금속 도금을 위한 웨팅 전처리의 방법들 및 장치
CN102786879B (zh) 2012-07-17 2014-04-23 清华大学 钛酸钡化学机械抛光水性组合物及其应用
JP6079150B2 (ja) * 2012-11-07 2017-02-15 凸版印刷株式会社 めっきによる貫通孔の銅充填方法
US9613833B2 (en) 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9054163B2 (en) * 2013-11-06 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for via plating with seed layer
US9435049B2 (en) 2013-11-20 2016-09-06 Lam Research Corporation Alkaline pretreatment for electroplating
US9481942B2 (en) 2015-02-03 2016-11-01 Lam Research Corporation Geometry and process optimization for ultra-high RPM plating

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100320609A1 (en) * 2009-06-17 2010-12-23 Mayer Steven T Wetting pretreatment for enhanced damascene metal filling

Also Published As

Publication number Publication date
KR20160108174A (ko) 2016-09-19
JP6832067B2 (ja) 2021-02-24
TWI769131B (zh) 2022-07-01
US20160258078A1 (en) 2016-09-08
CN105937043A (zh) 2016-09-14
JP2016186127A (ja) 2016-10-27
CN105937043B (zh) 2018-07-20
US9617648B2 (en) 2017-04-11
SG10201908095TA (en) 2019-10-30
SG10201601332YA (en) 2016-10-28
TW201708622A (zh) 2017-03-01

Similar Documents

Publication Publication Date Title
KR102509652B1 (ko) Tsv들 (through silicon vias) 내로 구리의 전착을 위한 니켈 라이너 및 코발트 라이너의 전처리
US9435049B2 (en) Alkaline pretreatment for electroplating
KR101105485B1 (ko) 관통전극형 채움 장치 및 방법
TWI523976B (zh) 利用具有雙態抑制劑的電解液之矽穿孔填充
US20150299886A1 (en) Method and apparatus for preparing a substrate with a semi-noble metal layer
US11610782B2 (en) Electro-oxidative metal removal in through mask interconnect fabrication
US11078591B2 (en) Process for optimizing cobalt electrofill using sacrificial oxidants
US8703615B1 (en) Copper electroplating process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US8377824B1 (en) Methods and apparatus for depositing copper on tungsten
US20050081744A1 (en) Electroplating compositions and methods for electroplating
TW201218277A (en) By-product mitigation in through-silicon-via plating
US8268155B1 (en) Copper electroplating solutions with halides
US20160355939A1 (en) Polarization stabilizer additive for electroplating
US10508351B2 (en) Layer-by-layer deposition using hydrogen
US20220102209A1 (en) Electrodeposition of cobalt tungsten films
WO2021142357A1 (en) Tsv process window and fill performance enhancement by long pulsing and ramping
WO2023204978A1 (en) Conformal copper deposition on thin liner layer
TW202405913A (zh) 在薄襯層上的保形銅沉積
WO2021207254A1 (en) Electrofill from alkaline electroplating solutions

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant