KR102357185B1 - 컨볼루션 커널을 사용한 입자 빔 기록기의 주문제작 - Google Patents

컨볼루션 커널을 사용한 입자 빔 기록기의 주문제작 Download PDF

Info

Publication number
KR102357185B1
KR102357185B1 KR1020150098463A KR20150098463A KR102357185B1 KR 102357185 B1 KR102357185 B1 KR 102357185B1 KR 1020150098463 A KR1020150098463 A KR 1020150098463A KR 20150098463 A KR20150098463 A KR 20150098463A KR 102357185 B1 KR102357185 B1 KR 102357185B1
Authority
KR
South Korea
Prior art keywords
exposure
aperture
target
pattern
kernel
Prior art date
Application number
KR1020150098463A
Other languages
English (en)
Other versions
KR20160007435A (ko
Inventor
엘마 플라츠굼머
Original Assignee
아이엠에스 나노패브릭케이션 게엠베하
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 아이엠에스 나노패브릭케이션 게엠베하 filed Critical 아이엠에스 나노패브릭케이션 게엠베하
Publication of KR20160007435A publication Critical patent/KR20160007435A/ko
Application granted granted Critical
Publication of KR102357185B1 publication Critical patent/KR102357185B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • H01J37/3177Multi-beam, e.g. fly's eye, comb probe
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/045Beam blanking or chopping, i.e. arrangements for momentarily interrupting exposure to the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/09Diaphragms; Shields associated with electron or ion-optical arrangements; Compensation of disturbing fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/302Controlling tubes by external information, e.g. programme control
    • H01J37/3023Programme control
    • H01J37/3026Patterning strategy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • H01J37/3175Projection methods, i.e. transfer substantially complete pattern to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/043Beam blanking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31761Patterning strategy
    • H01J2237/31764Dividing into sub-patterns

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Electron Beam Exposure (AREA)

Abstract

가능하게는 상이한 타입의 기준 기록 툴에 매치하도록 하전 입자 멀티빔 처리 장치에서 타겟에 소정의 패턴을 노출시키기 위해 사용되는 노출 패턴이 계산된다. 이러한 소정의 패턴(160)은 타겟 위의 이미지 에어리어에, 래스터 그래픽스와 같은, 기준 툴에 적절한 그래픽 표현으로서 제공된다. 이러한 그래픽 표현의 엘리먼트로부터 상기 엘리먼트의 공칭 위치 주위에 중심이 있는 화소의 그룹으로의 맵핑을 기술하는 컨볼루션 커널(162)이 사용된다. 이러한 컨볼루션 커널과의 그래픽 표현의 컨볼루션에 의해 공칭 노출 패턴이 계산되는데, 상기 공칭 노출 패턴은 상기 처리 장치에 의해 노출될 때 타겟 위에 공칭 선량 분포를 생성하기에 적절하다.

Description

컨볼루션 커널을 사용한 입자 빔 기록기의 주문제작{CUSTOMIZING A PARTICLE-BEAM WRITER USING A CONVOLUTION KERNEL}
본 발명은 에너지 전기 하전 입자의 빔에 의해 기재 또는 타겟의 표면에 패턴을 형성하기 위한 하전 입자 멀티빔 처리 장치 및 이러한 처리 장치에 의해 타겟에 생성되는 패턴을 계산하는 것에 관한 것이다. 보다 상세하게, 본 발명은 타겟 위의 이미지 에어리어의 다수의 화소를 노출시킴으로써 소정의 패턴을 기록하기 위해 입자 빔이 관통하는 복수의 차단 개구로 구성된 개구 어레이를 포함하는 패턴 형성 장치에 입자 빔이 지향되어 조사되는 하전 입자 멀티빔 처리 장치에서 타겟에 소정의 패턴을 노출시키기 위한 노출 패턴을 계산하는 방법에 관한 것이고, 상기 패턴 형성 장치에서, 상기 복수의 차단 개구는 상기 차단 개구의 상호 위치를 규정하는 사전결정된 배열로 배열되어 있고, 각 차단 개구는 각 노출 간격 동안 상기 타겟에 생성된 상응하는 개구 이미지로 각 차단 개구를 통해 노출되는 선량 값에 대해 선택적으로 조정가능하고, 상기 선량 값은 이산 그레이 스케일로부터 선택된 각각의 값을 취하고, 상기 소정의 패턴의 기록 공정 동안, 각각의 노출 간격으로 차단 개구가 타겟에 이미지화되어 상응하는 복수의 개구 이미지를 생성하는 일련의 노출 간격이 만들어진다. 또한, 본 발명은 상술된 방법을 채용하도록 구성된, 전자 하전 입자의 구조화된 빔에 의한 타겟의 노출을 위한 하전 입자 멀티빔 처리 장치에 관한 것이다.
상술된 타입의 하전 입자 멀티빔 처리 장치는 종래기술에 주지되어 있다. 특히, 출원인은 하전 입자 광학부, 패턴 형성(PD) 장치, 및 여기에서 채용된 멀티빔 기록 방법에 대해 출원인의 이름으로 다수의 특허에서 기술된 바와 같이 하전 입자 멀티빔 장치를 구현하였다. 예를 들어, 6" 마스크 차단 기재를 노출시키기 위해, eMET (electron Mask Exposure Tool) 또는 MBMW (multi-beam mask writer)로 불리는 EUV 리소그래피용 마스크 및 임프린트 리소그래피용 템플릿(1× 마스크)의, 193nm 액침 노광용 첨단 복잡한 포토마스크를 구현시킬 수 있는 50keV 전자 멀티빔 기록기가 구현되었다. 또한, PML2 (Projection Mask-Less Lithography)로 불리는 멀티빔 시스템이 실리콘 웨이퍼 기재 위의 전자 빔 직접 기록(EBDW)을 위해 구현되었다. 상기 종류의 멀티빔 처리 장치는 이후로 멀티빔 기록기, 또는 간단히 MBW로 부를 것이다.
MBW의 일반적인 구현으로서, 출원인은 상기 기재에 81.92 ㎛ ×81.92㎛의 치수의 빔 어레이 필드에 512×512(=262,144)개의 프로그램가능한 빔렛을 포함하는 20nm의 전체 빔 크기를 구현하는 50keV 전자 기록기 툴을 실현하였다. 이후로 "MBMW 툴"로 부르는 이러한 시스템에서, 상기 기재는, 보통, 전자 빔 감응성 레지스터로 덮힌 (6" × 6" = 152.4 mm × 152.4 mm의 면적 및 6"/4 = 6.35 mm의 두께를 갖는) 6" 마스크 블랭크이고; 멀티빔 기록은 레지스트 커버 150mm Si 웨이퍼에도 가능하다.
MBMW 툴과 같은 전형적인 MBW의 전류 밀도는 20nm 빔 크기를 사용할 때 1A/cm2 보다 높지 않다. 따라서, 모든 프로그램가능한 262,144개의 빔렛이 "온"일 때, 최대 전류는 1.05㎂이다. 이러한 구현에서, MBW 컬럼의 1 sigma blur는 실험적으로 검증된 바와 같이 대략 5nm이다.
빔 크기를 예를 들어, 20nm로부터 10nm로 변경할 가능성이 있다. 200:1 감소의 컬럼에 대해, 이것은 4㎛ × 4㎛ 구멍 크기 대신에 2㎛ × 2㎛ 구멍 크기의 개구를 갖는 상이한 개구 어레이 판(AAP)을 사용함으로써 복잡하지 않다. 출원인의 US8,546,767에 언급된 바와 같이, 빔 크기의 변화 역시 전체 크기, 개구 이격, 개구 형상등과 같은 상이한 기하학 파라미터의 다수의 개구 어레이를 갖는 AAP의 공간 조정에 의해 현장에서 실현될 수 있다.
10nm 빔 크기를 사용하고 4A/cm2 보다 높지 않은 전류 밀도를 기재에 제공할 때, (모든 빔렛이 "온"인 상태에서) 262,144 프로그램가능한 빔렛의 전류는 다시 최대 1.05㎂이다. 따라서, 이러한 경우에도 사실상 컬럼을 통한 전류에 의해 컬럼의 1 sigma blur에 아무런 변화도 없다.
1세대 MBW 생산 머신은 "온"인 모든 262,144개의 프로그램가능한 빔에 대해 대략 1㎂에 이르는 전류를 제공하는 20nm 및 10nm 빔을 사용하는 것을 목표로 한다. 차세대의 MBW 생산 머신에 대해 예를 들어, 8nm의 훨씬 더 작은 빔 크기를 사용하고, 동시에 기재에 81.92㎛ × 81.92㎛ 빔 어레이 필드에서 640×640=409,600 개의 빔렛을 제공하는 계획이 있다. 4A/cm2 에서 최대 전류 밀도를 유지함으로써 (모든 빔렛이 "온"일 때) 최대 전류가 1.05㎂가 되는 것이 보장될 것이다. 예를 들어, 5nm 빔 크기를 사용함으로써 기재에서 언급된 빔 어레이 필드에 1024 × 1024 = 1,048,576 개의 프로그램가능한 빔을 제공할 수 있고; 다시 4A/cm2 의 최대 전류 밀도에서 (모든 빔렛이 "온"일 때) 최대 전류가 1.05㎂가 된다.
상술된 종류의 MBW 설정과 대조적으로, 가변 형상 빔(VSB) 마스크 기록기의 전형적인 전류 밀도는 2개 위수의 크기 만큼 높다. 이러한 전류 밀도는 EBM-8000 VSB 마스크 기록기 툴과 같은 전형적인 VSB 마스크 기록기에 대해 특정된 바와 같이 400A/cm2 로 높다. VSB 마스크 기록기에서 형상 크기는 기록 동안 동적으로 변한다. 이로 인해 숏 크기에 따른 컬럼을 통한 전류의 변화량이 상당하게 된다. 400A/cm2 의 전류 밀도일 때, 10nm ×10nm 숏에 대한 전류는 0.4nA이다. EBM-8000 VSB 마스크 기록기 툴에 대해 최대 형상 크기는 0.35㎛ 제곱이다. 이러한 숏 크기에 대한 400A/cm2 의 전류 밀도로, 전류는 3개 위수의 크기 만큼 전류가 변하는 대략 0.5㎂로 높다. 이것은 점상 강도 분포 함수(SF) 및, 그 결과, VSB 컬럼의 블러가 일정하지 않지만 VSB 컬럼을 통해 전류에 따라 변한다는 것을 의미한다.
도 8에서 PSF 프로파일의 2개의 예가 주어져 있다. PSF 프로파일(61)은 5nm의 1sigma blur에 대한 것이고, PSF 프로파일(62)은 20nm의 1sigma blur에 대한 것이고; 62는 VSB 컬럼을 통해 증가되는 전류로 인해 저하된 것을 알 수 있다. 블러가 무시되는 경우("제로 블러"), 강도 프로파일(63)이 도 9의 30nm 선폭에 대해 도시되어 있다. 강도 프로파일의 "0.5" 레벨은 레지스트 디벨로프먼트에 이르는 "dose to clear" 에 상응한다. "0.5" 레벨이 기록되는 라인의 에지를 규정하기 때문에, 블러의 효과에 의해 상이한 선량 허용범위가 유발되고 그래서 공칭 소정의 위치로부터 기록되는 에지 위치의 편차를 유발한다. 좌측 에지 및 우측 에지의 소정의 위치(64, 65)는 각각 제로-블러 강도 프로파일(63)에 대해 충족된다. 5nm 1sigma blur에 대해, 강도 프로파일(66)은 여전히 이러한 조건을 크게 충족시킬 수 있지만; 10nm, 15nm, 및 20nm 1sigma blur에 대한 강도 프로파일(67, 68, 69)의 각각의 편차는 증가한다. 특히, 도 9 및 도 9a의 확대도로부터 볼 수 있는 바와 같이, 강도 프로파일의 위치(즉, "0.5"-레벨 교차)는 소정의 에지 위치(64, 65)(각각 좌측 및 우측 에지)로부터 각각 15nm 및 20nm의 1sigma blur에 대한 강도 프로파일을 갖는 열화된 에지 위치(70, 70')로 시프트된다. 이것은 VSB 기록기에 있어서 노출 선폭이 컬럼을 관통하는 전류의 함수인 블러에 의존할 것이라는 것을 설명한다. 임계 치수(CD)의 엄격한 타겟 조건을 충족시키기 위해, VSB 툴은 기록 동안 적절한 선량 및/또는 크기 보정을 유발할 필요가 있다.
상술된 바와 같은 본 출원인의 MBMW 툴과 같은 멀티빔 기록기 설정은 VSB 기록기 툴 또는 예를 들어, 멀티플 레이저 빔 기록기와 같은 다른 기준 툴(reference tool)과 비교하여 상당하고 중요한 장점을 갖고 있다.
함께 멀티플 레이저 빔 기록기 및/또는 VSB 마스크 기록기와 같은 기준 툴을 채용하는 상업 또는 전속 마스크 숍의 MBW 타입의 장치를 사용할 때, 기준 툴에 의해 기록될 것으로 계획된 마스크의 실현을 위해 MBW를 사용하는 사용자가 보다 단축된 마스크 기록 시간을 실현하고 및/또는 향상된 마스크 패턴 품질을 달성하는 목표를 갖고 있다. 제3 목표는 툴간 비교를 위해 기준 기록기 툴과 비교하여 동일한 품질을 갖는 NBW에 의해 마스크를 실현하는 것이다.
따라서, 본 발명의 목적은 기준 툴, 예를 들어, VSB 마스크 기록기 툴에 대해 준비된 데이터 세트를 MBW 타입의 장치에 공급하는 방법을 제공하고 MBW 타입의 장치를 소정의 태스크를 실행할 수 있도록 구성하는 것이다.
특별한 경우에, 기준 툴은 또한, 예를 들어, 특정 방향으로 선폭을 변경하거나, 작은 정사각형 패턴의 면적을 변경하거나, 특정 라인의 폭을 변경하는 것과 같은 특정 성능 특징을 변경하는 태스크가 있을 때, MBW 타입 자체의 툴일 수 있다.
본 발명의 제1 특징에 따라, 하전 입자 멀티빔 처리 장치에서 타겟 위에 소정의 패턴을 노출시키기 위한 노출 패턴을 계산하는 방법이 제안되어 있다. 본원의 시작부에 기술된 방법으로부터 시작하여, 본 발명은 다음의 단계를 포함하고 있다.
i) 상기 타겟 위의 이미지 에어리어 위에 그래픽 표현으로서 상기 소정의 패턴을 제공하는 단계로서, 상기 그래픽 표현은 소정의 해상도의 폭을 갖고 있고, 상기 해상도의 폭은 보통 상기 이미지 에어리어 내에서 이웃하는 화소 사이의 공칭 거리 보다 큰 단계,
ii) 컨볼루션 커널을 제공하는 단계로서, 상기 커널은 상기 그래픽 표현의 엘리먼트로부터 상기 엘리먼트의 공칭 위치 주위에 중심이 있는 화소의 그룹으로의 맵핑을 기술하는 단계,
iii) 상기 그래픽 표현의 상기 컨볼루션 커널과의 컨볼루션에 의해, 상기 다수의 화소에 형성된 화소 래스터 그래픽스로서 공칭 노출 패턴을 계산하는 단계로서, 상기 공칭 노출 패턴은 상기 타겟 공칭 선량 분포를 생성하는데 적절하고, 공칭 선량 분포는 소정의 강도 분포를 모방하거나 소정의 패턴의 윤곽 라인을 실현하는 기능을 할 수 있는 단계.
이렇게 생성된 공칭 노출 패턴은 각 화소에 대해 각각의 공칭 선량 값 Po를 적절하게 포함할 수 있다. 단계 i 및 ii는 임의의 상대 시간적 순서로 실행될 수 있다는 것을 주목해야 한다.
본 발명에 따른 이러한 솔루션은 등록 (배치) 사양을 충족시키면서 소정의 CD(임계 치수)의 품질, CDU(CD 균일성), 패턴 충실도로 패턴을 실현하기 위해, 상술된 eMET / MBMW / PML2 장치중 하나와 같은, 멀티빔 기록기 장치를 채용하면서 기준 툴 데이터 파일을 데이터 입력으로서 사용할 수 있도록 하는, 공지된 타입의 멀티빔 기록기 장치를 그 데이터 경로에 대해 구성하기 위한 방법을 제공한다. 또한, 본 발명에 의해 기준 툴, 예를 들어, VSB 마스크 기록기에 필요한 처리 시간과 비교하여 단축된 시간에 멀티빔 기록기 장치로 (6" 마스크 또는 실리콘 웨이퍼와 같은) 기재를 처리할 수 있다.
본 발명은 또한 예를 들어, 멀티빔 기록기 장치에 의해 패편화된 패턴의 X 및 Y 방향으로 상이한 선폭 및/또는 스케일을 조정하기 위해 측정, 피드백을 사용함으로써 멀티빔 기록기 장치의 결과를 향상시키는데 사용될 수 있다. 마찬가지로, 본 발명의 커널은 수정할 특징부의 크기와 관련된 특정 컨볼루션 커널을 사용함으로써 특정 패턴 타입, 예를 들어, 접촉 구멍(보통 정사각형 또는 원형 패턴)을 향상시키는데 사용될 수 있다.
본 발명의 다른 특징은 전자 하전 입자의 구조화된 빔에 의한 타겟의 노출을 위한 하전 입자 멀티빔 처리 장치로서, 조명 시스템, 패턴 형성 장치 및 투사 광학 시스템을 포함하고, 상기 조사 시스템은 상기 전기 하전 입자의 빔을 생성하고 상기 빔을 상기 패턴 형성 장치를 조사하는 넓은 빔으로 형성하도록 구성되어 있고, 상기 패턴 형성 장치는 상기 조사 빔의 형상을 다수의 서브 빔으로 구성된 구조화된 빔으로 형성하도록 구성되어 있고, 상기 투사 광학 시스템은 상기 패턴 형성 장치에서 형성된 빔 형상의 이미지를 상기 타겟에 투사하여 상기 타겟 위의 이미지 에어리어의 다수의 화소를 노출시키도록 구성되어 있고, 상기 패턴 형성 장치는 상기 서브 빔을 형성하는 복수의 차단 개구로 구성된 개구 어레이를 포함하고, 상기 복수의 차단 개구는 상기 차단 개구의 상호 위치를 규정하는 사전결정된 배열로 배열되어 있고, 각 차단 개구는 각 노출 간격 동안 타겟에 생성된 상응하는 개구 이미지로 각 차단 개구를 통해 노출되는 선량 값에 대해 선택적으로 조정가능하고, 상기 선량 값은 이산 그레이 스케일로부터 선택된 각각의 값을 취하고, 상기 소정의 패턴의 기록 공정 동안, 각각의 노출 간격으로 차단 개구가 타겟에 이미지화되어 상응하는 복수의 개구 이미지를 생성하는 일련의 노출 간격이 만들어지고, 상기 처리 장치는 본 발명에 따라, 기준 기록 장치의 소정의 패턴에 대해 기록 프로세스를 모방하도록 구성되어 있고, 상기 기준 기록 장치는 상기 처리 장치에 의해 생성된 개구 이미지의 공칭 폭 보다 큰 해상도의 폭을 갖는 점상 강도 분포 함수를 갖고 있고, 본 발명의 방법을 사용하여 상기 소정의 패턴을 상기 처리 장치에 의해 기록가능한 공칭 노출 패턴으로 전환하는 하전 입자 멀티빔 처리 장치에 관찬 것이다.
패턴 특징부의 배치를 보다 미세하게 제어할 수 있는 본 발명의 적절한 개발에서, 상기 기록 공정은 상호 중첩하는 개구 이미지를 생성하고, 상기 개구 이미지는 상기 타겟 위에 생성된 이웃 개구 이미지의 화소 위치 사이의 거리(1 보다 큰 인수 o에 의한, 보통의 경우에 정수 인수에 의한) 배수인 공칭 폭을 갖고 있고, 상기 방법은 iv) 상기 공칭 노출 패턴으로부터, 개구 이미지의 노출을 통해 상기 기록 공정에 의해 상기 소정의 패턴을 노출시키기에 적절한 노출 패턴을 생성하는 단계를 더 포함하고 있다.
본 발명의 방법의 전형적인 적용에서, 단계 i)에서 상기 그래픽 표현은 해상도의 폭으로서 사용되는 래스터 폭을 갖는 기준 래스터에 대한 래스터 그래픽스이다. 대안으로, 상기 그래픽 표현은 상기 이미지 에어리어 내에서 이웃하는 화소 사이의 공칭 거리 보다 큰 것이 바람직한 래스터 폭을 갖는 기준 래스터에 대한 래스터 그래픽스로 전환되는 벡터 그래픽스일 수 있다.
본 발명의 적절한 특징에 따라, 단계 iii)의 계산, 및 후속 계산(있다면)은 계산된 데이터의 영구 저장 없이 일시로 연관 계산을 실행하여 실시간으로 기록 공정 동안 실행된다.
하나의 유리한 실현에서, 상기 컨볼루션 커널은 상기 처리 장치에 의해 모방되는 기준 기록 장치의 점상 강도 분포 함수를 나타낼 수 있다. 보통, 상기 처리 장치의 점상 감도 분포 함수 자체는 여기에서 자주 무시될 수 있는데, 그 이유는 기준 기록 장치의 것 보다 훨씬 더 작기 때문이다.
상기 컨볼루션 커널의 하나의 적절한 표현은 화소 값의 이산 행렬로서 표현된다. 따라서, 단계 iii)의 컨볼루션은 이산 컨볼루션으로서, 즉 행렬의 이산 엘리먼트에 대해 실행될 수 있다.
본 발명의 다른 특징은 상기 이미지 에어리어에서 2개의 주요 방향에 대해 이방성을 갖는 콘볼루션 커널을 구현한다. 이로 인해 기준 툴의 이러한 이방성 동작을 모방하고 및/또는 보상하기 위해, 타원형 점상 강도 분포 함수 또는 다른 이방성을 적절하게 다를 수 있다. 하나의 유익한 적용으로서, 상기 커널의 이방성은 멀티빔 기록기 및/또는 모방되는 기준 툴에 대해, 상기 타겟으로의 차단 개구의 이미지화의 이방성을 보상하는데 사용될 수 있다.
또한, 상기 컨볼루션 커널은 또한, 모방되는 기준 기록 장치의 시간 의존 기록 동작에 상응하는 시간 의존 값, 즉, 시간 의존성을 포함할 수 있다. 예를 들어, 상기 시간 의존 값은 타겟에 존재하는 하전 입자 빔 감응성 레지스트와 같은, 타겟의 감응성의 노화 함수에 상응하는 시간 의존성을 포함할 수 있다. 대안으로 또는 결합되어, 시간에 따라 변할 수 있는 입자 전류를 발생시키는 소스로부터 입자 빔이 발생되는 다른 예로서, 시간 의존성은 이러한 소스로부터 방출된 전체 전류의 변동 함수에 상응하는 함수를 포함할 수 있다.
본 발명의 다른 유익한 개발에서 2개 이상의 컨볼루션 커널을 채용한다. 예를 들어, 각 커널은 이미지 필드를 가로지르는 점상 강도 분포 함수에서의 차이를 고려하기 위해 사용될 수 있는, 이미지 에어리어 내의 복수의 서브 에어리어의 각각의 서브 에어리어에 사용될 수 있다. 또한, 기록 공정의 상이한 스테이지에서 사용되고 각각의 개구 어레이에 대해 연관된 커널이 사용되는 복수의 개구 어레이가 패턴 형성 장치에 존재하는 것이 가능하다.
상기 계산은 그레이 스케일 데이터와 같은 실제 기록 공정에 사용되는 데이터 보다 높은 데이터 정도(precision)에서 이루어질 수 있는 것이 유리하다. 이러한 경우에, 단계 iii)의 컨볼루션은 이산 그레이 스케일의 해상도 보다 높은 연산 정도를 갖는 연산 값을 사용하여 실행될 수 있다.
또한, 상기 그래픽 표현의 해상도의 폭은 상기 하전 입자 멀티빔 처리 장치에 의해 생성된 개구 이미지의 공칭 폭 보다 클 수 있다. 또한, 본 발명의 기록 공정의 바람직한 실현에서, 개구 이미지의 위치는 노출 간격 동안 화소의 위치에서 타겟에 대해 고정된 상태로 유지되지만, 노출 간격 사이에서는 개구 이미지의 위치가 타겟 위에서 시프트되어, 상기 타겟 위에 상기 이미지 에어리어 내의 다수의 화소를 노출시킨다.
다음에서, 본 발명은 아래의 도면을 참조하여 보다 상세하게 설명되어 있다.
도 1은 종래 기술의 MBW 시스템의 길이방향 단면도이다.
도 2는 종래 기술의 패턴 형성 시스템의 길이방향 단면도이다.
도 3은 스트라이프를 사용한 타겟의 기본 기록 방법을 도시하는 도면이다.
도 4는 상기 타겟에 이미지화된 개구의 배열 예를 도시하는 도면이다.
도 5는 노출되는 패턴 예의 화소 맵의 예를 도시한 도면이다.
도 6a는 M=2, N=2의 개구의 배열을 도시한 도면이다.
도 6b는 "더블 격자" 배열의 화소의 오버샘플링의 예를 도시하는 도면이다.
도 7는 하나의 스트라이프의 노출을 도시하는 도면이다.
도 8은 VSB(가변 형상 빔) 마스크 기록기의 전형적인 강도 프로파일을 도시하는 도면이다.
도 9는 도 8에 상응하는 VSB 마스크 기록기에 대한 강도 프로파일을 도시하는 도면이다.
도 9a는 강도 프로파일이 "0.5" 강도 레벨을 횡단하는 도 9의 좌측을 상세하게 도시한 도면이다.
도 10은 도 1에 도시된 타입의 MBW의 강도 프로파일 및 30nm 라인에 대한 선량 레벨 프로파일을 도시하는 도면이다.
도 11은 도 10의 30nm 라인 선량 레벨 프로파일에 대한 강도 프로파일을 도시하는 도면이다.
도 12a 및 도 12b는 31.4 nm의 선폭(도 12a) 및 40.0nm의 선폭(도 12b)에 대한, MBW 강도 프로파일 및 라인의 시뮬레이션에 대해 취득된 관련 데이터를 도시하는 도면이다.
도 13은 이러한 MBW에 의한 30nm 선폭의 생성을 도시하는 도면이다.
도 13a는 강도 프로파일이 "0.5" 강도 레벨을 횡단하는 도 13의 좌측 상세도이다.
도 14는 MBW를 기준 툴, 특히 VSB 기록기에 매칭하기에 적절한 본 발명에 따른 커널을 도시하는 도면이다.
도 15는 30nm의 타겟 폭을 갖는 라인에 대한 MBW의 선량 레벨 히스토그램 및, 도 14의 커널과의 컨볼루션으로부터 나온 선량 레벨 히스토그램이다.
도 16은 점선이 기준 툴을 모방하기 위한 매칭 MBW에 의해 생성된 프로파일을 나타내고 마스크가 모방된 VSB 장치의 강도 프로파일을 나타내는, 도 15의 히스토그램으로부터 유도된 강도 프로파일을 도시하는 도면이다.
도 17 및 도 18은 각각 도 15 및 도 16과 유사한, 40nm의 타겟 폭을 가진 라인에 대한 선량 레벨 히스토그램 및 최종 강도 프로파일을 도시하는 도면이다.
도 19는 MBW, VSB 기준 툴, 및 매칭 MBW에 대한 CD 파라미터의 함수로서 CD 편차를 도시한 도면이다.
도 19a는 도 19의 CD 편차의 확대도이다.
도 20은 2차원 커널을 3D 강도 프로파일로서 표현한 도면이다.
도 21은 도 20의 커널을 행렬로 표현한 도면이다.
도 22a 내지 도 22c는 매트릭스 어레이(도 22a), 커널 구비 매트릭스 어레이(도 22b), 매치된 강도 프로파일 산출 매트릭스 어레이(도 22c)로서 주어진 강도 프로파일의 컨볼루션을 도시하는 도면이다.
도 23a 내지 도 23c는 MBW(도 23a), 기준 툴(VSB 기록기, 도 23b), 및 매칭 MB(도 23c)에 의해 노출될 때 30nm 라인의 2D 표현을 도시한 도면이다.
도 24a 및 도 24b는 보다 큰 선폭이 각각 X 방향 및 Y 방향을 따르는 이방성을 갖는 커널을 도시하는 도면이다.
도 24c는 도 24a의 커널의 2D 행렬 표현을 도시하는 도면이다.
도 25는 MBW의 데이터 경로를 도시하는 도면이다.
도 26a 내지 도 26d는 각각 본 발명에 따른 커널로서, 또는 커널을 계산하기 위한 시작점으로서 적절한 단순한 커널의 예를 도시하는 도면이다.
하술된 본 발명의 실시예는 기본적으로 공지된 타입의 출원인의 멀티빔 노출 툴의 온라인 데이터 경로에서 사용되지만, 하술되는 바와 같이 본 발명을 수용하도록 적절히 수정될 수 있는 "멀티빔 기록기-기준 툴 매칭 컨볼루션 커널(Multi-Beam Writer to Reference Tool Matching Convolution Kernel)", 짧게는 MRMC 커널 또는 단순히 "커널"을 구현한다. 본 발명은 단지 본 발명의 적절한 구현을 나타내는, 다음에 설명된 실시예에 제한되지 않는다는 것을 이해해야 한다.
리소그래픽 장치
본 발명의 바람직한 실시예를 채용하기에 적절한 리소그래픽 장치의 개요가 도 1에 도시되어 있다. 다음에서, 본 발명을 개시하는데 필요한 세부사항만이 제시되어 있고, 부품은 도 1에 맞는 크기로 도시되어 있지 않다. 리소그래피 장치(1)의 주요 부품은 (이러한 예에서 도 1에 수직 하방으로 뻗은 리소그래피 빔(lb, pb)의 방향에 상응한다) 조명 시스템(3), 패턴 형성(PD) 시스템(4), 투사 시스템(5), 및 기재(16)을 구비한 타겟 스테이션(6)이다. 전체 장치(1)는 장치의 광축 cw을 따른 하전 입자의 빔 lb, pb의 무차단 전파를 보장하기 위해 높은 진공 상태로 유지되는 진공 하우징(2)에 담겨져 있다. 하전 입자 광학 시스템(3, 5)은 정전 및/또는 자기 렌즈를 사용하여 실현된다.
조명 시스템(3)은 예를 들어, 콘덴서 렌즈 시스템(9)은 물론, 전자총(7), 추출 시스템(8)을 포함하고 있다. 그러나, 전자 대신에, 다른 전기 하전 입자 역시 사용될 수 있다는 것을 유념해야 한다. 예를 들어, 이것들은 전자가 아니고, 수소 이온 또는 보다 무거운 이온, 하전 원자 클러스터, 또는 하전 분자일 수 있다.
추출 시스템(8)은 보통 수 keV, 예를 들어, 5 keV의 규정된 에너지로 입자를 가속화한다. 콘덴서 렌즈 시스템(9)에 의해, 소스(7)로부터 방출된 입자는 리소그래피 빔 lb로서 기능하는 넓은, 사실상 텔레센트릭한 입자 빔(50)으로 형성된다. 그다음, 리소그래피 빔 lb는 (역시 개구으로 불리는) 복수의 구멍을 갖는 다수의 판을 포함하는 PD 시스템(4)을 조사한다. PD 시스템(4)은 리소그래픽 빔 lb의 경로의 특정 위치에 유지되어 복수의 개구 및/또는 구멍을 조사하고 다수의 빔렛으로 분할된다.
개구/구멍의 일부는 "스위치 온" 또는 "개방"되어서 관통 투과되는 빔의 일부, 즉, 빔렛(51)이 타겟에 도달할 수 있도록 한다는 점에서 입사 빔에 투명하고; 다른 개구/구멍은 "스위치 오프" 또는 "닫혀 있다". 즉, 상응하는 빔렛(52)은 타겟에 도달할 수 없어서, 이러한 개구/구멍은 빔에 효과적으로 불투명하다. 따라서, 리소그래피 빔 lb는 PD 시스템(4)으로부터 나오는 패턴화된 빔 pb로 구성된다. 개구에서 스위치되는 패턴(리소그래픽 빔 lb에 투명한 PD 시스템(4)의 부분만)이 하전 입자 감응성 레지스터(17)로 덮힌 기재(16)에 노출되는 패턴에 따라 선택된다. 개구/구멍의 "스위칭 온/오프"가 PD 시스템(4)의 판중 하나에 제공된 적절한 타입의 편향 수단에 의해 보통 실현되는 것을 유념해야 하는데, "스위치 오프" 빔렛(52)은 이들의 경로가 (매우 작은 각일지라도 충분히) 굴절되어 타겟에 도달할 수 없고 리소그래피 장치의 어딘가에서, 예를 들어, 흡수판(11)에서 흡수된다.
그다음, 패턴 빔 pb로 표시된 패턴은 빔이 "스위치 온" 개구 및/또는 구멍의 이미지를 형성하는 기재(16)로 전자광학 투사 시스템(5)에 의해 투사된다. 이러한 투사 시스템(5)은 2개의 크로스오버 c1 및 c2에 의해 예를 들어, 200:1의 축소를 구현한다. 예를 들어, 기재(16)는 입자 감응성 레지스터층(17)에 덮힌 6" 마스크 블랭크 또는 실리콘 웨이퍼이다. 이러한 기재는 척(15)에 의해 유지되고 타겟 스테이션(6)의 기재 스테이지(14)에 의해 위치지정된다.
노출되는 패턴에 관한 정보는 전자 패턴 정보 처리 시스템(18)에 의해 구현되는 데이터 경로에 의해 PD 시스템(4)에 공급된다. 이러한 데이터 경로는 아래의 "데이터경로"에서 더 설명되어 있다.
도 1에 도시된 실시예에서, 투사 시스템(5)은 정전 및/또는 자기 렌즈, 및 가능하게는 다른 편향 수단을 포함하는 것이 바람직한 다수의 연속 전자광학 투사 스테이지(10a, 10b, 10c)로 구성되어 있다. 이러한 렌즈 및 수단은 이들의 적용이 종래기술에서 주지되어 있기 때문에 단지 심볼 형태로만 도시되어 있다. 투사 시스템(5)은 크로스오버 c1, c2를 통해 축소 이미징을 채용한다. 이러한 양측 스테이지에 대한 축소 인자는 수백, 예를 들어, 200:1의 전체 축소를 얻도록 선택된다. 이러한 정도의 축소는 특히 리소그래피 설정에 적절하여 PD 장치의 소형화의 문제를 완화한다.
전체 투사 시스템(5)에서, 색수차 및 기하학적 수차에 관해 렌즈 및/또는 편향 수단을 광범위하게 보상하도록 준비된다. 이러한 이미지를 전체적으로 측방향으로, 즉, 광축 cw에 수직인 방향으로 이동시키는 수단으로서, 편향 수단(12a, 12b, 12c)가 콘덴서(3) 및 투사 시스템(5)에 제공되어 있다. 이러한 편향 수단은 예를 들어, 소스 추출 시스템(12a) 근방에 또는 도 1에 도시된 바와 같이 편향 수단(12b)에 의해 도시된 바와 같은 크로스오버중 하나 근방에 또는 도 1의 스테이지 편향 수단(12c)의 경우와 같이 각각의 투사기의 최종 렌즈(10c) 이후에 위치된 다극 전극 시스템으로서 구현될 수 있다. 이러한 장치에서, 다극 전극 구성은 스테이지 운동과 관련하여 이미지를 시프트하기 위한, 그리고 하전 입자 광학 정렬 시스템과 연결되어 이미징 시스템의 보정을 위한 편향 수단으로서 사용되어 있다. 이러한 편향 수단(10a, 10b, 10c)는 정지판(11)과 관련되어 PD 시스템(4)의 편향 어레이 수단과 혼동되지 않아야 하는데, 후자는 패턴 빔 pd "온" 또는 "오프"의 선택된 빔렛을 스위치하는데 사용되고 전자는 단지 입자 빔을 전체적으로 처리할 뿐이다. 또한, 축방향 자계를 제공하는 솔레노이드(13)를 사용하여 프로그램가능한 빔의 전체를 회전시킬 수 있다.
도 2의 연속 구성: "개구 어레이 판(Aperture Array Plate)" (AAP) (20), "편향 어레이 판" (DAP) (30) 및 "필드 경계 어레이 판" (FAP) (40)으로 쌓인 3개의 판을 포함하는 PD 시스템(4)의 하나의 적절한 실시예를 도시하고 있다. 용어 '판'은 각 장치의 전체 형상을 나타내지만, 후자가 바람직한 실시예일지라도, 반드시 단일 판 구성요소로서 구현되는 것을 나타내는 것은 아니라는 것에 주목할 필요가 있다. 특정 실시예에서, 개구 어레이 판과 같은 '판'은 다수의 서브 판들로 구성될 수 있다. 이러한 판들은 Z 방향(도 2의 수직축)을 따른 상호 거리에서 서로 병렬로 배열되어 있는 것이 바람직하다.
AAP(20)의 편평한 상면은 하전 입자 콘덴서 광학부/조명 시스템(3)에 대한 뚜렷한 전위 인터페이스를 형성한다. 이러한 AAP는 예를 들어, 얇은 중심부(22)를 갖는 실리콘 웨이퍼(대략 1mm 두께)(21)의 정사각형 또는 직사각형 피스로부터 만들어질 수 있다. 이러한 판은 수소 또는 헬륨 이온을 사용할 때 특히 유익할 전기 도전성 보호층(23)에 의해 덮힐 수 있다(US 6,858,118의 라인). 전자 또는 중이온(예를 들어, 아르곤 또는 크세논)을 사용할 때, 보호층(23) 역시 21 및 22의 표면부에 의해 각각 제공된 실리콘일 수 있어서, 보호층(23)과 벌크부(21, 22) 사이에 아무런 경계면도 존재하지 않는다.
AAP(20)에 얇은 부분(22)을 횡단하는 구멍에 의해 형성된 복수의 개구(24)가 제공되어 있다. 개구(24)는 얇은 부분(22)에 제공된 개구 영역에 사전결정된 배열로 배열되어서 개구 어레이(26)를 형성한다. 개구 어레이(26)의 개구의 배열은 예를 들어, 스태거 배열 또는 규칙적인 직사각형 또는 정사각형 어레이(도 4 참조)일 수 있다. 도시된 실시예에서 개구(24)는 보호층(23)에 제조된 직선형 프로파일 및 AAP(20)의 벌크층의 "역행성" 프로파일을 갖도록 구현되어서 구멍의 하방 출구(25)는 개구(24)의 주요 부분에서 보다 넓다. 이러한 직선형 및 역행성 프로파일은 반응성 이온 에칭과 같은 최신 스트럭처링 기술에 의해 제조될 수 있다. 이러한 역행성 프로파일은 구멍을 관통하는 빔의 미러 하전 효과를 강하게 줄인다.
DAP(30)는 AAP(20)의 개구(24)의 위치에 상응하는 위치를 갖고 구멍(33)을 관통하는 개별적인 빔렛을 이들의 각 경로로부터 선택적으로 편향시키도록 구성된 전극(35, 38)이 제공된 복수의 구멍(33)이 제공된 판이다. DAP(30)는 예를 들어, ASIC 회로를 갖는 CMOS 웨이퍼를 후공정처리함으로써 제조될 수 있다. DAP(30)는 예를 들어, 정사각형 또는 직사각형 형상을 갖는 CMOS 웨이퍼의 피스로부터 만들어지고, 얇은(하지만 22의 두께와 비교하여 적절하게 보다 더 두꺼울 수 있다) 중심 부분(32)을 유지하는 프레임을 형성하는 보다 두꺼운 부분(31)을 포함하고 있다. 중심부(32)의 구멍(33)은 24에 비교하여 (예를 들어, 각 사이드에서 대략 2㎛ 만큼) 더 넓다. CMOS 전극(34)은 MEMS 기술에 의해 제공된 전극(35, 38)을 제어하도록 설치되어 있다. 각 구멍(33)에 인접하여 "접지" 전극(35) 및 편향 전극(38)이 제공되어 있다. 이러한 접지 전극(35)은 공통 접지 전위에 접속되어 전기적으로 상호 접속되어 있고, 충전 및 절연부(37)를 차단하도록 역행부(retrograde part, 36)를 포함하여 CMOS 회로로의 원치않는 쇼트커트를 차단한다. 접지 전극(35)은 또한 실리콘 벌크부(31, 32)와 동일한 전위를 갖는 CMOS 회로(34)의 부분에 접속될 수 있다.
편향 전극(38)은 선택적으로 인가된 정전 전위가 되도록 구성되어 있고; 이러한 정전 전위가 전극(38)에 인가될 때, 이것은 상응하는 빔렛에 편향을 유발하는 전계를 생성하여 그 공칭 경로를 벗어나도록 할 것이다. 전극(38) 역시 하전을 피하기 위해 역행부(39)를 가질 수 있다. 전극(38)의 각각은 그 하부에서 CMOS 회로(34) 내의 각 접점 사이트에 접속되어 있다.
접지 전극(35)의 높이는 빔렛 사이의 크로스토크 효과를 억제하기 위해 편향 전극(38)의 높이 보다 높다.
도 2에 도시된 DAP(30)를 갖는 PD 시스템(4)의 구성은 다수의 가능성중 하나에 불과하다. (도시되지 않은) 수정예에서, DAP의 접지 및 편향 전극(35, 38)은 하류 보다는 (상방으로 향하는) 상류로 배향될 수 있다. 예를 들어, 접지 및 편향 전극이 내장된 다른 DAP 구성이 당업자에 의해 구상될 수 있다(US 8,198,601 B2와 같은 본 출원인 명의의 다른 특허 참조).
FAP로서 기능하는 제3 판(40)은 하류 축소 하전 입자 투사 광학부(5)의 제1 렌즈부에 대향하는 평면을 갖고 있어서 투사 광학부의 제1 렌즈(10a)에 규정된 전위 경계면을 제공한다. FAP(40)의 후부(41)는 중심 박부(42)를 갖는, 실리콘 웨이퍼의 일부로부터 제조된 정사각형 또는 직사각형 프레임이다. FAP(40)에는 AAP(20) 및 DAP(30)의 구멍(24, 33)에 상응하지만 이들과 비교하여 보다 넓은 복수의 구멍(43)이 제공되어 있다.
PD 시스템(4), 및 특히 그 제1 판, AAP(20)가 넓은 하전 입자 빔(50)(여기에서, "넓은" 빔은 이러한 빔이 AAP에 형성된 개구 어레이의 전체 면적을 덮을 만큼 충분히 넓다는 것을 의미한다)에 의해 조사되어, 개구(24)를 관통할 때 수천개의 마이크로미터 크기의 빔렛(51)으로 분할된다. 이러한 빔렛(51)은 아무런 방해를 받지 않고 DAP 및 FAP를 횡단할 것이다.
상술된 바와 같이, 편향 전극(38)이 CMOS 전자부를 통해 급전될 때마다, 전계가 편향 전극과 상응하는 접지 전극 사이에 생성되어 각 관통 빔렛(52)을 작지만 충분히 편향시킨다(도 2). 편향된 빔렛은 구멍(33, 43)이 각각 충분히 넓게 제조되어 있어서 아무런 방해를 받지 않고 DAP 및 FAP를 횡단할 수 있다. 그러나, 편향된 빔렛(52)은 서브-컬럼의 정지판(11)에서 걸러진다(도 1). 따라서, DAP에 의해 영향받지 않는 빔렛만이 기재에 도달할 것이다.
축소 하전 입자 광학부(5)의 축소 인자는 빔렛의 치수 및 이들의 PD 장치(4)에서의 상호 거리 및 타겟에서의 구조부의 소정의 치수를 고려하여 적절하게 선택된다. 이로 인해 마이크로미터 크기의 빔렛이 PD 시스템에서 허용되지만 나노미터 크기의 빔렛이 기재에 투사될 것이다.
AAP에 의해 형성된 (미영향) 빔렛(51)의 전체는 투사 하전 입자 광학부의 사전규정된 감소 인자 R로 기재에 투사된다. 따라서, 이러한 기재에서 "빔 어레이 필드"(BAF)는 폭 BX = AX/R 및 BY = AY/R을 각각 갖고 투사되는데, 여기에서 AX 및 AY는 각각 X 및 Y 방향을 따른 개구 어레이 필드의 크기를 나타낸다. 기재에서의 빔렛(즉, 개구 이미지)의 공칭 폭은 각각 bX = aX/R 및 bY = aY/R에 의해 주어지는데, aX 및 aY는 DAP(30)의 레벨에서, 각각 X 및 Y 방향을 따라 측정된 빔렛(51)의 크기를 나타낸다.
도 2에 도시된 개별적인 빔렛(51, 52)은 2차원 X-Y 어레이에 배열된, 훨씬 더 큰 수, 보통 수천의 빔렛을 나타낸다는 것에 주목할 필요가 있다. 출원인은 예를 들어, 수천(예를 들어, 262,144) 개의 프로그램가능한 빔렛을 갖는 전자 멀티빔 컬럼은 물론 이온에 대한 R=200 의 감소 인자를 갖는 멀티빔 하전 입자 광학부를 구현하였다. 출원인은 기재에서 대략 82㎛×82㎛의 빔 어레이 필드를 갖는 이러한 컬럼을 구현하였다. 이러한 예는 설명을 위한 것이고 이에 제한되지 않는다.
도 3에서, PD 시스템(4)에 의해 규정된 패턴 이미지 pm이 타겟 위에 생성된다. 하전 입자 감응성 레지스트층(17)에 의해 덮힌 타겟 표면은 노출되는 하나 이상의 에어리어 r1을 포함할 것이다. 일반적으로, 타겟에 노출된 패턴 이미지 pm은 패턴화되는 에어리어 r1의 폭 보다 보통 작은 유한 크기 y0를 갖고 있다. 따라서, 스캐닝 스트라이프 노출 방법이 사용되는데, 여기에서 타겟은 입사 빔 아래로 이동되어서, 끊임없이 타겟 위의 빔의 위치를 바꾼다. 이러한 빔은 타겟 표면 위에 효과적으로 스캔된다. 본 발명의 목적을 위해 타겟 위의 패턴 이미지 pm의 상대 이동만이 관련되어 있다는 것이 강조되어 있다. 이러한 상대 이동 덕분에, 패턴 이미지 pm은 에어리어 r1 위에서 이동되어서 y0 폭의 일련의 스트라이프 s1, s2, s3,...(노출 스트라이프)를 형성한다. 이러한 스트라이프의 완전한 세트는 기재 표면의 전체 면적을 덮는다. 스캐닝 방향 sd는 균일하거나 하나의 스트라이프로부터 다음 스트라이프로 대체될 수 있다.
도 5는 10×16=180 화소의 크기를 갖는 이미지 패턴 ps의 단순한 예를 도시하고 있는데, 여기에서, 노출 에어리어의 일부 화소 p100는 100%의 그레이 레벨 401로 노출되어 있고, 다른 화소 p50은 완전한 그레이 레벨의 50%로만 노출되어 있다(402). 나머지 화소는 선량(403)의 0%로 노출되어 있다(전혀 노출되지 않았다). 물론, 본 발명의 구현 적용에서, 규격 이미지의 화소의 수는 훨씬 더 높다. 그러나, 도 5에서, 화소의 수는 이해를 위해 단지 180이다. 또한, 일반적으로, 훨씬 더 많은 그레이 레벨이 0% 내지 100%의 스케일에서 사용될 것이다.
따라서, 패턴 이미지 pm(도 3)는 노출되는 소정의 패턴에 따른 선량 값으로 노출되는 복수의 패턴 화소 px로 구성되어 있다. 그러나, 화소 px의 부분집합만이 동시에 노출될 수 있다는 것을 이해해야 하는데, 그 이유는 유한 수의 개구만이 PD 시스템의 개구 필드에 존재하기 때문이다. 스위치-온 개구의 패턴은 기재에 노출되는 패턴에 따라 선택된다. 따라서, 실제 패턴에서 모든 화소가 전체 선량으로 노출되지 않지만, 일부 화소는 실제 패턴에 따라 "스위치 오프"될 것이고; 임의의 화소에 대해서는 (또는, 동일하게 이러한 화소를 덮는 모든 빔렛에 대해) 조사선량은 타겟에 노출되거나 구조화되는 패턴에 따라, 이러한 화소가 "스위치 온" 또는 "스위치 오프"되든 관계없이 하나의 화소 노출 사이클로부터 그 다음 화소 노출 사이클로 변할 수 있다.
기재(16)가 연속 이동되는 동안, 타겟 위의 패턴 화소 px에 상응하는 동일한 이미지 요소가 일련의 개구의 이미지에 의해 여러번 덮힐 수 있다. 동시에 PD 시스템의 패턴이 PD 시스템의 개구를 통해 한 단계씩 시프트된다. 따라서, 타겟 위의 일부 위치에 하나의 화소를 생각할 때, 모든 개구가 이러한 화소를 덮을 때 스위치온되면, 최대 조사선량 레벨, 즉, 100%에 상응하는 "화이트" 셰이드를 얻게 될 것이다. "화이트" 셰이드에 더해, 최소('블랙')와 최대('화이트') 조사선량 레벨 사이에 보간되는 보다 낮은 선량 레벨(또한 '그레이 셰이드'로 부른다)에 따라 타겟에서 화소를 노출시키는 것이 가능하다. 예를 들어, 그레이 셰이드는 하나의 화소를 기록하는데 수반될 수 있는 개구의 부분집합만을 스위치함으로써 구현될 수 있고; 예를 들어, 16개의 개구중 4개는 25%의 그레이 레벨을 산출한다. 다른 방법은 수반되는 개구에 대한 미차단 노출의 지속시간을 줄이는 것이다. 따라서, 하나의 개구 이미지의 노출 지속 시간은 그레이 스케일 코드, 예를 들어, 정수에 의해 제어된다. 노출 개구 이미지는 제로 및 회대 노출 지속시간 및 선량 레벨에 상응하는 주어진 수의 그레이 셰이드중 하나의 표시이다. 이러한 그레이 스케일은 보통 그레이 값의 세트, 예를 들어, 0, 1/(ny-1)...,i/(ny-1),...,1을 규정한다. 여기에서, ny는 그레이 값의 수이고 i는 정수이다("그레이 지수", 0≤i≤ny). 그러나, 일반적으로, 등거리일 필요가 없고 0과 1 사이에 감소하지 않는 시퀀스를 형성한다.
도 5는 기본 레이아웃에 따른, PD 장치의 개구 필드에서의 개구의 배열을 도시하고 있고 다음에서 사용된 다수의 양 및 수차를 설명한다. 짙은 셰이드로 도시된, 타겟에 투사되는 개구 이미지 b1의 배열이 도시되어 있다. 주축 X 및 Y는 각각 타겟 이동의 진행 방향(스캐닝 방향 sd) 및 수직 방향에 상응한다. 각 개구 이미지는 각각 방향 X 및 Y를 따른 폭 bX 및 bY를 갖고 있다. 이러한 개구는 각각 NX 및 NY인 라인 및 행의 이웃 개구 사이에 오프셋이 있는 상태로, 각각, MX 및 MY를 갖는 라인 및 행을 따라 배열되어 있다. 결과로서, 각 개구 이미지에 NXㆍbXㆍNYㆍbY의 면적을 갖는 개념 셀 C1이 속하고, 이러한 개구 배열은 직사각형 방식으로 배열된 MXㆍMY 셀을 포함하고 있다. 아래에서, 이러한 셀 C1은 "노출 셀"로 부른다. 완전한 개구 배열은 타겟에 투사될 때 BX = MXㆍNXㆍbX × BY = MYㆍNYㆍbY의 치수를 갖고 있다. 이후의 설명에서, 우리는 제한 없이 모든 추가 설명을 위해 정사각형 격자를 직사각형 격자의 특별한 경우로서 생각할 것이고 b = bX = bY, M = MX = MY이고 N = NX = NY라고 설정할 것이다. 여기에서 M은 정수이다. 따라서, "노출 셀"은 타겟 기재 위에 Nㆍb×Nㆍb의 크기를 갖고 있다.
2개의 이웃하는 노출 위치 사이의 거리는 아래에서 e로서 표시한다. 일반적으로, 거리 e는 개구 이미지의 공칭 폭 b과 상이할 수 있다. 가장 단순한 경우에, b=e이고, 이것은 2×2 노출 셀 C3의 배열의 예에 대해 도 6a에 도시되어 있고, 하나의 개구 이미지 bi0는 하나의 화소(의 공칭 위치)를 덮고 있다. 도 6b(US 8,222,621 및 US 7,276,714)에 도시된, 다른 관심의 경우에서, e는 개구 이미지의 폭 b의 분수 b/o일 수 있고, o>1는 오버샘플링 인자로서 부르는 정수인 것이 바람직하다(하지만 반드시 그러한 것은 아니다). 이러한 경우에, 개구 이미지는, 다양한 노출의 과정에서, 공간적으로 중첩되어 패턴의 배치의 해상도를 보다 높게 발달시킬 수 있다. 그래서, 개구의 각 이미지는 동시에 다수의 화소, 즉, o 2 화소를 덮을 것이다. 타겟에 이미지화되는 개구 필드의 전체 영역은 (NMo)2 화소를 포함할 것이다. 개구 이미지의 배치의 관점에서, 이러한 오버샘플링은 (이격이 보다 미세하기 때문에) 타겟 영역을 단순히 덮을 필요가 있는 것과 상이한 소위 배치 격자에 상응한다.
도 6b는 배치 격자와 결합된 o=2의 오버샘플링의 하나의 예를 도시한다. 즉, 파라미터 o=2, N=2를 갖고 있는 노출 셀 C4를 갖는 개구 어레이의 이미지를 도시한다. 따라서, 각 공칭 위치(도 6b의 작은 정사각형 필드)에서 4개의 개구 이미지 bi1(점선)이 인쇄되고, 이것은 X 및 Y 방향으로 피치 e 만큼 규칙적인 격자로 오프셋되어 있다. 개구 이미지의 크기가 여전히 동일한 값 b이지만, 배치 격자의 피치 e는 이제 b/o=b/2이다. 이전의 공칭 위치에 대한 오프셋(배치 격자의 오프셋) 역시 b/2의 크기를 갖는다. 동시에, 각 화소의 선량 및/또는 그레이 셰이드는 각 화소를 덮는 개구 이미지에 대한 적절한 그레이 값을 선택함으로써 적응(감소)될 수 있다. 결과로서, 크기 a의 면적이 인쇄되지만 보다 미세한 배치 격자로 인해 배치 정확도가 강화된다. 도 6b를 도 6a와 직접 비교하면, 개구 이미지 자체는 중첩되지만, 개구 이미지의 위치는 전보다 2배(일반적으로 o배) 미세하게 배치 격자 위에 배열되어 있다. 노출 셀 C4는 이제 기록 공정 동안 주소지정된 (No)2 위치(즉, "화소")를 포함하여서 화소가 o 2의 인수만큼 이전 보다 많다. 이에 상응하여, 개구 이미지 b×b의 크기를 갖는 면적 bi1은 도 6b의 o=2를 갖는 오버샘플링의 경우의 o 2=4와 연관되어 있다(또한 "더블 격자"로 부른다). 물론, o는 임의의 다른 정수값, 특히 4("쿼드 격자", 도시되어 있지 않다) 또는 8, 또는 √2=1.414와 같은 1 보다 큰 비정수 값을 취할 수 있다.
도 7은 본 발명에 적절한 화소의 노출 방식을 도시하고 있다. 상부(이전)로부터 하부(나중)으로 시간이 증가하는 일련의 프레임이 도시되어 있다. 이러한 도면의 파라미터 값은 o=1, N=2이고; 직사각형 빔 어레이가 MX=8 및 MY=6을 갖는 것으로 한다. 타겟은 연속으로 좌측으로 이동하지만, 빔 편향은 도면의 좌측에 도시된 바와 같이 톱니 함수에 의해 제어된다. 길이 T1의 각 시간 간격 동안, 빔 이미지는 ("배치 격자"의 위치에 상응하는) 타겟 위의 위치에 고정되어 있다. 따라서, 빔 이미지는 배치 격자 시퀀스 p11, p21, p31를 관통하는 것으로 도시되어 있다. 배치 격자의 하나의 사이클은 타겟 이동 v 덕분에 시간 간격 L/v = NMb/v 내에서 노출된다. 각 배치 격자에서의 노출을 위한 시간 T1은 "노출 길이"로 부르는 길이 LG = vT1 = L/(No)2 = bM/No 2 에 상응한다.
빔렛은 타겟과 함께 하나의 세트의 이미지 요소의 노출 동안 LG의 거리를 이동한다. 즉, 모든 빔렛은 시간 간격 T1 동안 기재의 표면에 대해 고정 위치를 유지한다. 거리 LG를 따라 타겟과 함께 빔렛을 이동시킨 후에, 빔렛은 그 다음 배치 격자의 이미지 요소의 노출을 시작하기 위해 (초단 시간에) 순간적으로 재위치된다. 배치 격자 사이클의 위치 p11...p31를 통한 완전한 사이클 후에, 시퀀스는 새롭게 시작하고, 추가 길이 오프셋 L=bNM은 X 방향(스캐닝 방향)에 대해 병렬 관계를 갖는다. 스트라이프의 시작 및 종료에서, 노출 방법은 인접 커버링을 생성할 수 없어서, 완전히 채워지지 않은 길이 L의 마진이 존재할 수 있다.
예를 들어, VSB 마스크 기록기 툴과 같은 기준 기록 툴과 대조적으로, 본발명의 시작 포인트인 MBW 셋업은 동일한 점 크기, 예를 들어, 20 nm × 20 nm를 균일하게 사용한다. 또한, 이러한 점들 사이에 선택된 중첩량의 중첩이 사용될 수 있다. "더블 격자" 멀티빔 노출에서, 이러한 점들 사이의 중첩은 Y 방향은 물론 X 방향에서 빔 크기의 절반이다. "쿼드 격자" 멀티빔 노출에서, 점들 사이의 중첩은 Y 방향은 물론 X 방향에서 빔 크기의 1/4이다. 타겟에 형성된 단일 개구 이미지의 크기는 aX/R인데, aX는 개구 어레이 판(AAP)의 개구의 구멍 폭이고 R은 하전 입자 투사 광학부의 감소 인자이다. 각 점은 이산 선량 레벨로 노출되어 있다. 예를 들어, 선량 레벨을 프로그램화하기 위한 4 비트를 사용할 때, 각 점의 선량 레벨은 0,1,2,...,14, 또는 15 유닛이 되도록 선택될 수 있는데, 15 유닛은 100%의 최대 선량 레벨을 나타낸다.
도 10은 제로 블러의 이상적인 경우에, 폭 30nm의 라인을 위한 이상적인 강도 프로파일(71)을 도시한다. "쿼드 격자" 멀티빔 노출을 사용할 때 중첩은 빔 크기의 1/4이다. 따라서, 20nm 빔 크기의 경우에 물리적 격자 크기는 5nm이다. 이산 선량 레벨이 선택된 예에 대해 5nm × 5nm인 물리적 격자의 각 면적에 할당될 수 있고; 도 10의 라인(72)은 30nm 라인을 생성하기 위한 화소 위치에 할당된 이산 선량 레벨을 갖는 중첩 노출 점에 의해 구성됨에 따라 강도(또는 전체 선량)의 중첩을 나타내는 반면, 보다 양호한 가시성을 위해 블러는 제로로 설정되었다(그래서 단일 노출 점의 선량 분표는 직사각형이 된다). 블러가 도 12에 도시된 바와 같은 이상적인 값을 가지면, 직사각형의 에지에서의 스텝 함수는 가우스 함수로 컨볼루트되어 결국 가우스 형상으로 변환된다. 이러한 점에서 라인(72)은 블러 제로에서 가우스 함수의 중첩으로 볼 수 있다. 일반적인 경우에, 선량 레벨 히스토그램은 사전 규정된 위치에서 좌우 에지를 위치시키기 위해 대칭성을 갖지 않을 것이다. 도 11에서, 좌측 에지가 0.0nm에 위치되어 있고 우측 에지가 30.0nm에 위치된 30.0 nm 폭의 라인에 대한 시뮬레이션이 도시되어 있다. 이러한 시뮬레이션에 있어서, 20nm의 빔 점은 5.1nm 1 sigma blur(즉, 12.0nm FWHM 블러)로 노출되어 있는 것으로 가정하였다. 강도 프로파일(76)은 노출 점(73, 74, 75)의 프로파일을 중첩함으로써 형성되어 있다. 최좌측 노출 점(74)의 선량 레벨은 30nm 라인이 소정의 시작 위치(77), 즉, 0nm에서 시작하도록 조정된다. 최우측 노출점(75)의 선량 레벨은 노출 라인이 30.0nm의 위치(78)에서 종료되도록 조정된다. 도 11에 볼 수 있는 바와 같이, "쿼드 격자" 노출에 따라, 노출점(73, 74, 75)의 중첩은 빔 크기의 1/4, 즉, 5nm이다.
도 12a 및 도 12b는 본 발명에 의해 MBW 장치가 정밀 에지 선명도로 라인을 기록하는 방법을 설명하고 있고; 각 도면에서, 상부 프레임은 선폭에 대한 에지 위치 오차를 도시하고, 중간 프레임은 강도 프로파일을, 그리고 하부 프레임은 조사선량을 선폭에 대해 10% 만큼 강화할 때 에지 위치 편차를 보여준다. 도 12a는 31.4nm 선폭에 대해 얻어진 강도 프로파일을 도시하고 있고, 도 12b는 40.0nm 선폭에 대해 도시하고 있다. MBW 폭 20nm 빔 크기 및 쿼드 격자 노출(5nm 물리적 격자 크기)를 사용하여, 이러한 노출에 의해 생성된 구조의 선폭은 0.1nm의 스텝으로 변경될 수 있다. 정수 선량 레벨 때문에 0.1nm 어드레스 격자로부터 경미한 편차가 존재한다. 이러한 편차는 30.0nm와 40.0nm 사이의 0.1nm 스텝의 소정의 선폭의 함수로서, "에지 위치 오차" (상부 프레임)로서 표시된다. 도시된 바와 같이, 이러한 편차는 ±0.05nm 안에 있다. 또한, 선량이 10% 변한 에지 위치의 변화는 대략 1nm이어서, 하부 프레임에 도시된 바와 같이 선폭의 변화에 대해 단지 경미하게 변한다. 즉, 선량이 1% 보다 양호하게 MBW에서 제어되기 때문에, 선량의 1% 변화에 대한 에지 위치의 변화는 대략 1 전자층 안에 있다.
도 13은 MBW의 하나의 장점, 즉, 선폭이 사실상 50% 선량 임계값에서 블러에 독립적이라는 것을 보여주고 있다. 제로 블러에 대한 강도 프로파일(71), 선량 레벨 히스토그램(72), 및 각각 3.5nm, 5.0nm 및 7.5nm 1 sigma blur로 계산된 최종 강도 프로파일(81, 82, 83)이 도 13에 도시되어 있다. 생성된 구조의 에지 위치(73, 74)는 제로 블러 강도 프로파일(71)이 "0.5" 강도 레벨을 교차하는 곳에 있다. 도 13a의 확대도는 좌측에서의 위치(73) 근방의 영역을 도시하고 있다. 선량 레벨 지시(72)는 5nm 물리적 격자 크기를 제공하는, 쿼드 격자 멀티빔 노출 및 5nm의 1 sigma blur를 갖는 20nm 빔 크기를 사용하는 것에 대한 것이다.
따라서, 이러한 예에서 VSB 마스크 기록기 상태인 MBW를 기준 툴 상태로 맞추기 위해, 본 발명은 기준 툴(VSB 마스크 기록기)과 동일한 에어리얼 이미지(= 블러를 포함하는 선량 분포)를 생성하도록 MBW 프로파일을 수정할 것을 제안한다.
MBW를 기준 툴, 예를 들어, VSB 기록기 툴에 매칭하기
MBW의 주문제작은 MRMC 커널과의 래스터 비트맷 데이터와 같은, 소정의 패턴의 그래픽 표현의 컨볼루션에 의해 본 발명에 따라 달성된다. 본 발명에 따른 이러한 커널에 의해 오리지널 벡터 데이터가 예를 들어 VSB 마스크 기록기 툴로서 기준 툴에 의해 기록된 것처럼 동일한 선량 분포를 결국 생성할 수 있다. 소정의 패턴의 그래픽 표현은 최신 기술에서 사용된 바와 같은 다양한 포맷중 하나일 수 있다. 도 8 및 도 10에 대해 설명된 상기 예에서, 선량 레벨 프로파일(72)과 같은 선량 레벨 패턴을 사용하는 것이 적절하다.
도 14는 본 발명에 따른 커널(91)의 예를 도시하고 있고, 이러한 경우에, 20nm 빔 크기 및 쿼드 격자 노출(5nm 물리적 격자 크기)을 갖는 MBW가 20nm의 1 sigma blur를 갖는 VSB 기준 툴을 모방한다. 이러한 커널은 실제 값의 정수의 1차원 어레이로서 표현되는 것과 등가인 히스토그램 표현(90)으로 도시되어 있다. 이러한 커널을 결정하는 방법이 아래에 설명되어 있다. 이러한 커널은 또한 직사각형 형상과 같은 (즉, 유한 간격 내의 상수 값을 취하지만 나머지는 제로이다, 도 26a 참조) 단순한 형상 또는 삼각형 형상(즉, 제로로부터 피크로 선형 상승한 다음 제로 다시 선형 하강하고, 나머지는 제로이다, 도 26b 참조), 또는 적절한 함수, 예를 들어, 싱크 함수(소위 카디날 사인, sinc(x) = sin(x)/x, 도 26c 참조) 또는 가우시안(도 26d 참조)에 따른 다른 형상을 가질 수 있다. 도 26a 내지 도 26d의 커널 함수는 보통 기준 툴의 블러 값에 상응하여 선택되는 공간 범위 파라미터(직사각형 또는 삼각형의 폭, 최대값으로부터 제1 제로의 거리, 또는 가우시안의 FWHM 파라미터)로 특징지어진다. 예를 들어, 도 26a에서, 블러의 전체 범위는 이러한 커널이 기준 툴의 블러링 동작에 매치하도록 사용될 때 블러의 반치전폭 값의 두 배 정도가 된다. 도 26c의 차동 커널의 경우에, 1차 제로들 사이의 공간 거리는 여과에 의해 생성되는 선량 경사의 측정값이거나, 특별한 경우에 이러한 길이와 상관하는 특징에 대해 선택할 수 있도록 한다. 특히, 도 26c의 커널에 의해 1차 제로의 근사 거리인 크기 30nm를 갖는 특징을 나타낼 수 있다.
도 15는 커널(91)을 30nm의 선폭에 대한 MBW 선량 레벨 표시(72)와 컨볼루트함으로써 얻어진 선량 레벨 컨볼루션(92)을 도시하고 있다. 선량 레벨 지시(92)를 갖는 20nm 노출 점의 5.0nm의 1 sigma blur를 가정하면, 심볼(94)로서 표시된 강도 프로파일은 도 16에 도시된 바와 같이 얻어진다. 점선(93)은 20nm 1 sigma blur(도 9)를 가진 VSB 기록기의 강도 프로파일(69)을 나타낸다. 2개의 강도 프로파일(93, 94)이 도 16에서 거의 볼 수 없는 최소 편차만으로 일치하는 것에 주목하는 것이 중요하다. 도 16은 또한 30nm 라인의 제로 블러 강도 프로파일(71)을 도시하고 있다. 이러한 강도 프로파일(93, 94)은 CD=30nm 보다 상당히 작은 선폭 CD*에 대해 (노출된 하전 입자 감응성 레지스터의 현상을 결정하는) "0.5" 선량 레벨 위에 있다. 따라서, MBW는 기준 툴, 이러한 경우에 VSB 마스크 기록기의 동작에 정확히 매치하는 방식으로 컨볼루션 커널에 의해 "열화"되었다.
도 17 및 도 18은 도 15 및 도 16와 유사한 도면으로 도시된 바와 같이, 선량 레벨 지시(101)에 따른 CD=40nm에 대한 예를 도시하고 있다. 동일한 커널(91)이 선량 레벨 컨볼루션(102)을 생성하는데 사용되었고, 기준 툴(VSB) 기록의 강도 프로파일(104)과 거의 일치하는 강도 프로파일(103)에 이른다. 라인(105)은 40nm의 타겟 라인을 나타낸다.
도 19는 2개의 기록기 타입에 대한 CD에 대한 ΔCD = (CD* - CD)의 관계를 도시하고 있다. 곡선(105)은 MBW에 대한 관계를 도시하고 있고, 이러한 경우에 20nm 빔 점을 갖는 쿼드 격자 노출은 5nm의 1 sigma blur를 갖는데, 사실상 아무런 편차가 존재하지 않는다. 즉, 편평한 관계(015)를 갖는다. 사실, 이상 수평선으로부터의 곡선(105)의 편차는 도 12b의 상부에 도시된 바와 같이 ±0.05 nm의 최대 에지 위치 오차의 2배에 해당하는 ±0.1 nm 보다 작다. 반대로, 기준 툴에 대해, 예를 들어, 20nm의 1 sigma blur를 갖는 VSB 기록기에 대해, 100nm 아래의 CD 값에 대한 보다 큰 편차가 존재하고, 이것은 ΔCD(CD)의 값(106)이 감소 CD를 위한 음의 값으로 내려가는 이유이다. 예를 들어, CD=30nm에 대해, CD*는 대략 -11nm의 ΔCD에 상응하는 대략 19nm(도 16참조)이다.
도 19에서, 커널(19)와 컨볼루트된 MBW는 기준 툴(VSB)(106)와 사실상 동일한 성능(107)을 산출한다. 도 19a는 CD의 함수로서 ΔCD의 곡선(107, 106)의 값 사이의 차이를 보다 상세하게 보여주고 있다. 이러한 편차는 30nm 이상의 CD 값에 대해 0.25nm 보다 작다. 이것은 본 발명에 따른 방법이 CD와 같은 상당한 파라미터로부터 매우 적은 편차만을 갖고 매우 양호한 정도까지 기준 툴을 모방할 수 있다는 것을 보여준다. 사실, 이러한 편차는 (i) 커널을 더 적절히 최적화함으로써, (ii) MBW와 함께 보다 작은 빔 크기를 사용함으로써, 또는 (iii) MBW에서 타겟 위에 보다 작은 물리적 격자를 사용함으로써 더 감소될 수도 있다.
상기 1차원 예에 더하여, 2차원 시뮬레이션이 행하여졌다. 이를 위해, 도 20에 도시된 바와 같은 등방성의 커널(111)이 사용될 수 있다. 커널(111)은 커널(91)(도 14)을 회전시킴으로써 생성되었다. 커널(111)은 또한 (예를 들어, 정수 또는 실수) 값의 행렬의 형태로 표현될 수 있다. 예를 들어, 도 21은 개별적인 값이 그 다음 정수 값으로 반올림된(예를 들어, 212는 211.765로부터 반올림된다) 정수 행렬(112)로서 커널(111)의 표현을 도시하고 있고; 이러한 값은 ΣK k,l = 1로 표준화될 필요가 없다. 커널(111)은 22×22의 크기를 갖고 있지만, 대부분의 구현에서 크기 5×5, 7×7 또는 11×11와 같은 보다 작은 커널이 처리 필요조건을 충족시키는데 충분할 것이지만; 물론 보다 큰 커널 역시 적절할 수 있다.
이러한 커널은 임의의 패턴 계산 이전에 결정된 다음 화소 데이터에 적용된다. 이러한 계산은 기준 툴에 매치되는 MBW에 대한 화소 데이터를 얻도록 컨볼루션에 의해 실행된다. 입력 K k,l ,을 갖는 행렬 커널(112)의 예에서, MBW에 대한 위치(i,j)(i번째 행의 j번째 화소)에서 사용되는 각각의 값 Po i,j 의 값에 대한 계산은 아래와 같다.
Po i,j = Σ k,l  (P i+ k,j +l ㆍK k,l ) / Ko (1)
여기에서, P i,j 는 원래 패턴에서 위치(i,j)에서의 화소의 값을 나타내고, 합산은 커널 행렬의 지수의 완전한 세트에 대해 행하여지고; 상수 Ko 는 커널의 표준화 값이다.
Ko = Σ k,l  K k,l (2)
도 22a 내지 도 22c는 크기 5 × 5 (도 22b)의 크기의 커널에 대한 단순 예를 도시하고 있다. 컨볼루트된 패턴의 화소중 하나의 값, 도 22c의 Po i,j 를 얻기 위해, 커널은 화소 데이터에 적용되고; 도 22a의 화소들만이 상기 등식 (1)에 따라 컨볼루션 계산에서 사용되는 심볼 P i+ k,j + l 로 명시된다(이러한 경우에, 합의 오프셋 지수 k 및 j는 값 -2,...+2을 독립적으로 실행한다).
도 23a 내지 도 23c는 30nm 폭을 갖는 라인에 대한 3개의 2D 시뮬레이션을 도시하고 있고; 다른 절반이 대칭 이미지이므로 라인의 절반만이 도시되어 있다. 최대 선량에 대해 45%, 50%, 및 55% 선량 레벨에 대한 윤곽 점이 도시되어 있고; 점선 직사각형은 30nm 라인의 타겟 형상을 나타낸다. 도 23a는 5nm의 1 sigma blur 및 쿼드 격자 노출(즉, 5nm 물리적 격자 크기)를 갖는 20nm의 빔 크기를 갖는 MBW를 사용할 때 300nm 길이에 대한 시뮬레이션의 결과를 도시하고 있다. 50% 선량 레벨은 (높은 레지스트 콘트라스트를 가정할 때) 현상으로 얻어지는 레지스트 윤곽이다. 도 23b는 기준 툴, 예를 들어, 20nm의 1 sigma blur를 갖는 VSB 기록기에 대한 상응하는 시뮬레이션의 결과를 도시하고 있고; 30nm 선폭을 갖는 라인에 대한 결과는 도 23a에 도시된 MBW와 비교하여 명확한 라인 에지 쇼트닝 및 열화된 선량 범위를 나타내고 있다. 도 23c는 타겟이 기준 툴 라인에 의해 30nm 라인에 매치하고 도 20의 커널(111)과 MBW가 컨볼루트된 (시뮬레이트된) 노출에 대한 결과를 도시하고 있다. 도 23b의 프로파일과의 매치가 우수하다는 것을 알 수 있다.
멀티빔 기록기용 MRMC 커널
본 발명의 추가 특징은 기준 툴이 MBW 타입 자체인 경우이다. 예를 들어, 다수의 MBW 머신이 설치된 마스크 숍의 경우에, 본 발명은 상이할 수도 있는 이미징 파라미터를 갖는 다양한 MBW의 동작에 매치하도록 적절하게 사용될 수 있다. 추가 유용한 과제는 기준 툴의 보다 오래된 버전으로 MBW를 매치하는 것이다.
이방성 커널, 다수의 커널 및 시간 의존성
본 발명에 따른 커널은 XY 평면에서 등방성일 필요가 없다. 예를 들어, MBW 머신이 마스크를 기록하고 주의깊은 방법 분석을 통해 마스크에 X 방향의 라인의 폭이 Y 방향의 비교가능한 라인의 폭과 어느 정도 상이하다는 것이 발견되는 상황이 있을 수 있고; 다른 이러한 MBW 장치에서 이러한 상황을 모방하기 위해, 본 발명의 다른 특징에 따른 하나의 적절한 방법은 이방성 커널을 채용하는 것이다. 이방성 커널의 예가 도 24a 및 도 24b에 도시되어 있다. 특히, 도 24a는 X 방향을 따른 큰 선폭, 대략 Y 방향을 따른 선폭의 2배의 선폭을 갖는 이방성 커널(151)을 도시하고 있고; 반대로, 도 24b에 도시된 커널(153)은 Y 방향을 따른 보다 큰 선폭을 갖는 이방성을 갖고 있다. 도 24c는 예를 들어, 개별적인 값(표준화되지 않았다)이 하나의 십진 숫자로 반올림된 실수로서 주어진 도 24a의 커널(151)의 행렬 표현(152)을 도시하고 있다. 이러한 커널이 X 또는 Y 방향으로 라인에 제한되지 않고 X/Y 방향에 대한 임의의 각도로 배향된 이방성에도 적용될 수 있다는 것에 주목할 필요가 있다.
한편, 이방성 커널 역시 MBW 장치 또는 다른 타입의 기준 툴의 공지된 이방성을 보상하는 방법을 제공한다. 예를 들어, X 방향으로의 이방성은 Y 방향으로의 커널 이방성을 사용하여 보상될 수도 있거나, 그 반대로 될 수도 있다(또는 임의의 수직축에 대해서 될 수도 있다).
커널의 상기 예는 단지 설명을 위한 것이고; 상당히 중요한 다른 과제로의 커널의 추가 실시 및 적용이 당업자에게 명백할 것이다.
커널의 많은 가능한 변형중 하나는 시간 의존 값을 포함한다. 예를 들어, 기록 공정 동안의 시변 f(t)가 이러한 시변성이 이론적인 고려사항 및/또는 실험적 데이터로부터 공지되어 있다면 구현될 수 있다. 이로 인해 레지스트의 노화와 같은 시간 의존성 공정을 고려할 수 있다. 레지스트 감응성의 변화가 시간의 함수, f(t)로서 알려져 있다면, 이러한 함수는 커널에 관련 입력, 즉, K k,l  = K' k,l ㆍf(t)을 입력하는 추가 인자로서 사용될 수 있다. 여기에서, (K' k,l )은 초기, 시간 의존성 커널, 예를 들어, 노출 공정의 시작에 유효한 커널이다. 대안으로 또는 결합되어, 입자 빔이 시간에 따라 변할 수 있는 전체 전류를 갖는 소스로부터 생성되는 경우에, 시간 의존성은 계산 공정 이전에 실험적으로 결정된, 소스로부터 방출된 전체 전류의 파동 함수에 상응하는 함수를 포함할 수 있다.
본 발명의 다른 특징은 다수의 커널이 하나의 기록 공정에서 사용될 수 있다는 것이다. 예를 들어, 상이한 커널이 타겟의 상이한 에어리어에 사용될 수 있다. 이것은 예를 들어, 기준 툴이 불균일한 이방성과 같은, 노출되는 타겟 위의 에어리어 위에서 가변 이미징 동작을 나타내는 경우에 유익하다. 또한, 상술된 바와 같이, 빔 크기는 예를 들어, PD 장치 내의 상이한 개구 어레이 사이를 전환함으로써 예를 들어, 20nm 로부터 10nm로 기록 공정 동안 변경될 수도 있다(US 8,546,767 참조). 이러한 경우에, 제1 커널은 20nm 빔 크기에 의한 노출에 사용되고, 제2 커널은 10nm 빔 크기에 의한 노출에 사용된다.
데이터경로
도 25는 본 발명의 데이터경로(170)의 순서도를 도시하고 있다. 이러한 데이터경로는 실시간으로 기록기 툴(도 1)의 처리 시스템(18)에서 실행되는 것이 바람직하고; 변형에서, 데이터 경로의 계산의 일부 또는 모두는 예를 들어, 적절한 컴퓨터로 미리 실행될 수 있다.
완전한 패턴 이미지는 방대한 양의 이미지 데이터를 포함하고 있고, 이것은 이러한 데이터의 효율적인 계산을 위해, 바람직하게는 실시간으로, 노출되는 화소 데이터를 생성하는 고속 데이터경로가 적절한 이유이다. 이러한 노출되는 패턴은 보통 예를 들어, 직사각형, 부등변 사각형 또는 대략적인 다각형과 같은 기하학 구조의 집합체와 같은 벡터 포맷으로 묘사되고, 이것은 보통 보다 양호한 데이터 압축을 허용하여 데이터 저장용량에 대한 필요를 감소시킨다. 따라서, 이러한 데이터경로는 다음과 같은 3개의 주요 부분으로 구성되어 있다.
- 벡터 기반 물리적 보정 프로세스(단계 160),
- 이러한 벡터를 화소 데이터로 전환하는 래스터 프로세스(단계 162 내지 164), 및
- 기록 프로세스를 위한 임시 저장을 위한 화소 데이터의 버퍼링(단계 165).
이러한 데이터경로는 단계 160에서 노출되는 패턴 PDATA가 공급될 때 시작한다. 기준 툴, 예를 들어, VSB 기록기 툴이 매치되는 경우에, 패턴 데이터는 예를 들어, 도 23b에 도시된 바와 같은 라인 엔드 쇼트닝과 같은 특정 기록 동작을 보정하기 위해 이전 단계(도시되지 않음)에서 이미 수정될 수도 있다. 단계 160에서, 일반적으로, 노출되는 패턴 PDATA는 기하학적으로 중첩될 수도 있는 다수의 작은 데이터 청크로 분할된다. 벡터 도메인에 적용될 수 있는 보정(예를 들어, 근접 효과 보정)는 모든 청크에 독립적으로, 가능하게는 병렬로 수행될 수 있고, 최종 데이터는 다음 단계의 계산 속도를 향상시키는 방식으로 저장되고 암호화된다. 이러한 출력은 모든 청크가 기하학 구조의 집합을 포함하는 청크의 집합체이다.
스테이지 161: 래스터 RAST, 모든 청크의 기하학 형상은 화소 어레이 레벨이 상응하는 개구 이미지의 물리적 선량을 나타내는 래스터 그래픽스 어레이로 전환된다. 완전히 기하학 형상 안에 있는 모든 화소는 다각형의 컬러가 할당되지만, 기하학 형상의 에지를 교차하는 화소의 컬러는 이러한 기하학 형상에 의해 덮히는 화소의 면적의 일부에 의해 가중치 부여된다. 이러한 방법은 래스터 후의 기하학 형상의 면적과 전체 선량 사이의 선형 관계를 암시한다. 선량은 먼저 부동점 넘버로서 계산되고; 나중에서야 이들은 PD 장치에 의해 지지되는 선량 값의 이산 세트로 전환된다. 래스터의 결과로서 화소 데이터는 각 화소에 대한 공칭 선량 값 P를 나타내는 부동점 넘버의 형태를 가질 것이다.
스테이지 162: 커널 매칭 KERM. 사전결정된 MRMC 커널이 화소 데이터에 적용된다. 이것은 화소 데이터를 상술된 커널과 컨볼루트함으로써 이루어진다. 실시간 처리 속도를 달성하기 위해, 충분한 수의 병렬 CPU 및 PU가 사용되는 것이 바람직하다. 컨볼루션 커널이 보통 수십 나노미터인 블러의 범위에 작용하기 때문에, 빔의 화소 데이터가 상이한 CPU 및또는 GPU에 분포되는 효과적인 방법으로 계산 프로세스를 병렬화하는 것이 가능하다.
스테이지 163: 개구 필드 상의 빔(50)의 균일한 전류 밀도로부터의 편차의 보상 및/또는 DAP(30)의 개별적인 편향 빔 편향기에 대한 보정과 같은 다른 화소 기반 보정 CORR. 이러한 종류의 보정 방법은 본 발명의 일부를 형성하지 않으므로 여기에서 설명하지 않는다.
스테이지 164: 디더링 DITH. 디더링 공정은 사전결정된 그레이 값 스케일에 기초하여, 컨볼루트된, 그리고 가능하게는 보정된 선량 값 데이터 Po 를 그레이 값 데이터로 전환한다. 이것은 오버샘플링과 결합되어, 단일 개구에 대해 유용한 선량 값의 이산 세트 보다 훨씬 더 미세한 선량 변화를 허용하는 근방 회소에 대해 반올림 오차가 평균화되도록 보장하는 위치 의존 반올림 프로세스이고; 시각 이미지 데이터의 화소 그래픽스로의 전환을 위한 공지된 알고리즘에 의해 구현될 수 있다. 실제 보정(불완전 개구 보정)에 의존하는 디더링 바로 전 또는 후에 화소 도메인에 적용될 수 있다면, 이러한 단계에서 (본 발명의 일부가 아닌) 추가 보정을 적용하는 것이 가능하다.
스테이지 165: 화소 패키징, PPACK. 스테이지 164로부터 얻어진 화소 이미지는 배치 격자 시퀀스에 따라 소트되고 기록기 툴(도 1)의 처리 시스템(18)에 제공된 화소 버퍼 PBUF에 전송된다. 이러한 화소 데이터는 스트라이프(도 7)의 노출을 트리거하는 충분한 양의 데이터, 보통, 적어도 스트라이프의 길이가 존재할 때까지 버퍼링되어 있다. 이러한 데이터는 기록 프로세스 동안 버퍼로부터 취해진다. 이러한 스트라이프가 기록된 후에, 상술된 프로세스는 그 다음 스트라이프와 같은 다음 영역의 패턴 데이터에 대해 새롭게 시작한다.
매칭 성능을 충족시키기 위한 MRMC 커널의 교정
VSB 툴, 또는 (일반적으로) 기준 툴에 대한 데이터 준비는 보통 도 19에서 상술된 바와 같이, 선폭의 함수로서 CD 변화를 고려하기 위해 보정 함수를 사용한다. 또한, 선진 반도체 사용자는 CD 값, 라인 엔드 쇼트닝 및 커너 풀백 반경 및 관련 패턴 특성을 측정하기 위해 정교한 모델을 적용하는 반면, 의도된 형상으로부터의 편차는 벡터 입력 데이터, 기본적으로 선량 표시 및 옵션으로 형상을 수정함으로 보상된다. 이러한 최적화는 얼마나 많은 병렬 컴퓨터가 사용되는지에 따라, 자주 많은 계산 시간 또는 날들을 필요로 하는 계산 집약 시뮬레이션에 기초하고 있고, 이러한 계산은 특정 빔에 기초하고 있고 입력 넘버로서 선량 분포(점상 강도 분포 함수), 레지스트 블러링(예를 들어, CAR의 산 확산 효과, 즉, 화학적으로 확장된 레지스트) 및 레지스트 콘트라스트와 같은 특성을 처리한다. 결국, 블러 관련된 CD 오차 또는 코너 라운딩과 같은 매우 중요한 보정이 특정 VSB 기록 파라미터(또는 보다 일반적으로, 문제의 기준 툴의 기록 파라미터)를 가정하여, 데이터 수정에 의해 이루어진다. MBW가 가장 단순한 경우에 단지 보다 작은 블러일 수 있는 상이한 기록 동작을 갖는다면, 의도된 패턴은 VSB 툴(기준 툴)에 대해 준비된 데이터에 의해 직접 얻어지지 않을 것이다. 일반적인 경우에 단지 블러만이 아니고 점상 강도 분포 함수(선량 분포 함수의 2D 프로파일)가 하전 입자 광학 시스템의 차이로 인해 상당한 편차를 보인다.
실제 적용에서, 다른 기준 툴이 VSB 특정 오차를 매치할 수 있지 않으면, 즉, VSM 기록 동작을 모방하도록 리소그래픽 결과의 차이를 최소화하기 위해 온라인 보정이 제공되지 않으면, 동일한 제품을 위한 마스크를 생성하기 위해 VSB 툴 또는 다른 기준 툴을 사용하기를 원하는 툴 사용자가 MBW 머신의 강화된 생성성의 유익을 얻을 수 없다는 것을 의미한다. 이러한 추가 특징에 의해, MBW는 VSB 툴이 여전히 사용되고 있는 한 MBW의 유용성을 매우 크게 증가시키는, VSB 기록을 위해 사용되는 동일한 데이터를 위해 사용될 수 있다.
MRMC 커널의 결정
기준 툴의 강도 프로파일, 예를 들어, VSB 기록기의 강도 프로파일(61, 62)이 공지되어 있고; 예를 들어, 실험적으로 결정된 것이 보통이다. 대안으로, 강도 프로파일이 계산될 수 있는 기준 툴에 대한 선형성 플롯(도 19)일 수 있다. 또는 이러한 기준 툴에 의해 인쇄되는, 실험적 데이터 세트, 예를 들어, 가변 선량 또는 가변 설계 폭의 함수로서의 선폭의 세트가 강도 프로파일을 결정하기 위해 초기 데이터로서 취해질 수 있다. MRMC 커널의 결정/최적화를 위해, 여기에서 강도 프로파일이 공지된 것으로 가정한다(수학적으로, 예를 들어, 블러링 및 다른 이미징 결함을 포함하는, 델타 함수와 같은 불명확하게 작은 패턴 요소는 노출 동안 기재 위에 생성하는 선량 분포인 점상 강도 분포 함수).
MRMC의 결정 및 최적화는 MRMC 커널을 나타내는 특정 수의 포인트가 계획적으로 및/또는 확률적으로 변하여 타겟 함수(성능 지수)에 대해 최적화되는 반복 프로세스이다. 이러한 타겟 함수는 예를 들어, 선형 함수(도 19a 참조)의 차이, 또는 도 24a 및 도 24b에 대해 설명된 지향성 선폭 변화를 최적하는 경우에, 관심의 라인 방향에 대한 선폭 사이의 차이의 적분일 수 있다.
일반적으로, 이러한 최적화는 최신 주지된 수학적 원리를 사용하는 종래의 알고리즘에 의해 이루어질 수 있다. 사용될 수 있는 하나의 표준 방법은 경사법, 또는 선형회귀법이다. 시작 함수로서, 예를 들어, 단일 가우스 함수의 형태의 도 26d에 예로서 도시된, 기준 툴의 강도 프로파일이 사용된다. 사실, 강도 프로파일이 이미 존재하는 많은 경우에, 최종 커널에 대한 적절한 양호한 근사화, 및 추가 최적화만이 매칭의 정확도의 보다 높은 요구에 부응하기 위해 필요하다. 블러의 차이가 클수록, 매칭이 보다 양호하게 작동되고 커널 함수가 보다 많은 블러에 의해 주어진 강도 분포에 의해 보다 많이 조절될 것이다.
도 26a 내지 도 26d는 최적 커널을 찾는 선형회귀 계산을 위한 시작 함수로서 적절한 커널 함수의 예를 도시하고 있다. 대안으로, 이들은 (후속 최적화 없이) 다수의 태스크에 직접 충분할 수 있다. 특히, 도 26a에 묘사된 직사각형 함수는 가중 평균화를 실행하기에 적절하거나, 도 26c에 묘사된 싱크 함수는 커널이 미분의 효과를 갖는, "에지 강화" 또는 "패턴 선택" 필터링을 얻는데 사용될 수 있고; 마지막으로, 도 26d는 가우스 형상을 도시하고 있다. 싱크 형상의 커널의 음의 값이 기재 상의 음의 선량 값을 피하기 위해, 제로와 같은 음이 아닌 컷오프 값으로 대체되는 공칭 음의 선량을 산출할 수 있다는 것에 주목해야 한다.
물론, MBW 자체는 (점의 폭 및 점상 강도 분포 함수로 인해) 블러를 가질 것이다. 이러한 MBW 블러는 타겟 위에 기록되는 선폭과 비교하여 보통 매우 작고, 기준 툴의 블러와 비교하여 훨씬 더 작아서; MBW 블러는 적어도 제1 스테이지에서 상당한 손실 없이 커널을 결정하는데 있어 무시될 수 있다. 반복 방식에서, 이것은 반복이 함축적으로 MBW 블러의 영향을 감안할 것이기 때문에 안전한 프로시저이다. 사실, 커널에서 MBW 블러를 무시함으로써 도입된 편차는 보통 이미 제1 스테이지에서 별로 중요하지 않다는 것이 발견되었다.

Claims (15)

  1. 타겟 위의 이미지 에어리어의 다수의 화소(px)를 노출시킴으로써 소정의 패턴을 기록하기 위해 입자 빔(pb)이 관통하는 복수의 차단 개구(24, 33, 43)로 구성된 개구 어레이(26)를 포함하는 패턴 형성 장치(4)에 입자 빔(lb, 50)이 지향되어 조사되는 하전 입자 멀티빔 처리 장치(1)에서 타겟(16)에 소정의 패턴을 노출시키기 위한 노출 패턴을 계산하는 방법으로서,
    상기 패턴 형성 장치에서, 상기 복수의 차단 개구(24, 33, 43)는 상기 차단 개구의 상호 위치를 규정하는 사전결정된 배열로 배열되어 있고, 각 노출 간격 동안 상기 타겟에 생성된 상응하는 개구 이미지로 각 차단 개구를 통해 노출되는 선량 값과 관련하여 각 차단 개구를 통한 노출이 선택적으로 조정되고, 상기 선량 값은 이산 그레이 스케일로부터 선택된 각각의 값을 취하고,
    상기 소정의 패턴의 기록 공정 동안, 각각의 노출 간격으로 차단 개구가 타겟(16)에 이미지화되어 상응하는 복수의 개구 이미지(b1, bi0, bi1)를 생성하는 일련의 노출 간격-(T1)이 만들어지고,
    상기 방법은,
    i) 상기 타겟 위의 이미지 에어리어 위에 그래픽 표현(72, 101)으로서 상기 소정의 패턴을 제공하는 단계로서, 상기 그래픽 표현(72, 101)은 소정의 해상도의 폭을 갖고 있고, 상기 해상도의 폭은 상기 이미지 에어리어 내에서 이웃하는 화소 사이의 공칭 거리보다 큰 단계,
    ii) 컨볼루션 커널(91, 111)을 제공하는 단계로서, 상기 커널은 상기 그래픽 표현의 엘리먼트로부터 상기 엘리먼트의 공칭 위치 주위에 중심이 있는 화소의 그룹으로의 맵핑을 기술하는 단계,
    iii) 상기 그래픽 표현(72, 101)의 상기 컨볼루션 커널(91, 111)과의 컨볼루션에 의해, 상기 다수의 화소에 형성된 화소 래스터 그래픽스(ps)로서 공칭 노출 패턴을 계산하는 단계로서, 상기 공칭 노출 패턴은 상기 타겟 상에 공칭 선량 분포를 생성하고,
    상기 컨볼루션 커널은 상기 처리 장치에 의해 모방되는 기준 기록 장치의 점상 강도 분포 함수를 나타내는 것을 특징으로 하는 노출 패턴 계산 방법.
  2. 제1항에 있어서, 상기 기록 공정은 상호 중첩하는 개구 이미지를 생성하고, 상기 개구 이미지는 상기 타겟 위에 생성된 이웃 개구 이미지의 화소 위치 사이의 거리(e)의 배수인 공칭 폭(b)을 갖고 있고, 상기 방법은,
    iv) 상기 공칭 노출 패턴으로부터, 개구 이미지의 노출을 통해 상기 기록 공정에 의해 상기 소정의 패턴을 노출시키는 노출 패턴을 생성하는 단계를 더 포함하는 것을 특징으로 하는 노출 패턴 계산 방법.
  3. 제1항에 있어서, 단계 i)에서, 상기 그래픽 표현(72, 101)은 해상도의 폭으로서 사용되는 래스터 폭을 갖는 기준 래스터에 대한 래스터 그래픽스인 것을 특징으로 하는 노출 패턴 계산 방법.
  4. 제1항에 있어서, 단계 i)에서, 상기 그래픽 표현은 상기 이미지 에어리어 내의 이웃하는 화소 사이의 공칭 거리 보다 큰 래스터 폭을 갖는 기준 래스터에 대한 래스터 그래픽스로 전환되는 벡터 그래픽스인 것을 특징으로 하는 노출 패턴 계산 방법.
  5. 제1항에 있어서, 단계 iii)의 계산, 및 후속 계산(있다면)은 계산된 데이터의 영구 저장 없이 일시로 연관 계산을 실행하여 실시간으로 기록 공정 동안 실행되는 것을 특징으로 하는 노출 패턴 계산 방법.
  6. 제1항에 있어서, 상기 컨볼루션 커널은 화소 값의 이산 행렬(112, 152)로서 표현되고, 단계 iii)의 컨볼루션은 이산 컨볼루션으로서 실행되는 것을 특징으로 하는 노출 패턴 계산 방법.
  7. 제1항에 있어서, 상기 컨볼루션 커널은 모방되는 기준 기록 장치의 시간 의존 기록 동작에 상응하는 시간 의존성(f(t))을 갖는 시간 의존 값을 포함하는 것을 특징으로 하는 노출 패턴 계산 방법.
  8. 제1항에 있어서, 상기 컨볼루션 커널은 상기 이미지 에어리어에서 2개의 주요 방향에 대해 이방성을 갖는 것을 특징으로 하는 노출 패턴 계산 방법.
  9. 제8항에 있어서, 상기 이방성을 갖는 컨볼루션 커널은 상기 차단 개구의 상기 타겟(16)으로의 이미지화의 이방성을 보상하도록 구성된 것을 특징으로 하는 노출 패턴 계산 방법.
  10. 제1항에 있어서, 단계 iii)의 컨볼루션은 이산 그레이 스케일의 해상도 보다 높은 연산 정도(precision)를 갖는 연산 값을 사용하여 실행되는 것을 특징으로 하는 노출 패턴 계산 방법.
  11. 제1항에 있어서, 2개 이상의 컨볼루션 커널이 사용되고, 각 커널은 이미지 에어리어 내의 복수의 서브 에어리어의 각각의 서브 에어리어에 사용되거나 패턴 형성 장치에 존재하는 복수의 개구 어레이로부터의 각각의 개구 어레이와 함께 사용되는 것을 특징으로 하는 노출 패턴 계산 방법.
  12. 제1항에 있어서, 상기 기록 공정 동안 개구 이미지의 위치는 노출 간격 동안 화소(px)의 위치에서 타겟에 대해 고정된 상태로 유지되지만, 노출 간격 사이에서는 개구 이미지의 위치가 타겟 위에서 시프트되어, 상기 타겟 위에 상기 이미지 에어리어 내의 다수의 화소를 노출시키는 것을 특징으로 하는 노출 패턴 계산 방법.
  13. 제1항에 있어서, 상기 그래픽 표현(72, 101)의 해상도의 폭은 상기 하전 입자 멀티빔 처리 장치(1)에 의해 생성된 개구 이미지의 공칭 폭 보다 큰 것을 특징으로 하는 노출 패턴 계산 방법.
  14. 전기 하전 입자의 구조화된 빔에 의한 타겟(16)의 노출을 위한 하전 입자 멀티빔 처리 장치(1)로서,
    - 조명 시스템(3),
    - 패턴 형성 장치(4) 및
    - 투사 광학 시스템(5)을 포함하고,
    상기 조명 시스템(3)은 상기 전기 하전 입자의 빔을 생성하고 상기 빔을 상기 패턴 형성 장치(4)를 조사하는 넓은 빔(lb)으로 형성하도록 구성되어 있고, 상기 패턴 형성 장치(4)는 상기 조사 빔의 형상을 다수의 서브 빔으로 구성된 구조화된 빔으로 형성하도록 구성되어 있고, 상기 투사 광학 시스템(5)은 상기 패턴 형성 장치에서 형성된 빔 형상의 이미지를 상기 타겟(16)에 투사하여 상기 타겟 위의 이미지 에어리어의 다수의 화소(px)를 노출시키도록 구성되어 있고,
    상기 패턴 형성 장치(4)는 상기 서브 빔(51, 52)을 형성하는 복수의 차단 개구(24, 33, 43)로 구성된 개구 어레이(26)를 포함하고, 상기 복수의 차단 개구(24, 33, 43)는 상기 차단 개구의 상호 위치를 규정하는 사전결정된 배열로 배열되어 있고, 각 노출 간격 동안 타겟에 생성된 상응하는 개구 이미지로 각 차단 개구를 통해 노출되는 선량 값과 관련하여 각 차단 개구를 통한 노출이 선택적으로 조정되고, 상기 선량 값은 이산 그레이 스케일로부터 선택된 각각의 값을 취하고,
    상기 소정의 패턴의 기록 공정 동안, 각각의 노출 간격으로 차단 개구가 타겟(16)에 이미지화되어 상응하는 복수의 개구 이미지(b1, bi0, bi1)를 생성하는 일련의 노출 간격-(T1)이 만들어지고,
    상기 처리 장치는 기준 기록 장치의 소정의 패턴에 대해 기록 프로세스를 모방하도록 구성되어 있고, 상기 기준 기록 장치는 상기 처리 장치에 의해 생성된 개구 이미지의 공칭 폭 보다 큰 해상도의 폭을 갖는 점상 강도 분포 함수를 갖고 있고, 제1항 내지 제13항의 어느 한 항의 방법이 상기 소정의 패턴을 상기 처리 장치에 의해 기록가능한 공칭 노출 패턴으로 전환하도록 사용되는 것을 특징으로 하는 하전 입자 멀티빔 처리 장치.
  15. 삭제
KR1020150098463A 2014-07-10 2015-07-10 컨볼루션 커널을 사용한 입자 빔 기록기의 주문제작 KR102357185B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP14176563.6 2014-07-10
EP14176563 2014-07-10
EP14199183 2014-12-19
EP14199183.6 2014-12-19

Publications (2)

Publication Number Publication Date
KR20160007435A KR20160007435A (ko) 2016-01-20
KR102357185B1 true KR102357185B1 (ko) 2022-02-03

Family

ID=53491459

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020150098463A KR102357185B1 (ko) 2014-07-10 2015-07-10 컨볼루션 커널을 사용한 입자 빔 기록기의 주문제작
KR1020150098701A KR102304067B1 (ko) 2014-07-10 2015-07-10 컨볼루션 커널을 사용한 입자 빔 기록기의 이미징 편차 보상

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020150098701A KR102304067B1 (ko) 2014-07-10 2015-07-10 컨볼루션 커널을 사용한 입자 빔 기록기의 이미징 편차 보상

Country Status (4)

Country Link
US (2) US9520268B2 (ko)
EP (2) EP2966504B1 (ko)
JP (2) JP6890373B2 (ko)
KR (2) KR102357185B1 (ko)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2937889B1 (en) 2014-04-25 2017-02-15 IMS Nanofabrication AG Multi-beam tool for cutting patterns
EP2950325B1 (en) 2014-05-30 2018-11-28 IMS Nanofabrication GmbH Compensation of dose inhomogeneity using overlapping exposure spots
JP6890373B2 (ja) * 2014-07-10 2021-06-18 アイエムエス ナノファブリケーション ゲーエムベーハー 畳み込みカーネルを使用する粒子ビーム描画機における結像偏向の補償
US9568907B2 (en) 2014-09-05 2017-02-14 Ims Nanofabrication Ag Correction of short-range dislocations in a multi-beam writer
JP5816739B1 (ja) * 2014-12-02 2015-11-18 株式会社ニューフレアテクノロジー マルチビームのブランキングアパーチャアレイ装置、及びマルチビームのブランキングアパーチャアレイ装置の製造方法
US9658538B2 (en) * 2014-12-19 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. System and technique for rasterizing circuit layout data
US9653263B2 (en) 2015-03-17 2017-05-16 Ims Nanofabrication Ag Multi-beam writing of pattern areas of relaxed critical dimension
EP3096342B1 (en) 2015-03-18 2017-09-20 IMS Nanofabrication AG Bi-directional double-pass multi-beam writing
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
JP6590542B2 (ja) * 2015-06-15 2019-10-16 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置
JP6727021B2 (ja) * 2016-04-26 2020-07-22 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム照射装置、マルチ荷電粒子ビームの照射方法及びマルチ荷電粒子ビームの調整方法
US10325756B2 (en) 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
EP3258479B1 (en) * 2016-06-13 2019-05-15 IMS Nanofabrication GmbH Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
WO2018022280A1 (en) * 2016-07-25 2018-02-01 Siemens Healthcare Diagnostics Inc. Systems, methods and apparatus for identifying a specimen container cap
WO2018061960A1 (ja) * 2016-09-29 2018-04-05 大日本印刷株式会社 マルチビーム電子線描画装置における露光強度分布を求める方法および装置
JP6881168B2 (ja) * 2016-09-29 2021-06-02 大日本印刷株式会社 マルチビーム電子線描画装置における露光強度分布を求める方法および装置
CN110114726B (zh) * 2016-12-28 2021-11-30 Asml荷兰有限公司 确定由图案形成装置上的有限厚度的结构引起的辐射的散射的方法
US10325757B2 (en) 2017-01-27 2019-06-18 Ims Nanofabrication Gmbh Advanced dose-level quantization of multibeam-writers
EP3355337B8 (en) * 2017-01-27 2024-04-10 IMS Nanofabrication GmbH Advanced dose-level quantization for multibeam-writers
US10613413B1 (en) 2017-05-31 2020-04-07 Facebook Technologies, Llc Ultra-wide field-of-view scanning devices for depth sensing
US10181200B1 (en) 2017-06-28 2019-01-15 Facebook Technologies, Llc Circularly polarized illumination and detection for depth sensing
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
US10574973B2 (en) 2017-09-06 2020-02-25 Facebook Technologies, Llc Non-mechanical beam steering for depth sensing
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
KR20200030806A (ko) 2018-09-13 2020-03-23 삼성전자주식회사 반도체 제조 공정을 모니터링하기 위해 인공 신경망에 기초하는 이미지 변환 모델을 포함하는 비일시적 컴퓨터 판독가능 매체 그리고 반도체 웨이퍼의 이미지를 변환하는 방법
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
KR20210099516A (ko) * 2020-02-03 2021-08-12 아이엠에스 나노패브릭케이션 게엠베하 멀티―빔 라이터의 블러 변화 보정
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스
US11657207B2 (en) * 2020-07-28 2023-05-23 Synopsys, Inc. Wafer sensitivity determination and communication
EP4095882A1 (en) 2021-05-25 2022-11-30 IMS Nanofabrication GmbH Pattern data processing for programmable direct-write apparatus
JP2023138912A (ja) 2022-03-21 2023-10-03 アイエムエス ナノファブリケーション ゲーエムベーハー リソグラフィ描画法における熱膨張の補正

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030160980A1 (en) 2001-09-12 2003-08-28 Martin Olsson Graphics engine for high precision lithography
JP2007329187A (ja) * 2006-06-06 2007-12-20 Nuflare Technology Inc 荷電ビーム描画装置および描画方法
JP2008016622A (ja) 2006-07-05 2008-01-24 Sony Corp パターン抽出方法,パターン抽出装置および半導体装置の製造方法
JP2010123966A (ja) * 2008-11-20 2010-06-03 Ims Nanofabrication Ag 定電流マルチビームパターニング
JP2013232531A (ja) * 2012-04-27 2013-11-14 Canon Inc 描画装置及び物品の製造方法

Family Cites Families (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1033741A (en) 1911-02-08 1912-07-23 Bona Sims Armored tread for pneumatic tires.
US1420104A (en) 1921-05-10 1922-06-20 Edward W Howe Brush-block-boring machine
US1903005A (en) 1930-11-20 1933-03-28 Gen Motors Corp Oil pump screen
US2187427A (en) 1937-09-11 1940-01-16 Leslie H Middleton Dashboard fuse mounting
US2820109A (en) 1952-03-22 1958-01-14 Cgs Lab Inc Magnetic amplifier
US2920104A (en) 1958-07-01 1960-01-05 Vanderbilt Co R T Stabilized solutions of a dithiocarbamate
DE2302938C3 (de) 1973-01-22 1979-07-12 Polymer-Physik Gmbh & Co Kg, 2844 Lemfoerde Mehrstufiger Beschleuniger für geladene Teilchen mit Hochvakuumisolation
US4467211A (en) 1981-04-16 1984-08-21 Control Data Corporation Method and apparatus for exposing multi-level registered patterns interchangeably between stations of a multi-station electron-beam array lithography (EBAL) system
JPH0628231B2 (ja) 1985-07-09 1994-04-13 富士通株式会社 電子ビ−ム露光方法
US5103101A (en) 1991-03-04 1992-04-07 Etec Systems, Inc. Multiphase printing for E-beam lithography
EP0508151B1 (en) 1991-03-13 1998-08-12 Fujitsu Limited Charged particle beam exposure system and charged particle beam exposure method
GB9107207D0 (en) 1991-04-05 1991-05-22 Tycho Technology Ltd Mechanical manipulator
US5369282A (en) 1992-08-03 1994-11-29 Fujitsu Limited Electron beam exposure method and system for exposing a pattern on a substrate with an improved accuracy and throughput
JP3206143B2 (ja) 1992-10-20 2001-09-04 富士通株式会社 荷電粒子ビーム露光方法
JP3437306B2 (ja) 1995-02-01 2003-08-18 富士通株式会社 荷電粒子ビーム露光方法及び装置
US5841145A (en) 1995-03-03 1998-11-24 Fujitsu Limited Method of and system for exposing pattern on object by charged particle beam
US6229595B1 (en) 1995-05-12 2001-05-08 The B. F. Goodrich Company Lithography system and method with mask image enlargement
JP3565652B2 (ja) 1996-04-25 2004-09-15 富士通株式会社 荷電粒子ビーム露光装置用透過マスク及びそれを利用した露光装置
JP3335845B2 (ja) 1996-08-26 2002-10-21 株式会社東芝 荷電ビーム描画装置及び描画方法
US6225637B1 (en) 1996-10-25 2001-05-01 Canon Kabushiki Kaisha Electron beam exposure apparatus
US5847959A (en) 1997-01-28 1998-12-08 Etec Systems, Inc. Method and apparatus for run-time correction of proximity effects in pattern generation
US5876902A (en) 1997-01-28 1999-03-02 Etec Systems, Inc. Raster shaped beam writing strategy system and method for pattern generation
JP3085454B2 (ja) 1997-03-13 2000-09-11 日本電気株式会社 荷電粒子線露光方法
JP3787417B2 (ja) 1997-06-11 2006-06-21 キヤノン株式会社 電子ビーム露光方法及び電子ビーム露光装置
MXPA00005989A (es) 1997-12-17 2002-09-18 Int Coatings Ltd Proceso de revestimiento en polvo.
US6552353B1 (en) 1998-01-05 2003-04-22 Canon Kabushiki Kaisha Multi-electron beam exposure method and apparatus and device manufacturing method
US6014200A (en) 1998-02-24 2000-01-11 Nikon Corporation High throughput electron beam lithography system
SE9800665D0 (sv) 1998-03-02 1998-03-02 Micronic Laser Systems Ab Improved method for projection printing using a micromirror SLM
US6043496A (en) 1998-03-14 2000-03-28 Lucent Technologies Inc. Method of linewidth monitoring for nanolithography
JP2000056960A (ja) 1998-08-13 2000-02-25 Ricoh Co Ltd ユーザインターフェイス装置
US6252339B1 (en) 1998-09-17 2001-06-26 Nikon Corporation Removable bombardment filament-module for electron beam projection systems
US6111932A (en) 1998-12-14 2000-08-29 Photoelectron Corporation Electron beam multistage accelerator
US9188874B1 (en) 2011-05-09 2015-11-17 Kenneth C. Johnson Spot-array imaging system for maskless lithography and parallel confocal microscopy
JP2000252198A (ja) 1999-03-02 2000-09-14 Advantest Corp 荷電ビーム露光装置
JP2000260686A (ja) 1999-03-08 2000-09-22 Toshiba Corp 露光方法及び露光装置
KR100339140B1 (ko) 1999-04-28 2002-05-31 히로시 오우라 전자빔 노출 장치
US6720565B2 (en) 1999-06-30 2004-04-13 Applied Materials, Inc. Real-time prediction of and correction of proximity resist heating in raster scan particle beam lithography
US6472673B1 (en) 1999-07-29 2002-10-29 Ims Ionen-Mikrofabrikations Systeme Gmbh Lithographic method for producing an exposure pattern on a substrate
AU1926501A (en) 1999-11-23 2001-06-04 Ion Diagnostics, Inc. Electron optics for multi-beam electron beam lithography tool
JP2001168018A (ja) 1999-12-13 2001-06-22 Canon Inc 荷電粒子線露光装置、荷電粒子線露光方法及び露光補正データの決定方法、該方法を適用したデバイスの製造方法。
JP4585661B2 (ja) 2000-03-31 2010-11-24 キヤノン株式会社 電子光学系アレイ、荷電粒子線露光装置およびデバイス製造方法
WO2001075949A1 (fr) 2000-04-04 2001-10-11 Advantest Corporation Appareil d'exposition multifaisceau comprenant une lentille electronique multiaxe, et procede de fabrication d'un dispositif a semi-conducteur
US6509955B2 (en) 2000-05-25 2003-01-21 Ball Semiconductor, Inc. Lens system for maskless photolithography
US6473237B2 (en) 2000-11-14 2002-10-29 Ball Semiconductor, Inc. Point array maskless lithography
DE10127836A1 (de) 2001-06-08 2003-01-30 Giesecke & Devrient Gmbh Vorrichtung zur Untersuchung von Dokumenten
JP3730153B2 (ja) 2001-10-18 2005-12-21 セイコーインスツル株式会社 プリンタのカッター装置
US6671975B2 (en) 2001-12-10 2004-01-06 C. William Hennessey Parallel kinematic micromanipulator
DE10161152B4 (de) 2001-12-12 2014-02-13 Medical Intelligence Medizintechnik Gmbh Positionierung des Behandlungsstrahls eines Strahlentherapiesystems mittels eines Hexapoden
US6768125B2 (en) 2002-01-17 2004-07-27 Ims Nanofabrication, Gmbh Maskless particle-beam system for exposing a pattern on a substrate
TW546595B (en) 2002-07-23 2003-08-11 Internet Motion Navigator Corp Six-axis translation-type dynamic simulation device
KR100480609B1 (ko) 2002-08-09 2005-04-06 삼성전자주식회사 전자 빔 리소그래피 방법
US6896037B2 (en) 2002-10-29 2005-05-24 Duramax Marine, Llc Keel cooler with fluid flow diverter
US6767125B2 (en) 2003-01-21 2004-07-27 Red Devil Equipment Company Keyed paint container holder for a paint mixer
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
JP2004282038A (ja) 2003-02-28 2004-10-07 Canon Inc 偏向器、偏向器を製造する方法、偏向器を適用した荷電粒子線露光装置
GB2399676B (en) 2003-03-21 2006-02-22 Ims Ionen Mikrofab Syst Apparatus for enhancing the lifetime of stencil masks
US7138629B2 (en) 2003-04-22 2006-11-21 Ebara Corporation Testing apparatus using charged particles and device manufacturing method using the testing apparatus
KR101281357B1 (ko) 2003-06-06 2013-07-02 가부시키가이샤 니콘 광학 소자 유지 장치, 경통, 노광 장치, 및 디바이스의제조방법
GB2406704B (en) 2003-09-30 2007-02-07 Ims Nanofabrication Gmbh Particle-optic electrostatic lens
DE102004025832A1 (de) 2004-05-24 2005-12-22 Carl Zeiss Smt Ag Optikmodul für ein Objektiv
JP4313145B2 (ja) 2003-10-07 2009-08-12 株式会社日立ハイテクノロジーズ 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置
GB2408143B (en) 2003-10-20 2006-11-15 Ims Nanofabrication Gmbh Charged-particle multi-beam exposure apparatus
GB2408383B (en) 2003-10-28 2006-05-10 Ims Nanofabrication Gmbh Pattern-definition device for maskless particle-beam exposure apparatus
GB2413694A (en) 2004-04-30 2005-11-02 Ims Nanofabrication Gmbh Particle-beam exposure apparatus
GB2414111B (en) 2004-04-30 2010-01-27 Ims Nanofabrication Gmbh Advanced pattern definition for particle-beam processing
JP4634076B2 (ja) 2004-06-30 2011-02-16 キヤノン株式会社 荷電粒子線露光装置及びデバイス製造方法
JP2006079911A (ja) 2004-09-09 2006-03-23 Hitachi High-Technologies Corp 電子ビーム電流計測方法、電子ビーム描画装置および電子ビーム検出器
JP2006126823A (ja) 2004-09-30 2006-05-18 Fujitsu Ltd 可変矩形型電子ビーム露光装置及びパターン露光・形成方法
JP4460987B2 (ja) 2004-09-30 2010-05-12 株式会社東芝 電子線描画方法および磁気記録媒体の製造方法
DE102004052994C5 (de) 2004-11-03 2010-08-26 Vistec Electron Beam Gmbh Multistrahlmodulator für einen Partikelstrahl und Verwendung des Multistrahlmodulators zur maskenlosen Substratsstrukturierung
GB2435348B (en) 2004-11-17 2009-06-24 Ims Nanofabrication Ag Pattern lock system for particle-beam exposure apparatus
US7459247B2 (en) 2004-12-27 2008-12-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2006084298A1 (en) 2005-02-11 2006-08-17 Ims Nanofabrication Ag Charged-particle exposure apparatus with electrostatic zone plate
WO2006107664A2 (en) 2005-04-01 2006-10-12 Trustees Of Stevens Institute Of Technology Flexible parallel manipulator for nano-, meso-or macro-positioning with multi-degrees of freedom
JP4648087B2 (ja) 2005-05-25 2011-03-09 キヤノン株式会社 偏向器の作製方法、荷電粒子線露光装置、および、デバイス製造方法
EP1941528B9 (en) 2005-09-06 2011-09-28 Carl Zeiss SMT GmbH Particle-optical arrangement with particle-optical component
JP4638327B2 (ja) 2005-10-17 2011-02-23 新日本工機株式会社 パラレルメカニズム装置、パラレルメカニズム装置のキャリブレーション方法、キャリブレーションプログラム、及び記録媒体
KR101096954B1 (ko) 2006-01-31 2011-12-20 신에쓰 가가꾸 고교 가부시끼가이샤 고분자 화합물, 레지스트 보호막 재료 및 패턴 형성 방법
US7781748B2 (en) 2006-04-03 2010-08-24 Ims Nanofabrication Ag Particle-beam exposure apparatus with overall-modulation of a patterned beam
US7738077B2 (en) * 2006-07-31 2010-06-15 Asml Netherlands B.V. Patterning device utilizing sets of stepped mirrors and method of using same
JP5241195B2 (ja) 2006-10-30 2013-07-17 アイエムエス ナノファブリカツィオン アーゲー 荷電粒子露光装置
US20080142728A1 (en) 2006-10-30 2008-06-19 Applied Materials, Inc. Mechanical scanner
JP4932433B2 (ja) 2006-11-02 2012-05-16 株式会社ニューフレアテクノロジー 電子ビーム描画装置及び電子ビーム描画方法
DE102008010123A1 (de) 2007-02-28 2008-09-04 Ims Nanofabrication Ag Vielstrahl-Ablenkarray-Einrichtung für maskenlose Teilchenstrahl-Bearbeitung
NL2001369C2 (nl) 2007-03-29 2010-06-14 Ims Nanofabrication Ag Werkwijze voor maskerloze deeltjesbundelbelichting.
US7930653B2 (en) 2007-04-17 2011-04-19 Micronic Laser Systems Ab Triangulating design data and encoding design intent for microlithographic printing
JP5491704B2 (ja) 2007-05-14 2014-05-14 イーエムエス ナノファブリカツィオン アーゲー 対向電極アレイ板を有するパターン定義装置
JP4996978B2 (ja) 2007-05-28 2012-08-08 株式会社ニューフレアテクノロジー 描画方法
DE102007034232B4 (de) 2007-07-23 2012-03-01 Bruker Daltonik Gmbh Dreidimensionale Hochfrequenz-Ionenfallen hoher Einfangeffizienz
EP2019415B1 (en) 2007-07-24 2016-05-11 IMS Nanofabrication AG Multi-beam source
CN101933116A (zh) 2008-02-05 2010-12-29 尼尔技术有限责任公司 一种用于进行电子束光刻的方法
JP5743886B2 (ja) 2008-06-04 2015-07-01 マッパー・リソグラフィー・アイピー・ビー.ブイ. ターゲットを露光するための方法およびシステム
US8227768B2 (en) 2008-06-25 2012-07-24 Axcelis Technologies, Inc. Low-inertia multi-axis multi-directional mechanically scanned ion implantation system
NL2003304C2 (en) 2008-08-07 2010-09-14 Ims Nanofabrication Ag Compensation of dose inhomogeneity and image distortion.
DE102008053180B4 (de) 2008-10-24 2012-07-12 Advanced Mask Technology Center Gmbh & Co. Kg Teilchenstrahlschreibverfahren, Teilchenstrahlschreibvorrichtung und Wartungsverfahren für selbige
EP2187427B1 (en) 2008-11-17 2011-10-05 IMS Nanofabrication AG Method for maskless particle-beam exposure
NL2003716A (en) * 2008-11-24 2010-05-26 Brion Tech Inc Harmonic resist model for use in a lithographic apparatus and a device manufacturing method.
JP5634052B2 (ja) 2009-01-09 2014-12-03 キヤノン株式会社 荷電粒子線描画装置およびデバイス製造方法
US8198601B2 (en) 2009-01-28 2012-06-12 Ims Nanofabrication Ag Method for producing a multi-beam deflector array device having electrodes
EP2228817B1 (en) * 2009-03-09 2012-07-18 IMS Nanofabrication AG Global point spreading function in multi-beam patterning
EP2251893B1 (en) 2009-05-14 2014-10-29 IMS Nanofabrication AG Multi-beam deflector array means with bonded electrodes
KR101605832B1 (ko) 2009-05-20 2016-03-23 마퍼 리쏘그라피 아이피 비.브이. 리소그래픽 처리를 위한 2―레벨 패턴 발생 방법 및 이를 이용한 패턴 발생기
KR101854828B1 (ko) 2009-05-20 2018-05-04 마퍼 리쏘그라피 아이피 비.브이. 듀얼 패스 스캐닝
US8294117B2 (en) 2009-09-18 2012-10-23 Mapper Lithography Ip B.V. Multiple beam charged particle optical system
US8546767B2 (en) 2010-02-22 2013-10-01 Ims Nanofabrication Ag Pattern definition device with multiple multibeam array
JP2011199279A (ja) 2010-03-18 2011-10-06 Ims Nanofabrication Ag ターゲット上へのマルチビーム露光のための方法
US8542797B2 (en) 2010-09-24 2013-09-24 Elekta Ab (Publ) Radiotherapy apparatus configured to track a motion of a target region using a combination of a multileaf collimator and a patient support
JP5662756B2 (ja) 2010-10-08 2015-02-04 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP5809419B2 (ja) 2011-02-18 2015-11-10 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP5758325B2 (ja) * 2011-03-01 2015-08-05 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
KR101854148B1 (ko) 2011-05-09 2018-05-03 삼성전자주식회사 전자빔 노광 장치 및 이를 이용한 레티클 제조 방법
JP2013004216A (ja) 2011-06-14 2013-01-07 Canon Inc 荷電粒子線レンズ
CN202204836U (zh) 2011-07-28 2012-04-25 辽宁省电力有限公司 高压试验设备绝缘支架
NL2009797A (en) * 2011-11-29 2013-05-30 Asml Netherlands Bv Apparatus and method for converting a vector-based representation of a desired device pattern for a lithography apparatus, apparatus and method for providing data to a programmable patterning device, a lithography apparatus and a device manufacturing method.
JP5977941B2 (ja) 2011-12-19 2016-08-24 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
JP5383786B2 (ja) 2011-12-27 2014-01-08 キヤノン株式会社 荷電粒子線描画装置および描画方法、それを用いた物品の製造方法
JP5956797B2 (ja) 2012-03-22 2016-07-27 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
JP6014342B2 (ja) 2012-03-22 2016-10-25 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
EP2757571B1 (en) 2013-01-17 2017-09-20 IMS Nanofabrication AG High-voltage insulation device for charged-particle optical apparatus
JP6195349B2 (ja) 2013-04-26 2017-09-13 キヤノン株式会社 描画装置、描画方法、および物品の製造方法
TWI533096B (zh) 2013-05-24 2016-05-11 Nuflare Technology Inc Multi - charged particle beam mapping device and multi - charged particle beam rendering method
JP2015023286A (ja) 2013-07-17 2015-02-02 アイエムエス ナノファブリケーション アーゲー 複数のブランキングアレイを有するパターン画定装置
EP2830083B1 (en) 2013-07-25 2016-05-04 IMS Nanofabrication AG Method for charged-particle multi-beam exposure
US20150069260A1 (en) 2013-09-11 2015-03-12 Ims Nanofabrication Ag Charged-particle multi-beam apparatus having correction plate
EP2913838B1 (en) 2014-02-28 2018-09-19 IMS Nanofabrication GmbH Compensation of defective beamlets in a charged-particle multi-beam exposure tool
EP2937889B1 (en) 2014-04-25 2017-02-15 IMS Nanofabrication AG Multi-beam tool for cutting patterns
EP2937888B1 (en) 2014-04-25 2019-02-20 IMS Nanofabrication GmbH Multi-beam tool for cutting patterns
JP6890373B2 (ja) 2014-07-10 2021-06-18 アイエムエス ナノファブリケーション ゲーエムベーハー 畳み込みカーネルを使用する粒子ビーム描画機における結像偏向の補償
US9568907B2 (en) 2014-09-05 2017-02-14 Ims Nanofabrication Ag Correction of short-range dislocations in a multi-beam writer

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030160980A1 (en) 2001-09-12 2003-08-28 Martin Olsson Graphics engine for high precision lithography
JP2007329187A (ja) * 2006-06-06 2007-12-20 Nuflare Technology Inc 荷電ビーム描画装置および描画方法
JP2008016622A (ja) 2006-07-05 2008-01-24 Sony Corp パターン抽出方法,パターン抽出装置および半導体装置の製造方法
JP2010123966A (ja) * 2008-11-20 2010-06-03 Ims Nanofabrication Ag 定電流マルチビームパターニング
JP2013232531A (ja) * 2012-04-27 2013-11-14 Canon Inc 描画装置及び物品の製造方法

Also Published As

Publication number Publication date
EP2927748A2 (en) 2015-10-07
US9520268B2 (en) 2016-12-13
EP2927748A3 (en) 2015-12-30
KR20160007443A (ko) 2016-01-20
EP2966504A1 (en) 2016-01-13
US20160012170A1 (en) 2016-01-14
JP6892214B2 (ja) 2021-06-23
KR20160007435A (ko) 2016-01-20
KR102304067B1 (ko) 2021-09-24
JP2016018995A (ja) 2016-02-01
JP2016029715A (ja) 2016-03-03
US20160013019A1 (en) 2016-01-14
JP6890373B2 (ja) 2021-06-18
EP2927748B1 (en) 2023-03-01
EP2966504B1 (en) 2020-02-05
US9373482B2 (en) 2016-06-21

Similar Documents

Publication Publication Date Title
KR102357185B1 (ko) 컨볼루션 커널을 사용한 입자 빔 기록기의 주문제작
US9653263B2 (en) Multi-beam writing of pattern areas of relaxed critical dimension
EP2950325B1 (en) Compensation of dose inhomogeneity using overlapping exposure spots
US9568907B2 (en) Correction of short-range dislocations in a multi-beam writer
KR102302015B1 (ko) 완화된 임계 치수의 패턴 에어리어의 멀티빔 기록
US10325757B2 (en) Advanced dose-level quantization of multibeam-writers
KR102380475B1 (ko) 다중 빔 라이터의 단거리 변위의 보정
KR102645978B1 (ko) 멀티­빔 기록 장치에서 노광되는 노광 패턴에서의 선량­관련 피처 재형성
JP7183315B2 (ja) マルチビーム描画機におけるブラー変化の補正
JP2019165199A (ja) 非線形的線量およびブラー(ボケ)に依存するエッジ配置の補正
EP3355337B1 (en) Advanced dose-level quantization for multibeam-writers
KR102327865B1 (ko) 경사진 노출 스트라이프를 사용한 멀티빔 기록

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant