KR101605832B1 - 리소그래픽 처리를 위한 2―레벨 패턴 발생 방법 및 이를 이용한 패턴 발생기 - Google Patents

리소그래픽 처리를 위한 2―레벨 패턴 발생 방법 및 이를 이용한 패턴 발생기 Download PDF

Info

Publication number
KR101605832B1
KR101605832B1 KR1020117030508A KR20117030508A KR101605832B1 KR 101605832 B1 KR101605832 B1 KR 101605832B1 KR 1020117030508 A KR1020117030508 A KR 1020117030508A KR 20117030508 A KR20117030508 A KR 20117030508A KR 101605832 B1 KR101605832 B1 KR 101605832B1
Authority
KR
South Korea
Prior art keywords
pattern
beamlets
level
generating
beamlet
Prior art date
Application number
KR1020117030508A
Other languages
English (en)
Other versions
KR20120027374A (ko
Inventor
데 포이트. 토이니스 반
마르코 얀-자코 빌란트
Original Assignee
마퍼 리쏘그라피 아이피 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마퍼 리쏘그라피 아이피 비.브이. filed Critical 마퍼 리쏘그라피 아이피 비.브이.
Publication of KR20120027374A publication Critical patent/KR20120027374A/ko
Application granted granted Critical
Publication of KR101605832B1 publication Critical patent/KR101605832B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • H01J37/3177Multi-beam, e.g. fly's eye, comb probe
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Abstract

본 발명은 멀티플 빔렛들에 의한 리소그래픽 처리를 위한 2-레벨 패턴 발생 방법에 관한 것이다. 상기 방법에서, 먼저 패턴이 벡터 포맷으로 제공된다. 다음으로, 상기 벡터 포맷 패턴은 픽스맵 포맷의 패턴으로 변환된다. 마지막으로, 2-레벨 패턴이 상기 픽스맵 포맷 패턴에 대한 오차 확산의 적용에 의해 형성된다.

Description

리소그래픽 처리를 위한 2―레벨 패턴 발생 방법 및 이를 이용한 패턴 발생기{METHOD OF GENERATING A TWO-LEVEL PATTERN FOR LITHOGRAPHIC PROCESSING AND PATTERN GENERATOR USING THE SAME}
본 발명은 멀티플 빔렛들(beamlets)에 의한 리소그래픽 처리를 위한 2-레벨 패턴 발생 방법에 관한 것이다. 본 발명은 또한, 프로세서에 의해 실행될 때 이러한 방법을 수행하기 위한 컴퓨터 판독가능 매체에 관한 것이다. 본 발명은 또한, 이러한 방법을 수행하기 위해 배열된 패턴 발생기에 관한 것이다. 본 발명은 또한, 복수의 빔렛들을 이용하여 타겟을 노출시키기 위한 하전 입자 멀티-빔렛 시스템(charged particle multi-beamlet system)에 관한 것이고, 시스템은 이러한 패턴 발생기를 포함한다. 마지막으로, 본 발명은 이러한 패턴 발생기를 포함하는 리소그래픽 시스템에 관한 것이다.
블랙 및 화이트 기록 전략, 즉, "온(on)" 및 "오프(off)" 기록 전략을 이용하는 시스템들은 이 기술 분야에 널리 알려져 있다. 이 시스템들은, 예를 들면, 레이저 빔들 또는 하전 입자 빔들을 이용할 수 있고, 마스크리스 시스템들(maskless systems)에서의 직접 기록의 이용을 특징으로 할 수 있다. 빔(또는 멀티-빔 시스템들에서는 빔들)을 변조함으로써, 래스터화된(rasterized) 가상 그리드에서의 개별의 그리드 셀들은 타겟 상에 원하는 패턴을 기록하기 위해 노출될 수도 또는 노출되지 않을 수도 있다. 이러한 빔들은 이른바 타겟 표면에서의 빔 효과를 특징으로 하고, 이는 종종 포인트 스프레드 함수(point spread function)에 의해 기술된다. 포인트 스프레드 함수는 일반적으로 가우스 분포(Gaussian distribution)를 갖고, 이는 빔에 의해 영향받는 표면 영역의 범위를 설명한다. 빔 크기는 일반적으로 빔 에너지의 50%가 존재하는 분포의 크기로서 규정된다.
일반적으로, 표면 영역에서 빔의 스폿 영역(spot area)은 그리드 셀들의 전형적인 크기보다 매우 크다. 따라서, 특정 그리드 셀의 완전 노출은 또한, 노출된 셀에 인접한 그리드 셀들에서 더 적은 강도를 갖는 노출을 야기한다. 따라서, 하전 입자 빔의 경우에서, 도스(dose)로서도 언급되는, 개별의 그리드 셀 내에 증착된 하전 입자들의 수는, 그리드 셀 그 자체의 노출로부터 직접적으로 및 인접 셀들의 노출로부터 간접적으로 수신된 도스의 합을 구성한다. 노출되는 저항 층의 전개(development)를 위해 적합한 컷-오프 레벨을 선택함으로써, 원하는 피쳐 치수들(feature dimensions)이 획득될 수 있다.
특정 종류의 하전 입자 빔 기반 리소그래픽 시스템이 본 발명의 현재 소유자에게 양도된 미국 특허 번호 제6,897,458호로부터 알려져 있고, 타겟을 노출시키기 위한 하전 입자 빔 컬럼에 발생된 매우 많은 수의 하전 입자 빔렛들을 포함한다. 하전 입자 빔렛들은 변조되는 동안 타겟에 걸쳐 스캔된다. 부가적으로, 타겟은, 예를 들면, 빔들의 스캐닝 방향을 횡단하는 방향으로, 빔들에 관하여 움직일 수 있다. 빔렛들의 변조는 리소그래픽 시스템에 제공된 패턴 데이터에 기초하여 수행된다. 기술된 특정 시스템에서, 변조는 빔렛들을 효과적으로 스위치 온 및 오프하기 위해 빔렛들을 블랭킹 또는 블록킹함으로써 수행된다.
이러한 유형의 리소그래피 시스템을 이용하여 타겟을 노출시키는 것은, 타겟의 상대적 움직임과 각각의 하전 입자 빔렛의 변조(예컨대, 타임드(timed) "온" 및 "오프" 스위칭 또는 블랭킹)의 조합에 의해 달성된다. 빔렛들로 기판을 노출시키기 위한 알려진 방법은 래스터(raster) 스캔 방법이다. 이러한 스캐닝 방법에서 빔렛들을 제어하기 위해, 패턴 데이터가 래스터화된다(rasterized). 타겟은 연속적 모션으로 움직이는 모터 구동 스테이지 상에 위치된다. 스테이지가 움직임에 따라, 빔은 스테이지 모션에 실질적으로 수직인 방향으로 스캔된다. 래스터화된 패턴 데이터를 시스템에 공급함으로써, 타임드되어, 빔렛들이 빔렛 편향 및 스테이지 모션과 동시적으로 변조되고, 패턴 데이터에 의해 표현된 패턴은 타겟의 표면상으로 노출 표면으로서 트랜스포즈(transpose) 될 수 있다. 래스터화된 패턴 데이터는 타겟의 표면상의 가상 래스터 셀 그리드에 대한 노출 패턴에 대응한다.
종래의 하전 입자 빔 기술은, 예를 들면, 90 ㎚ 및 그보다 높은 임계 치수들(critical dimensions; CDs)을 획득하기 위해, 이미지들의 비교적 거친 패턴화를 위한 리소그래피 시스템들에 적합하다. 그러나, 개선된 성능을 위한 성장 필요성이 존재한다. 예컨대, 시간당 10 내지 60 웨이퍼들 또는 그보다 높은 충분한 웨이퍼 처리량을 유지하면서 예를 들면, 22㎚의 상당히 작은 임계 치수들을 획득하는 것이 바람직하다.
상술된 바와 같은 종래의 래스터화된 패턴에서, 피쳐 배치(feature placement)는 래스터 셀 그리드의 그리드 라인들로 제한된다. 그러나, 예들 들면, 근접 효과(proximity effect) 같은 여러 분해능-방해 현상들(resolution-disturbing phenomena)을 정정하기 위해 필요한 정정 규칙들로 인해, 피쳐의 에지들이 종종 반드시 그리드 라인 상에 맞아 떨어져야(fall) 하는 것은 아니다. 이러한 이유로, 래스터 셀 그리드를 가능한 한 작게 선택하려는 경향이 있다.
그러나, 특히 복수의 빔렛들을 이용하는 하전 입자 빔 시스템들에서, 데이터 처리 제약들의 관점에서 그리드 크기는 가능한 큰 것이 바람직하다. 본 발명의 현재 소유자에게 양도된 국제 출원 WO2007/105939는, 그리드 라인들 사이의 피쳐 에지들의 배치를 가능하게 하는 "래기드(ragged)" 에지들의 이용을 도입함으로써 적합한 그리드 크기를 선택하는 문제를 해결한다.
복수의 빔렛들을 이용한 패턴화의 다른 어려움은 상이한 빔렛들 사이의 도스 변동(dose variation)이다. 하전 입자 시스템에서, 빔렛 당 전류는 일반적으로 변화한다. 멀티빔 시스템들에서, 패턴화될 기판의 상이한 부분들이 상이한 빔렛들에 의해 노출된다. 빔렛 도스 변동의 결과로서, 패턴화 에러들이 발생할 수 있다. WO2007/105939에 나타난 바와 같은 기록 전략은 이 문제를 해결할 수 없다.
상기 논의된 문제들 중 하나 이상에 관하여 개선된 성능을 갖는, 멀티플 빔렛들에 의한 리소그래픽 처리를 위한 2-레벨 패턴을 발생시키는 방법을 제공하는 것이 바람직하다. 이러한 이유로, 본 발명은 멀티플 빔렛들에 의한 리소그래픽 처리를 위한 2-레벨 패턴을 발생시키는 방법을 제공하고, 방법은: 패턴을 벡터 포맷으로 제공하는 단계; 벡터 포맷 패턴을 픽스맵(pixmap) 포맷의 패턴으로 변환하는 단계; 및 픽스맵 포맷 패턴에 대한 오차 확산의 적용에 의해 2-레벨 패턴을 형성하는 단계를 포함한다.
실시예에서, 픽스맵은 픽셀 셀들의 어레이를 포함한다. 픽셀 셀들의 어레이 내에서, 멀티-레벨(즉, 2개 보다 많은 수의 레벨들) 값이 각각의 픽셀 셀에 할당된다.
본 발명의 실시예에서, 멀티-레벨 값들을 픽셀 셀들에 제공하는 단계는 각각의 픽셀 셀에 의한 벡터-포맷 패턴의 상대적 커버리지에 기초할 수 있다. 대안적으로 또는 부가적으로, 멀티-레벨 값들을 픽셀 셀들에 제공하는 단계는 벡터 포맷 패턴의 도스 레벨 값들에 기초할 수 있다.
발명의 실시예들에서, 벡터-포맷 패턴은 2-레벨 패턴일 수 있고, 즉, 벡터-포맷 패턴은 2-레벨 값들에 의해 형성될 수 있다.
본 발명은 또한, 프로세서에 의해 실행될 때 이러한 방법의 실시예들을 수행하기 위한 컴퓨터 판독가능 매체에 관한 것이다.
본 발명은 또한, 벡터 포맷으로 패턴을 수신하기 위한 입력부; 상술된 바와 같은 리소그래픽 처리를 위한 2-레벨 패턴을 발생시키는 방법을 수행하기 위한 처리 유닛; 및 2-레벨 패턴을 공급하기 위한 출력부를 포함하는 패턴 발생기에 관한 것이다. 패턴 발생기는 픽스맵 포맷으로 패턴을 저장하기 위한 메모리를 더 포함할 수 있고, 메모리는 처리 유닛에 통신 가능하게 결합된다.
본 발명은 또한, 복수의 빔렛들을 이용하여 타겟을 노출시키기 위한 하전 입자 멀티-빔렛 시스템에 관한 것으로, 시스템은: 노출 패턴을 형성하기 위해 복수의 빔렛들을 변조하기 위한 빔렛 변조 시스템; 변조된 빔렛들을 타겟의 표면상에 투사하기 위한 투사 시스템; 복수의 빔렛들을 제 1 방향으로 편향시키기 위한 편향기 어레이(deflector array); 타겟이 노출되도록 지원하기 위한 기판 지원 부재(member); 타겟이 픽셀 셀들의 어레이에 따라 노출될 수 있도록, 제 2 방향으로의 기판 지원 부재와 복수의 빔렛들 사이의 상대적 움직임 및 제 1 방향으로의 빔렛들의 그룹의 움직임을 조정하도록 배열된 제어 유닛을 포함하고; 하전-입자 멀티-빔렛 시스템은 상술된 바와 같은 빔렛 패턴 발생기를 더 포함한다.
실시예에서, 투사 시스템은 투사 렌즈 시스템들의 어레이를 포함한다. 다른 실시예에서, 복수의 빔렛들은 빔렛들의 그룹들로 배열되고, 각각의 투사 렌즈 시스템은 빔렛들의 그룹에 대응한다.
마지막으로, 본 발명은 또한, 전처리 유닛; 2-레벨 패턴에 따라 복수의 빔렛들을 이용하여 타겟을 노출시키기 위한 하전 입자 멀티-빔렛 시스템을 포함하는 리소그래픽 시스템에 관한 것이고; 전처리 유닛은 상술된 바와 같은 빔렛 패턴 발생기를 포함한다.
본 발명의 다양한 양상들이 도면들에 도시된 실시예들을 참조하여 더 설명될 것이다.
도 1은 하전 입자 멀티 빔렛 리소그래피 시스템의 예의 간략화된 개략도를 도시하는 도면.
도 2는 도 1의 리소그래피 시스템의 엔드 모듈(end module)의, 측면에서의 간략화된 개략도를 도시하는 도면.
도 3은 패턴화된 빔렛들의 그룹들을 갖는 하전 입자 멀티 빔렛 리소그래피 시스템의 예를 도시하는 도면.
도 4a 및 도 4b는 멀티-레벨 패턴을 형성하는 개념을 개략적으로 도시하는 도면.
도 5a 및 도 5b는 오차 확산(error diffusion)에 의한 멀티-레벨 패턴의 평가를 위한 2개의 상이한 궤적들(trajectories)을 개략적으로 도시하는 도면.
도 6a 및 도 6b는 본 발명의 실시예에 따른 멀티-레벨 패턴의 1-차원 평가를 적용한 결과를 개략적으로 도시하는 도면.
도 7a 및 도 7b는 본 발명의 다른 실시예에 따른 멀티-레벨 패턴의 1-차원 평가를 적용한 결과를 개략적으로 도시하는 도면.
도 8a 및 도 8b는 본 발명의 실시예들에 따른 멀티-레벨 패턴에 적용된 2-차원 오차 확산에 적용될 수 있는 상이한 유형들의 커널(kernel)들을 개략적으로 도시하는 도면.
도 9a 및 도 9b는 비-시프트 조건(no-shift condition)의 개념을 개략적으로 도시하는 도면.
도 10은 멀티-빔렛 리소그래픽 장치의 데이터 경로를 개략적으로 도시하는 도면.
다음은 단지 예시적으로, 도면들을 참조하여 제공된 본 발명의 다양한 실시예들의 설명이다.
도 1은 모든 전자 빔렛들의 공통의 크로스-오버(cross-over) 없이 전자 빔 광학 시스템에 기초하는 하전 입자 멀티-빔렛 리소그래피 시스템의 실시예의 간략화된 개략도를 도시하는 도면이다. 이러한 리소그래피 시스템들은, 예를 들면, 모두 본 명세서에 그들 전체가 참조로서 포함되고, 본 발명의 소유자에게 양도된, 미국 특허 번호들 제6,897,458호 및 제6,958,804호 및 제7,084,414호 및 제7,129,502호에 설명되어 있다.
도 1에 도시된 실시예에서, 리소그래피 시스템은 균질의, 확장하는 전자 빔(20)을 생산하기 위한 전자 소스(1)를 포함한다. 빔 에너지는 바람직하게 약 1 내지 10 keV의 범위 내에서 비교적 낮게 유지된다. 이를 달성하기 위해, 다른 설정들이 또한 이용될 수 있지만, 가속 전압은 바람직하게 낮고, 전자 소스는 바람직하게 접지 전위(ground potential)에 있는 타겟에 대해 약 -1 내지 -10 kV 사이에서 유지된다.
전자 소스(1)로부터의 전자 빔(20)은 이중 옥타폴(double octopole; 2)을, 이어서 전자 빔(20)을 콜리메이팅(collimating) 하기 위한 콜리메이터 렌즈(collimator lens; 3)를 통과한다. 이어서, 전자 빔(20)은 애퍼쳐 어레이(aperture array; 4) 상에 부딪치고(impinge), 상기 애퍼쳐 어레이는 빔의 일부를 블록하고, 복수의 빔렛들(21)이 애퍼쳐 어레이(4)를 통과하게 한다. 애퍼쳐 어레이는 바람직하게 관통 홀들을 갖는 플레이트를 포함한다. 따라서, 복수의 평행한 빔렛들(21)이 생산된다. 시스템은 많은 수의 빔렛들(21), 물론 보다 많은 또는 보다 적은 빔렛들을 이용하는 것이 가능지만, 바람직하게 약 10,000 내지 1,000,000개의 빔렛들을 발생시킨다. 다른 알려진 방법들이 또한 콜리메이트된 빔렛들을 발생시키기 위해 이용될 수 있다는 것을 유의한다.
복수의 전자 빔렛들(21)은, 빔렛 블랭커 어레이(beamlet blanker array; 6)의 평면 내에 전자 빔렛들(21) 각각을 포커스하는 콘덴서 렌즈 어레이(5)를 통과한다. 이 빔렛 블랭커 어레이(6)는 바람직하게, 각각이 전자 빔렛들(21)의 하나 이상을 편향시킬 수 있는 복수의 블랭커들을 포함한다.
이어서, 전자 빔렛들(21)은 엔드 모듈(7)로 진입한다. 엔드 모듈(7)은 바람직하게, 다양한 컴포넌트들을 포함하는 삽입가능하고, 대체가능한 유닛으로서 구성된다. 이 실시예에서, 엔드 모듈(7)은 빔 정지 어레이(beam stop array; 8), 빔 편향기 어레이(9), 및 투사 렌즈 어레인지먼트(projection lens arrangement; 10)를 포함하지만, 이들 모두가 엔드 모듈(7)에 포함될 필요는 없고, 이들은 상이하게 배열될 수 있다. 엔드 모듈(7)은 다른 기능들 중에서도, 약 25 내지 500 배, 바람직하게는 50 내지 200 배 범위의 축소(demagnification)를 제공할 것이다. 약간 적은 축소가 패턴화된 서브빔들을 발생시키는 시스템들에서 요구되고, 이는 도 3을 참조하여 논의될 것이다.
엔드 모듈(7)은 바람직하게, 후술되는 바와 같이 빔렛들을 편향시킨다. 엔드 모듈(7)을 벗어난 이후에, 빔렛들(21)은 타겟 평면에 위치된 타겟(11)의 표면상에 부딪친다. 리소그래피 적용들을 위해, 타겟은 일반적으로 하전-입자 민감 층 또는 저항 층이 제공된 웨이퍼를 포함한다.
엔드 모듈(7)에서, 전자 빔렛들(21)은 먼저 빔 정지 어레이(8)를 통과한다. 이 빔 정지 어레이(8)는 주로 빔렛들의 개방 각도를 결정한다. 이 실시예에서, 빔 정지 어레이(8)는 빔렛들이 통과하게 하기 위한 애퍼쳐들의 어레이를 포함한다. 빔 정지 어레이(8)는, 그의 기본 형태로, 다른 형상들이 또한 이용될 수 있지만, 일반적으로 원형 홀들인 관통 홀들이 제공된 기판을 포함한다. 일 실시예에서, 빔 정지 어레이(8)의 기판은 규칙적으로 이격된 관통 홀들의 어레이를 갖는 실리콘 웨이퍼로부터 형성되고, 표면 하전(surface charging)을 방지하기 위해 금속의 표면층으로 코팅될 수 있다. 일 실시예에서, 금속은 CrMo와 같은 자연-산화 스킨층(native-oxide skin layer)을 형성하지 않는 유형이다.
일 실시예에서, 빔 정지 어레이(8)의 통로들은 빔렛 블랭커 어레이(6)의 엘리먼트들과 함께 정렬된다. 빔렛 블랭커 어레이(6) 및 빔 정지 어레이(8)는 빔렛들(21)을 블록하기 위해 또는 빔렛들(8)이 통과되게 하기 위해 함께 동작한다. 빔렛 블랭커 어레이(6)가 빔렛을 편향시킨다면, 빔렛은 빔 정지 어레이(8)의 대응하는 애퍼쳐를 통과하는 것이 아니라, 빔 정지 어레이(8)의 기판에 의해 블록될 것이다. 그러나 빔렛 블랭커 어레이(6)가 빔렛을 편향시키지 않는다면, 다음으로 빔렛은 빔 정지 어레이(8)의 대응하는 애퍼쳐를 통과할 것이고, 다음으로 타겟(11)의 표면상에 스폿으로서 투사될 것이다. 이 방식으로, 개별의 빔렛들(21)이 효율적으로 스위치 온 및 오프될 것이다.
다음으로, 빔렛들(21)은, X 및/또는 Y 방향으로, 즉 실질적으로 편향되지 않은 빔렛들(21)의 방향에 수직으로, 각각의 빔렛의 편향을 제공하는 빔 편향기 어레이(9)를 통과한다. 다음으로, 빔렛들(21)은 투사 렌즈 어레인지먼트(10)를 통과하고, 타겟 평면의 타겟(11), 일반적으로 웨이퍼 상으로 투사된다.
빔 정지 어레이(8)의 애퍼쳐들의 직경은 빔렛의 횡단면(cross section)을 제한할 수 있어서, 빔렛의 중심부만이 타겟(11) 상으로의 투사를 위해 통과하도록 허용된다. 빔렛의 이러한 중심부는 비교적 균일한 전하 밀도(charge density)를 갖는다. 빔 정지 어레이(8)에 의한 빔렛의 원주부(circumferential section)의 이러한 컷-오프는 또한 주로 시스템의 엔드 모듈(7)에서의 빔렛의 개방 각도뿐만 아니라 타겟(11)에서의 전류의 양 또한 결정한다. 일 실시예에서, 빔 정지 어레이(8)의 애퍼쳐들은 라운드되어, 일반적으로 균일한 개방 각도를 갖는 빔렛들이 된다.
도 2는 빔 정지 어레이(8), 편향기 어레이(9), 및 전자 빔렛을 타겟(11) 상으로 투사하는 투사 렌즈 어레인지먼트(10)를 도시하는 엔드 모듈(7)의 실시예를 보다 상세히 도시한다. 빔렛들(21)은 타겟(11) 상으로 투사되어, 바람직하게는 직경 약 10 내지 30 나노미터, 보다 바람직하게는 약 20 나노미터의 기하학적 스폿 크기가 된다. 이러한 설계의 투사 렌즈 어레인지먼트(10)는 바람직하게 약 100 내지 500 배의 축소를 제공한다. 이 실시예에서, 도 2에 도시된 바와 같이, 빔렛(21)의 중심부는 먼저 빔 정지 어레이(8)를 통과한다(빔렛 블랭커 어레이(6)에 의해 편향되지 않았다고 가정함). 다음으로, 빔렛(21)은 빔 편향기 어레이(9)의 편향기 또는 편향 시스템을 형성하는 시퀀스로 배열된 편향기들의 세트를 통과한다. 이어서 빔렛(21)은 투사 렌즈 어레인지먼트(10)의 전자-광학 시스템을 통과하고, 마지막으로 타겟 평면의 타겟(11)에 부딪친다.
도 2에 도시된 실시예에서, 투사 렌즈 어레인지먼트(10)는, 정전기 렌즈들의 어레이를 형성하기 위해 이용되는, 순서대로 배열된 3개의 플레이트들(12, 13 및 14)을 갖는다. 플레이트들(12, 13, 및 14)은 바람직하게 그들 내에 형성된 애퍼쳐들을 갖는 플레이트들 또는 기판들을 포함한다. 애퍼쳐들은 바람직하게 기판을 관통하는 원형 홀들로서 형성되지만, 다른 형상들 또한 이용될 수 있다. 일 실시예에서, 기판들은 반도체 칩 산업에 잘-알려진 처리 단계들을 이용하여 처리되는 실리콘 또는 다른 반도체로 형성된다. 애퍼쳐들은 편리하게 예를 들면, 반도체 제조 산업에 알려진 리소그래피 및 에칭 기술들을 이용하여 기판들 내에 형성될 수 있다. 이용되는 리소그래피 및 에칭 기술들은 바람직하게 애퍼쳐들의 위치, 크기, 및 형상에서의 균일성을 보장하기 위해 충분히 정확하게 제어된다. 이 균일성은 각각의 빔렛의 포커스 및 경로를 개별적으로 제어하기 위한 요건의 제거를 허용한다.
애퍼쳐들의 위치지정에서의 균일성, 즉, 애퍼쳐들 사이의 균일한 거리(피치(pitch)) 및 기판의 표면 위의 애퍼쳐들의 균일한 배열은, 타겟 상에 균일한 그리드 패턴을 발생시키는 조밀하게 채워진(packed) 빔렛들을 사용하여 시스템의 구성을 허용한다. 더욱이, 멀티플 플레이트들이 이용되는 시스템들에서, 각각의 플레이트에 대응하는 애퍼쳐들이 정렬된다. 플레이트들 사이에서의 애퍼쳐들의 오정렬(misalignment)은 상이한 축들을 따르는 초점 길이(focal length)에서의 차이를 초래할 수 있다.
애퍼쳐들의 크기에서의 균일성은 애퍼쳐들의 위치들에 형성된 정전기 투사 렌즈들에서의 균일성을 가능하게 한다. 렌즈들의 크기에서의 편차는 포커싱(focusing)에서의 편차를 초래할 것이므로, 일부 빔렛들은 타겟 평면상에 포커스될 것이고, 다른 빔렛들은 타겟 평면상에 포커스되지 않을 것이다.
애퍼쳐들의 형상에서의 균일성이 또한 중요하다. 원형 홀들이 이용되는 상황에서, 홀들의 원형율(roundness)의 균일성은, 결과적 렌즈의 초점 길이가 양측 축들에서 동일하게 한다.
기판들은 바람직하게 전극들을 형성하기 위해 전기 전도성 코팅 (electrically conductive coating)으로 코팅된다. 전도성 코팅은 바람직하게 홀들 내부 및 애퍼쳐들 주위의 플레이트의 표면들 양자 모두를 커버하는 각각의 기판 상에 단일 전극을 형성한다. 전도성 자연 산화를 갖는 금속은 바람직하게, 예를 들면, 반도체 제조 산업에서 잘 알려진 기술들을 이용하여 플레이트 상에 증착된, 몰리브덴(molybdenum)과 같은 전극을 위해 이용된다.
도 2는 플레이트들(12, 13, 및 14)의 전극들에 개별적으로 인가된 전기 전압들(V1, V2 및 V3)을 갖는 플레이트들(12, 13, 및 14)을 도시한다. 플레이트들(12 및 13)의 전극들 사이 및 플레이트들(13 및 14) 사이의 전압 차이들은 플레이트들의 각각의 애퍼쳐의 위치에서 정전기 렌즈들을 생성한다. 이는, 투사 렌즈 시스템들의 어레이를 생성하는 서로 정렬된, 애퍼쳐들의 어레이의 각각의 위치에서 정전기 렌즈들의 "수직(vertical)" 세트를 발생시킨다. 각각의 투사 렌즈 시스템은 각각의 플레이트의 애퍼쳐들의 어레이들의 대응하는 포인트들에서 형성된 정전기 렌즈들의 세트를 포함한다. 투사 렌즈 시스템을 형성하는 정전기 렌즈들의 각각의 세트는, 하나 이상의 빔렛들을 포커스하고 축소하는, 단일의 효율적인 투사 렌즈로서 고려될 수 있고, 효율적인 초점 길이 및 효율적인 축소를 갖는다.
전체 어레이를 위해 각각의 전극은 단일 제어 전압에 의해 제어된다. 따라서, 3개의 전극들로 도시된 실시예에서, 수천개의 렌즈들 모두를 위해 단지 3개의 전압들만이 존재할 것이다. 단지 단일 플레이트만이 이용되는 시스템들에서, 정전기 렌즈들이 플레이트에서 각각의 애퍼쳐의 위치에 형성되도록, 단일 전압이 기준 평면(ground plane)과 함께 이용될 수 있다.
정전기 렌즈들의 특성들이 3개의 제어 전압들에 의해 제어되어, 빔렛들 모두의 포커싱 및 축소의 양이 이들 3개의 제어 전압들을 제어함으로써 제어될 수 있다. 이 방식에서, 단일 공통 제어 신호가, 매우 많은 수의 전자 빔렛들을 축소 및 포커싱하기 위한 정전기 렌즈들의 전체 어레이를 제어하기 위해 이용될 수 있다. 공통 제어 신호는 각각의 플레이트를 위해 또는 2개 이상의 플레이트들 사이의 전압차로서 제공될 수 있다. 상이한 투사 렌즈 어레인지먼트들에서 이용되는 플레이트들의 수는 변화될 수 있고, 공통 제어 신호들의 수 또한 변화될 수 있다.
도 2는 또한 좌측으로부터 우측으로의 빔렛의 편향과 같이, 도 2에 도시된 Y-방향으로의 편향 어레이(9)에 의한 빔렛(21)의 편향을 도시한다. 도 2의 실시예에서, 하나 이상의 빔렛들이 통과하게 하기 위한 편향 어레이(9)의 애퍼쳐가 도시되고, 전극들은 애퍼쳐의 대향 측면들 상에 제공되고, 전극들은 전압 +V 및 -V가 제공된다. 전극들 상에 전위차를 제공하는 것은 애퍼쳐를 통과하는 빔렛 또는 빔렛들의 편향을 초래한다. 전압들(또는 전압들의 부호)을 동적으로 변화시키는 것은, 빔렛(들)이 스캐닝 방식에서, 본 명세서에서는 Y-방향으로 스윕(sweep)되도록 허용할 것이다.
Y-방향으로의 편향에 대해 기술된 바와 동일한 방식으로, X-방향으로의 편향이 또한 후방 및/또는 전방으로(back and/or forth) 수행될 수 있다(도 2에서, X-방향은 도면용지(paper) 내로의 방향 및 도면용지 밖으로의 방향에 있음). 설명된 실시예에서, 하나의 편향 방향이 기판의 표면 위에서 빔렛들을 스캐닝하기 위해 이용될 수 있는 반면, 기판은 스캐닝 모듈 또는 스캐닝 스테이지를 이용하여 다른 방향으로 이동된다. 이동의 방향은 바람직하게 Y-방향 및 동시에 X-방향으로 횡단한다.
도 2에 개략적으로 도시된 바와 같은 엔드 모듈(7)에 대한 보다 많은 세부사항들은 본 명세서에 참조로서 그 전체가 포함되고, 본 발명의 소유자에게 양도된, 미국 출원 12/393,050에 설명되어 있다.
특정 처리량(즉, 시간당 노출되는 특정 수의 웨이퍼들)을 달성하기 위해 요구되는 빔렛들의 총 전류는 요구되는 도스, 웨이퍼의 영역, 및 오버헤드 시간(예컨대, 새로운 웨이퍼가 노출을 위한 위치로 이동하는 시간)에 따른다. 이들 샷 노이즈(shot noise) 제한된 시스템들에서 요구되는 도스는, 다른 인자들보다는, 요구되는 피쳐 크기 및 균일성, 및 빔 에너지에 따른다.
전자 빔 리소그래피를 이용하여 저항에서 특정 피쳐 크기(임계 치수 또는 CD)를 획득하기 위해 특정 분해능이 요구된다. 이 분해능은 3개의 기여들(contributions) : 빔 크기, 저항에서의 전자들의 스캐터링(scattering), 및 산 확산(acid diffusion)과 결합된 2차 전자들 평균 자유 경로(secondary electrons mean free path)에 의해 결정된다. 이들 3개의 기여들은 전체 스폿 크기를 결정하기 위해 2차적 관계(quadratic relation)에 부가된다. 이들 3개의 기여들 중 빔 크기 및 스캐터링은 가속 전압에 따른다. 저항에서의 피쳐를 해결하기 위해, 전체 스폿 크기는 원하는 피쳐 크기(CD)와 동일한 매그니튜드 정도(order of magnitude)이어야 한다. CD뿐만 아니라 CD 균일성 또한 실제 적용들을 위해 중요하고, 이 후자의 요건은 실제 요구되는 스폿 크기를 결정할 것이다.
전자 빔 시스템들을 위해, 최대 단일 빔 전류는 스폿 크기에 의해 결정된다. 작은 스폿 크기를 위한 전류는 또한 매우 작다. 양호한 CD 균일성을 획득하기 위해, 요구되는 스폿 크기는 높은 처리량을 획득하기 위해 요구되는 전류보다 훨씬 적도록 단일 빔 전류를 제한할 것이다. 따라서, 많은 수의 빔렛들이 요구된다(일반적으로 시간당 10개의 웨이퍼들의 처리량을 위해 10,000 보다 많은 수). 전자 빔 시스템을 위해, 하나의 렌즈를 통한 총 전류는 전자들 사이에서의 쿨롬상호작용들(Coulomb interactions)에 의해 제한되어, 제한된 수의 빔렛들이 하나의 렌즈 및/또는 하나의 크로스-오버 포인트를 통해 보내질 수 있다. 결과적으로 이는, 높은 처리량 시스템에서의 렌즈들의 수 또한 크게 될 필요가 있다는 것을 의미한다.
시스템에서의 빔렛들의 수의 상당한 증가를 위한 요건은, 멀티-빔렛 리소그래피 시스템의 투사 옵틱(optic)들의 제한된 물리적 치수들로 인해 실제 문제점을 생성한다. 이러한 시스템들에서의 투사 옵틱들은 일반적으로, 예를 들면, 시스템에 의해 노출될 타겟의 필드들을 수용하기 위해 크기가 제한된다. 투사 옵틱들, 즉, 엔드 투사 모듈이 실제 설계들에서 점유할 수 있는 비교적 작은 영역 내에서 물리적으로 실현될 수 있는 렌즈들의 수에 대한 제한이 존재한다. 달성될 감소된 임계 치수들에서, 알려진 기술들을 이용하여 이들 치수들 내에서 구성될 수 있는 렌즈들의 수는, 원하는 웨이퍼 처리량을 획득하기 위해 요구되는 빔렛들의 수보다 상당히 적다.
빔렛들의 공통 크로스-오버를 계속해서 회피하는 해결책은, 시스템에 어레이 매니퓰레이터들(array manipulators), 예를 들면, 타겟 상으로 투사하기 위한 복수의 빔렛들을 단일 투사 렌즈 시스템을 향하여 지향시키기 위한 그룹 편향기 어레이 또는 콘덴서 렌즈 어레이를 부가함으로써 달성될 수 있다.
이 해결책은 도 1의 개념에서 적용된 것에 대응하는 기술의 이용을 허용하고, 시스템의 빔렛들의 수에서의 불균형적 증가(disproportionate increase)를 허용하면서 시스템에서의 수차(aberration)를 최소화한다. 투사 렌즈 당 멀티플 빔렛들을 이용하는 해결책은, 엔드 모듈(7) 내의 편향기 어레이(9)의 편향 동작과 함께, 편향된 빔렛의 근원(origin)의 가상 포인트가 생성되어, 가상 빔렛이 이미지화된다는 사실의 인식 이후에 발견되었다. 이 개념은, 이러한 가상 빔렛이 또한 실제 빔렛 또는 복수의 실제 빔렛들로 대체될 수 있다는 아이디어를 유도했다. 실제로, 단일 투사 렌즈 시스템을 통한 멀티플 실제 빔렛들의 적용은, 특히 시스템의 전체 빔렛들이 다수의 투사 렌즈 시스템들을 통해 분배된 상황에서 수차의 양을 교란하지 않고 가능한 것으로 나타났다.
각각의 투사 렌즈 시스템을 통해 지향된 복수의 빔렛들의 일부 또는 전부가 동작 동안 임의의 시간 포인트에서 블랭크될 수 있기 때문에, 상술된 바와 같은 시스템은 패턴화된 서브빔 시스템으로서 지칭될 것이다. 패턴화된 서브빔 시스템은 나란히 배열된 다수의 소형화된 이미징 시스템들로서 고려될 수 있다.
도 3은 패턴화된 서브빔 시스템의 실시예를 도시한다. 이 실시예에서, 패턴화된 서브빔 시스템은, 서브-빔들(20A)을 생성하기 위한 애퍼쳐 어레이(4A) 및 빔렛들(21)을 생성하기 위한 애퍼쳐 어레이(4B)를 포함한다. 콘덴서 렌즈 어레이(5)(또는 콘덴서 렌즈 어레이들의 세트)는, 서브-빔들(20A)을 엔드 모듈(7)의 빔 정지 어레이(8)의 대응하는 개구를 향하여 포커싱하기 위하여, 서브-빔 생성 애퍼쳐 어레이(4A)의 뒤에 포함된다. 빔렛 생성 애퍼쳐 어레이(4B)는 바람직하게 빔렛 블랭커 어레이(6)와의 조합에 포함, 즉, 빔렛 블랭커 어레이(6) 이전에 또는 반대로 어레이(4B)와 함께 인접하게 배열된다.
애퍼쳐 어레이들(4A 및 4B)은 빔렛들(21)의 그룹들을 생산하기 위한 단일 구성으로 통합될 수 있다. 다음으로 콘덴서 렌즈 어레이(5)는 바람직하게 단일 구조의 다운스트림에 위치된다. 이러한 설계는 유익하게 투사 렌즈 시스템 당 멀티플 빔렛을 실현하기 위한 간단하고 경제적인 수단을 제공한다. 패턴화된 서브빔 시스템의 실시예들의 다른 상세들 및 이점들은, 본 명세서에 참조로서 그 전체가 포함되고, 본 발명의 소유자에게 양도된, 국제 출원 PCT/EP2009/054467에 설명되어 있다.
도 1 또는 도 3에 도시된 바와 같은 리소그래피 시스템을 이용하여 타겟을 노출시키는 것은, 시스템 내의 다른 엘리먼트들 예컨대, 애퍼쳐 어레이(4), 빔렛 블랭커 어레이(6), 및 빔렛 정지 어레이(8)에 관하여 타겟의 상대적 움직임과 각각의 하전 입자 빔렛의 변조(예컨대, 타임드 "온" 및 "오프" 스위칭 또는 블랭킹)의 조합에 의해 달성될 수 있다.
빔렛들로 타겟을 노출시키기 위한 알려진 방식은 이른바 래스터 스캔 방법에 의한 노출이다. 이러한 방법에서, 노출 패턴으로 타겟을 정확하게 노출시키기 위해, 패턴 데이터가 픽스맵 포맷으로 변환된다. 설명 전체를 통해, 용어 "픽스맵(pixmap)"은 공간적으로 맵핑된 픽셀 셀들의 어레이를 지칭하기 위해 이용될 것이다. 설명 전체를 통해 때때로 그리드 셀(grid cell)로서 언급되는 픽셀 셀(pixel cell)은, 노출될 패턴에서의 정보의 최소 단위에 관한 것이다. 픽셀 셀들은 일반적으로 2-차원 그리드로 배열되고, 종종 점들(dots), 정사각형들, 또는 직사각형들을 이용하여 나타내어진다. 본 명세서 내에서, 픽셀 셀들은 정사각형들에 의해 나타내어질 것이다.
다음으로, 타겟은, 제 1 방향으로 연속적 모션으로 움직여지는 모터 구동 스테이지 상에 위치된다. 스테이지가 제 1 방향으로 움직임에 따라, 빔렛들은 제 2 방향으로 스캔되고, 제 2 방향은 실질적으로 스테이지 모션의 제 1 방향에 수직한다.
래스터 스캔 방법에서, 노출 패턴은 "그리드"로서 또한 언급되는 셀들의 어레이를 포함하는 포맷으로 변환된다. 빔렛 변조가 빔렛 편향 및 스테이지 모션과 동시적으로 발생하는 방식으로, 변환된 패턴을 패턴 데이터의 형태로 리소그래피 시스템에 공급함으로써, 노출 패턴이 타겟 상으로 트랜스포즈 될 수 있다.
도 4a 및 도 4b는 멀티-레벨 패턴을 형성하는 개념을 개략적으로 도시한다. 도 4a에서, 라인 패턴은 라인 폭(W)을 갖는 라인을 특징으로 하여 도시된다. 어드레서블(addressable) 픽셀 셀들을 갖는 그리드가 라인 패턴 상에 오버레이된다. 패턴은 2-레벨 패턴이다. 용어 "레벨"은 지정된 영역에 제공될 수 있는 최대 도스와 비교하여 지정된 영역을 노출시키는 빔렛들에 의해 제공된 도스(예컨대, 전자들 같은 하전 입자들의 수)에 관한 것이다. 도 4a에 도시된 2-레벨 패턴은 이른바 블랙-및-화이트 패턴에 대응한다. "블랙" 도스 레벨은 도스 없음(no dose)에 대응한다. "화이트" 도스 레벨은 전체 도스(full dose)에 대응한다. 이 예에서, 블랙 레벨은 0으로 표시되고, 화이트 레벨은 100으로 표시된다. 디지털 애플리케이션들에서, 100%는 일반적으로 이용 가능한 비트들의 수로 설정될 수 있는 최대값에 대응한다는 것을 유의한다. 예를 들면, 8-비트 애플리케이션은 최대값 255를 허용한다.
2-레벨 패턴이 도스 없음 및 전체 도스에 대응하는 레벨들을 갖는 것은 필수적인 것이 아니다. 2개의 도스 레벨들은 하전 입자 멀티-빔렛 시스템에서 빔렛들에 의해 확실히 제공될 수 있는 최대 도스의 0% 내지 100% 사이의 임의의 값으로 설정될 수 있다. 예를 들면, 낮은 도스 레벨이 최대 도스 레벨의 20%인 도스 레벨에 대응하고, 반면 높은 도스 레벨이 최대 도스 레벨의 90%인 도스 레벨에 대응하는 2-레벨 패턴을 이용하는 것이 가능할 수 있다. 그러나, 2개의 상이한 도스 레벨들이 서로 매우 인접하지 않는 것이 바람직하다.
그리드를 적용함으로써 패턴의 포맷을 픽스맵으로 변환하는 것이, 반드시 라인 에지와 그리드 셀 경계 사이의 완전 대응(full correspondence)을 초래하지 않는 것이 도 4a에서 용이하게 확인될 수 있다. 픽셀 셀당 낮은 도스 또는 높은 도스의 어느 하나를 제공함으로써 라인 패턴을 패턴화하는 것(블랙-앤-화이트 패턴화)은 라인 시프트(Δ)를 초래할 것이다. 피쳐 배치에 관하여 리소그래피에서의 매우 큰 부담 요건들의 관점에서, 이러한 시프트는 매우 바람직하지 못하다.
더욱이, 라인 폭(W)은 반드시 픽셀 셀 폭의 배수(multiple)에 대응할 필요는 없다. 결과적으로, 2-레벨 노출이, 적용된 그리드에 따라 수행된다면, 노출된 라인 폭은 라인 폭(W)과 상이할 수 있다. 피쳐 크기는 리소그래픽 처리에서의 원동력(driving force)이다. 픽셀 셀 치수들의 배수들로의 피쳐 크기들의 제한들은 또한 매우 바람직하지 않을 것이다.
본 발명자들은 이들 문제점들이, 도 4b에 개략적으로 도시된 바와 같이 멀티-레벨 패턴을 먼저 형성함으로써 회피될 수 있다는 것을 인식하였다. 이 맥락에서, 용어 "멀티-레벨"은 2개 보다 많은 수의 가능한 도스 레벨들, 예컨대 블랙 및 화이트 보다는 그레이 스케일을 갖는 것을 의미한다. 멀티-레벨 패턴의 형성은 각각의 픽셀 셀의 패턴의 상대적 커버리지에 대응하여 별개의 멀티-레벨 값을 각각의 픽셀 셀에 할당하는 것을 포함한다.
설명을 통해 도시된 예들에서, 멀티-레벨 패턴을 형성하기 위해 이용된 픽셀 셀들의 어레이 또는 그리드는 2-레벨 패턴을 형성하기 위해 이용된 그리드와 동일하다. 이는 단지 본 발명을 설명하기 위한 것이고 제한되도록 의도된 것은 아니라는 것을 이해해야 한다. 예를 들면, 멀티-레벨 패턴의 그리드 셀들은 2-레벨 패턴의 4(2x2) 픽셀 셀들을 포함하거나, 또는 그 반대일 수 있다.
도 4a에 도시된 예에서, 라인 패턴의 좌측 에지는 픽셀 셀들의 로우와 부분적으로 우연히 만나, 라인 패턴은 그 로우의 각각의 픽셀 셀의 33%(1/3)를 점유하고, 라인 패턴의 우측 에지는 픽셀 셀들의 로우와 부분적으로 우연히 만나, 라인 패턴은 그 로우의 각각의 픽셀 셀의 67%(2/3)을 점유한다. 대응하는 멀티-레벨 패턴이 도 4b에 도시된다. 라인 패턴 내에 완전히 속하는 픽셀 셀들은 도스 값 100으로 노출된다. 그러므로, 좌측 라인 에지를 커버하는 각각의 픽셀 셀에 할당된, 이하 그레이 값으로서 언급되는 값은 0.33 x 100 = 33과 같다. 유사하게, 라인 패턴의 우측 에지에서 픽셀 셀들은 그레이 값으로서 0.67 x 100 = 67이 할당된다.
픽셀 셀들의 크기를 선택하는 것은 특히, 이용 가능한 데이터 저장 능력의 양, 원하는 처리 속도, 원하는 도스 제어, 및 피쳐 배치의 원하는 정확도에 관련된다. 멀티-레벨 패턴에서 적합한 레벨들의 수는 다음 식을 이용함으로써 결정될 수 있다:
Figure 112011101429902-pct00001
(1)
여기서, 픽셀셀_영역(pixelcell_area)은 픽셀 셀의 영역에 대응하고, 스폿_영역(spot_area)은 타겟 상으로 패턴을 투사하기 위해 이용된 빔렛 스폿에 의해 점유된 영역에 대응한다. 라운드(round)는 획득된 값을 가장 가까운 정수로 사사오입하는 것을 나타낸다.
이 레벨들의 수는, 그레이 레벨들의 최대 수가 제한된 계산 능력으로 멀티-레벨 패턴의 할당에 이용된다는 것을 보장한다. 보다 많은 레벨들이 반드시 보다 양호한 성능을 이끌어내지 못하며, 상기 보다 많은 레벨들은 보다 큰 계산 능력을 요구할 것이다. 실제로, 레벨들의 수는 바람직하게 식 (1)을 이용함으로써 획득된 수를 초과하는 제 1 비트 크기에 대응한다. 예를 들면, 식 (1)을 이용함으로써 결정된 보다 낮은 정수가 100과 같다면, 이용 가능한 그레이 레벨들의 수는 일반적으로 128(7 비트)로 설정될 것이다.
블랙-및-화이트 패턴화에 의한 노출을 위해 구성된 멀티-빔렛 리소그래피 시스템, 예컨대, 도 1 또는 도 3에 개략적으로 도시된 바와 같은 리소그래피 시스템에 의해 기록될 수 있는 래스터화된 패턴을 획득하기 위해, 본 발명의 실시예들은 멀티-레벨 패턴에 대한 오차 확산의 적용에 의해 2-레벨 패턴의 형성을 포함한다.
디지털 하프토닝(halftoning) 또는 디더링(dithering)은 2-레벨 디스플레이 상에 그레이스케일 이미지를 렌더(render)하기 위해 이용되는 기술이다. 오차 확산은, 그리드 셀의 양자화 나머지(quantization residual)를, 아직 처리되지 않은 하나 이상의 이웃 그리드 셀들에 분배함으로써 양자화 레벨들의 수를 감소시키는 것을 포함하는 디더링의 유형이다.
본 발명의 실시예들에서, 오차 확산은 2-레벨 패턴을 형성하기 위해 이용된다. 멀티-레벨 패턴에서 시작하여, 각각의 픽셀 셀의 그레이 값이 평가된다. 평가 하에서 픽셀 셀의 그레이 값이 특정 임계값, 일반적으로 전체 도스 값의 50% 보다 높다면, 픽셀 셀은 "화이트" 픽셀 셀, 즉, 높은 도스 값(예를 들면, 본 명세서에서는 100)으로 노출될 픽셀 셀로서 규정된다. 평가 하에서 픽셀 셀의 그레이 값이 임계값보다 낮다면, 픽셀 셀은 "블랙" 그리드 셀, 즉, 낮은 도스 값(예를 들면, 본 명세서에서는 0)으로 노출될 픽셀 셀로서 규정된다. 하기에서, 평가된 픽셀 셀의 양자화 나머지로서 언급되는, 할당된 레벨(고/저)에 대응하는 값과 평가 하에서 픽셀 셀 내의 실제 값 사이의 차이는, 미리 결정된 커널에 따라 하나 이상의 이웃 픽셀 셀들로 시프트된다.
픽셀 셀들은 특정 궤적(trajectory)에 따라 평가된다. 도 5a 및 도 5b는 오차 확산에 의한 멀티-레벨 패턴의 픽셀 셀들의 평가를 위한 2개의 상이한 궤적들을 개략적으로 도시한다.
도 5a는 "래스터(raster)"-궤적으로서 표시될 궤적을 개략적으로 도시한다. 래스터-궤적에서, 단일 로우의 그리드 셀들은 단일 방향으로 순차적으로 평가된다. 결과적으로, 병렬 평가가 상당히 용이하게 구현될 수 있다. 따라서, 래스터-궤적을 따르는 동안의 픽셀 셀들의 평가는 시간을 절약할 수 있다.
도 5b는 "서펀틴(serpentine)"-궤적으로서 표시될 궤적을 개략적으로 도시한다. 서펀틴-궤적에서, 평가될 픽셀 셀들의 순서는 각각의 로우에 대한 방향으로 교번한다(alternate).
도 6a 및 도 6b는 본 발명의 실시예에 따른 멀티-레벨 패턴의 1-차원 평가를 적용한 결과를 개략적으로 도시한다. 오차 확산에 의한 1-차원(1D) 평가에서, 양자화 나머지만이, 평가될 다음 셀로 시프트한다.
도 6a는 도 4b에 도시된 패턴과 유사한 래스터화된 멀티-레벨 라인 패턴을 개략적으로 도시한다. 이 경우에, 원래의(originating) 2-레벨 패턴의 높은 도스 레벨(도시되지 않음)은 100 대신에 80과 같다. 라인 패턴의 좌측 에지를 커버하는 픽셀 셀들은 약 3분의 1 정도 패턴으로 채워진다. 라인 패턴의 우측 에지는 약 3분의 2 정도 라인 패턴으로 점유되는 픽셀 셀들의 컬럼의 부분이다. 따라서, 도 4a 및 도 4b를 참조하여 이전에 논의된 방법에 따라, 라인 구조의 좌측 에지를 커버하는 픽셀 셀들의 컬럼에 대한 그레이 값은 1/3 x 80 = 27과 같다. 유사하게, 라인 구조의 우측 에지를 커버하는 그리드 셀들에 대한 그레이 값은 2/3 x 80 = 53과 같다.
도 6b는 복수의 인접한 서펀틴 궤적들에 따라 도 6a의 멀티-레벨 패턴의 픽셀 셀 평가로부터 발생하는 2-레벨 패턴을 개략적으로 도시하고, 각각의 서펀틴 궤적은 평가 블록을 형성하는 픽셀 셀들의 5 개의 로우들을 평가한다. 평가 블록들로의 패턴의 분할은 1D 오차 확산의 처리에 의해 야기되는 주기성(periodicity)의 발생을 제한한다. 적합한 평가 블록 크기를 위한 평가 라인들의 수(Nrep)는 다음 방정식을 이용하여 결정될 수 있다:
Nrep =
Figure 112011101429902-pct00002
(2)
여기서, RPA는 평가될 패턴을 위해 요구되는 상대적 배치 정확도이다. 상대적 배치 정확도는 픽셀 셀의 크기에 비교하여 패턴 데이터의 피쳐의 원하는 배치 정확도에 관한 설계 파라미터이다. 예를 들어, 배치 요건들이 1 ㎚이고 픽셀 셀 크기가 4 ㎚이면, RPA는 1/4 = 0.25와 같다.
도 6b의 2-레벨 패턴을 획득하기 위해 도 6a에서의 픽셀 셀들의 평가는 1-차원 오차 확산을 이용한다. 상기 논의한 바와 같이, 1-차원 오차 확산에서, 양자화 나머지의 확산은 패턴을 통한 평가 궤적을 따라 발생한다. 즉, 평가 하에서 픽셀 셀의 양자화 나머지는 평가될 다음 픽셀 셀에, 다음 픽셀 셀의 평가가 발생하기 이전에 부가된다.
도 6a 및 도 6b에 개략적으로 도시된 실시예에서, 임계값 50이 오차 확산 처리에서 이용되었으며, 50은 최대 도스 레벨의 절반을 나타낸다.
이제 도 6a의 상부 로우(top row)를 좌측으로부터 시작하여 고려한다. 첫 번째 2개의 셀들은 그레이 값 0을 갖는다. 상술된 알고리즘에서 이들 셀들은 블랙 셀들로서 인식될 것이다. 부가적으로, 실제 값과 할당된 값 사이에 아무런 차이점도 존재하지 않기 때문에, 평가 하의 다음 셀에 부가되는 나머지는 없다. 이는 3 번째 셀과는 상이하다. 3번째 셀은 그레이 값 27을 갖는다. 이 값은 임계값 50 아래이다. 따라서, 도 6b에 개략적으로 도시된 바와 같이, 이 그리드 셀은 블랙 셀, 즉, 값 0을 갖는 셀인 것으로 고려된다. 그러므로, 3번째 그리드 셀의 나머지는 27 - 0 = 27이다.
좌측으로부터 취해진 상부 로우의 4번째 셀인, 평가 하의 다음 셀에서, 나머지가 그에 존재하는 그레이 값에 부가된다. 이 부가의 결과는 평가 목적들을 위해 4번째 그리드 셀에서의 값이 이제 80(=원래의 그레이 값) + 27(=3번째 셀로부터의 나머지) = 107과 같다는 것이다. 이 값은 임계값 50을 초과한다. 따라서, 4번째 셀은 화이트 셀로서 등록된다. 이 셀의 나머지, 107 - 100 = 7은 평가하의 다음 셀로 다시 전달되고, 그의 그레이 값에 부가된다. 이 평가 처리는 서펀틴 궤적에 따라 5번째 로우의 종료까지 계속된다.
상술된 평가 처리는 Nrep 라인들을 갖는 다른 평가 블록들, 즉, 10번째 로우까지의 6번째 로우, 15번째 로우까지의 11번째 로우 등에 대해 유사하게 수행된다.
도 7a 및 도 7b는 본 발명의 다른 실시예에 따른 멀티-레벨 패턴의 1-차원 평가를 적용한 결과를 개략적으로 도시한다. 이 실시예에서, 적용되는 1D-오차 확산은 개별 빔렛들에 대해 상이한 설정들을 이용한다. 이러한 기술을 이용하는 것은 멀티-빔렛 리소그래픽 장치 내의 도스 제어에서 빔렛-대-빔렛 조정들을 허용한다.
도 7a 및 도 7b에서, 설명 목적들을 위해, 블록들 Ⅰ-Ⅳ 내의 픽셀 셀들이 4개의 개별 빔렛들에 의해 패턴화되고, 각각의 빔렛은 하나의 블록의 패턴화에 대해 책임이 있는 것으로 가정된다. 실제로는, 특히, 빔렛 스폿 크기가 일반적으로 셀 크기보다 상당히 크다는 점으로 인해, 개별의 픽셀 셀들의 노출은 다수의 빔렛들에 의해 수행된다. 블록 Ⅰ에 대해 책임이 있는 빔렛 및 블록 Ⅳ에 대해 책임이 있는 빔렛의 수행은 사양들(specifications) 내에서 동작한다. 그러나, 블록 Ⅱ를 패턴화하기 위한 빔렛의 강도는 너무 낮은 반면, 블록 Ⅲ을 패턴화하기 위한 빔렛의 강도는 원하는 것보다 높다. 이러한 빔렛 강도들에서의 오프셋들로 인해, 도 6b에 도시된 바와 같은 패턴 처리는 패턴화 부정확성(inaccuracy)을 초래할 것이다. 빔렛이 사양들 내에서 수행될지의 여부를 결정하는 것은 빔렛 현재 측정들을 이용함으로써 결정될 수 있다. 빔렛 측정을 위한 방법들은 예를 들면, 본 명세서에 참조로서 그 전체가 포함된 미국 특허 출원 61/122,591에 설명된다.
본 발명의 실시예들에서, 오차 확산을 위한 설정들이 개별 빔렛 당 규정될 수 있다. 섹션 Ⅱ에서, 설정들은 낮은 빔렛 강도를 위해 보상될 수 있다. 섹션 Ⅲ에서, 설정들은, 원하는 것보다 높은 빔렛 강도를 수용하기 위해 적응될 수 있다. 보상은 오차 확산 파라미터의 수정에 의해 수행될 수 있다. 수정될 수 있는 오차 확산 파라미터들의 예들은 2-레벨 패턴을 형성하기 위해 이용된 오차 확산 임계값, 또는 2-레벨 패턴에서 이용된 높은 도스 값 및/또는 낮은 도스 값의 절대치 설정들을 포함한다.
도 7a 및 도 7b에서, 보상은 오차 확산 임계값을 적응시킴으로써 수행된다. 블록들 Ⅰ 및 Ⅳ에서 이용되는 임계값 50의 이용 대신에, 임계값 40이 블록 Ⅱ의 픽셀 셀들을 위해 이용되지만, 임계값 60이 블록 Ⅲ의 픽셀 셀들을 위해 이용된다. 도 7b에서 용이하게 확인될 수 있는 바와 같이, 블록들 Ⅱ 및 Ⅲ에서의 2-레벨 패턴은 블록들 Ⅰ 및 Ⅳ에서의 패턴과 상이하다(도 6b에 도시된 블록들에 대한 패턴과 유사함).
높은 도스 값, 즉, 화이트 레벨 값의 수정은 도 7b에 도시된 바와 유사한 결과들을 초래할 수 있다. 이 경우에, 오차 확산 계산들에서 이용된 화이트 레벨 값은 블록 Ⅱ의 패턴화의 경우에 80으로 낮아질 수 있는 반면, 블록 Ⅲ에 제공될 래스터화된 2-레벨 패턴을 결정하는 경우에 120으로 증가될 것이다.
도 6a, 도 6b, 도 7a, 및 도 7b를 참조하여 상술된 예들에서, 1차원(1D) 오차 확산이 적용된다. 1D-오차 확산의 이용이, 특히 멀티-빔렛 리소그래픽 장치에서, 패턴 배치 및 도스 제어에 관하여 중요한 개선을 이미 제공한다는 것을 알게 되었다.
그러나, 1D-오차 확산의 수행은 평가 궤적, 및 평가 블록들의 크기에 크게 의존한다. 2D-오차 확산의 이용은, 오차 확산이 평가 궤적에 훨씬 덜 의존한다는 이유로 유리하다. 부가적으로, 많은 응용들에서, 2D-오차 확산은 패턴 배치 및 도스 제어에 관하여 훨씬 더 양호한 성능을 제공한다. 2D-오차 확산은 도 8a 및 도 8b를 참조하여 설명될 바와 같이 상이한 유형들의 커널들로 수행될 수 있다.
도 8a는 본 발명의 실시예들에서 이용될 수 있는 2D-오차 확산의 유형의 개념을 개략적으로 도시한다. 도 8a에 도시된 평가 하의 3x3-매트릭스에서, 상부 로우는 이미 평가되었다. 중앙 로우의 좌측 픽셀 셀에 대해서도 동일하다. 도 8a에서 "X"로서 표시된, 중앙 픽셀 셀은 평가 하의 셀이다. 도 8a의 2D-오차 확산의 유형에서, 양자화 나머지의 2/3가 평가될 다음 셀, 즉, 중앙 로우의 우측 픽셀 셀로 시프트된다. 부가적으로, 양자화 나머지의 1/3은 다음 로우의 이웃 셀, 즉, 하부(bottom) 로우의 중앙 셀로 시프트된다. 평가 하의 셀과 코너(corner)를 공유하는 이웃 픽셀 셀들, 즉, 하부 로우의 좌측 및 우측 셀은 양자화 나머지의 어떠한 부분도 수신하지 않는다.
도 8b는 본 발명의 실시예들에서 이용될 수 있는 2D-오차 확산의 다른 유형의 개념을 개략적으로 도시한다. 이 유형의 2D-오차 확산은 이른바 플로이드-스테인버그 커널(Floyd-Steinberg kernel)을 이용한다. 플로이드-스테인버그 커널은 평가 하의 다음 셀에 양자화 나머지의 7/16을 전달한다. 부가적으로, 양자화 나머지의 5/16가 다음 로우의 이웃 셀로 포워딩된다. 도 8a에 도시된 2D-오차 확산의 유형과 반대로, 평가 하의 셀과 코너를 공유하는 이웃 셀 또한 양자화 나머지의 일부를 수신한다. 양자화 나머지의 3/16 부분이 평가 하의 셀로부터 포워드 방향으로 대각선으로 위치된 이웃 셀로 전달되고, 여기서 포워드 방향은 평가 하의 현재 셀, 즉, 픽셀 셀 'X'와 평가 하의 다음 셀 사이의 이동의 방향일 것으로 고려된다. 마지막으로, 양자화 나머지의 1/16이 픽셀 셀 X로부터 백워드 방향으로 대각선으로 위치된 이웃 셀로 전달된다.
도 8a 및 도 8b에 도시된 커널들은 단지 본 발명의 실시예들을 설명하기 위한 역할을 하며, 효과를 제한하기 위해 의도된 것은 아니다. 이 기술 분야의 숙련자는 상이한 커널들, 예컨대, 컴퓨터 그래픽스 및 이미지 처리(Computer Graphics and Image Processing), 5(1) 13-14페이지(1976년)에서 J.F. Jarvis 등에 의한 "이중-레벨 디스플레이들 상에서의 연속적인 톤 화상들의 디스플레이를 위한 기술의 연구(A survey of techniques for the display of continuous tone pictures on bi-level displays)"에서 제안된 바와 같은 3x5 커널을 이용하는 것이 똑같이 양호하게 가능하다는 것을 이해할 것이다.
본 발명의 실시예들에서, 오차 확산의 적용은 비-시프트(no-shift) 조건에 의해 추가로 제한된다. 예를 들면, 하나 이상의 픽셀 셀들의 멀티-레벨 값이 이후에 멀티-레벨 임계값으로 언급되는 임계값과 같거나 아래에 있다면, 하나 이상의 픽셀 셀들을 향하는 확산은 허용되지 않을 수 있다. 이러한 멀티-레벨 임계값은 0과 같을 것이다. 대안적으로, 하나 이상의 픽셀 셀들이 패턴화될 피쳐 외부에 위치될 것으로 알려진 픽셀 셀들과 관련된다면, 하나 이상의 픽셀 셀들을 향하는 확산은 허용되지 않을 수 있다. 비-시프트 조건은, 양자화 나머지가, 유효화될 매우 제한된 기회를 갖는 픽셀 셀들로 스프레드되지 않을 것이라는 것을 보장한다. 따라서, 비-시프트 조건은, 특히 패턴의 피쳐 에지들의 주변에서, 오차 확산의 영향을 효율적으로 개선한다.
이후에, 비-시프트 조건의 결과로서 하나 이상의 이웃 픽셀 셀들을 향하는 오차 확산의 금지는 도 9a 및 도 9b를 참조하여 설명될 것이다. 도 9a 및 도 9b를 참조하여 설명된 실시예에서, 비-시프트 조건은 0의 멀티-레벨 임계값을 이용한다는 조건에 관련된다. 그러므로, 비-시프트 조건은 "0에 대한 비-시프트(no-shift-to-zero)" 규칙으로서 라벨링된다.
도 9a에서, 그리드의 작은 부분이 도시된다. 도시된 부분은 라인 구조의 에지를 커버한다. 피쳐의 에지는 픽셀 셀들의 우측 컬럼으로부터 픽셀 셀들의 중앙 컬럼을 분리하는 그리드 라인에 대응한다.
도 9b는 0에 대한 비-시프트 규칙의 이용을 포함하는 도 9a에 도시된 그리드의 중앙 픽셀 셀에 대해 플로이드-스테인버그 커널을 이용한 2D-오차 확산의 적용을 개략적으로 도시한다. 이 예에서, 멀티-레벨 임계값은 확실히 0과 같다.
일반적으로, 도 8b에 개략적으로 도시된 바와 같이, 셀 'X'의 양자화 나머지의 7/16은 평가 하의 다음 셀로 전달될 것이다. 그러나, 중앙 로우의 우측 픽셀 셀이 0의 값을 갖기 때문에, 이 셀을 향하는 양자화 나머지의 부분의 전달은 허용되지 않는다. 그러므로, 이 셀을 향해 어떤 값도 시프트되지 않는다. 보다 낮은 우측 픽셀 셀에 대해서도 동일하다. 양자화 나머지의 1/16 대신에, 아무 값도 전달되지 않는다.
따라서, 플로이드-스테인버그 커널이 적용된다면, 나머지의 절반(7/16 + 1/16 = 1/2)은 이동이 금지된다. 도 9b에 개략적으로 도시된 실시예에서, 양자화 나머지는 다른 2개의 부분들로 비례적으로 스프레드된다. 즉, 양자화 나머지의 3/16 대신에 3/8이 좌측의 보다 낮은 픽셀 셀로 포워드된다. 유사하게, 양자화 나머지의 5/16 대신에 5/8이 중앙의 보다 낮은 픽셀 셀로 전달된다.
상이한 스프레딩 알고리즘들이 이용될 수 있다는 것을 이해해야 한다. 예를 들면, 양자화 나머지의 거절된 부분들의 어떤 추가 스프레드도, "허용가능한(allowable)" 픽셀 셀들에 전달될 나머지들에 첨부되지 않는다는 것 또한 가능할 수 있다.
도 10은 멀티-빔렛 리소그래픽 장치(100), 예를 들면, 도 1 또는 도 3을 참조하여 논의된 장치의 데이터 경로를 개략적으로 도시한다. 데이터 경로는, GDSII 같은 벡터 포맷의 형태로 일반적으로 제공된 리소그래픽 적용들에서, 패턴 데이터를 개별의 빔렛들을 위한 온/오프 신호들로 변형시킨다. 도 10에 도시된 데이터 경로는 오프라인 처리 유닛(101), 중앙 처리 유닛(102) 및 복수의 추가 처리 유닛들(105)을 포함한다. 본 방법의 실시예들을 수행하는 위치에 대한 선택은, 여러 인자들, 예컨대 원하는 가용성 및 비용들에 따른다.
상술된 바와 같은 리소그래픽 처리를 위한 래스터화된 2-레벨 패턴을 발생시키는 방법의 실시예들이 상이한 위치들에서 수행될 수 있다. 본 방법을 오프라인, 즉, 오프라인 처리 유닛(101) 내에서 전-처리의 형태로서 수행하는 것이 가능할 수 있다. 이러한 전-처리는 리소그래픽 장치(100) 내에서 또는 아주 근접하여 수행되지 않아도 된다.
방법을 인라인, 즉, 리소그래픽 장치(100) 내에서 수행하는 것 또한 가능하다. 중앙 처리 유닛(102)에서 방법을 수행하는 것은 상이한 그리드 셀 크기들의 이용을 가능하게 한다. 추가 처리 유닛들(105)에서 방법을 "실시간"으로 수행하는 것은 빔렛-대-빔렛 변동들의 관점에서 패턴의 비교적 신속한 적응을 가능하게 한다.
본 발명은 상술된 특정 실시예들을 참조하여 설명되었다. 이들 실시예들이 본 발명의 기술 사상 및 범위로부터 벗어남이 없이 이 기술 분야의 숙련자들에게 잘 알려진 다양한 변형들 및 대안적 형태들을 허용한다는 것이 인식될 것이다. 따라서, 특정 실시예들이 기술되었을지라도, 이들은 단지 예들이며, 첨부된 청구항들에서 규정되는 본 발명의 범위에 대한 제한은 아니다.

Claims (28)

  1. 멀티플 빔렛들에 의한 리소그래픽 처리를 위한 2-레벨 패턴을 발생시키는 방법으로서,
    벡터 포맷으로 패턴을 제공하는 단계;
    상기 벡터 포맷 패턴을 픽스맵(pixmap) 포맷의 패턴으로 변환하는 단계; 및
    상기 픽스맵 포맷 패턴에 대한 오차 확산의 적용에 의해 2-레벨 패턴을 형성하는 단계를 포함하고,
    오차 확산의 적용은,
    픽셀들의 어레이를 부분들로 분할하는 단계 ― 각각의 부분은 상이한 빔렛에 의해 패턴화되도록 할당됨 ―;
    각각의 부분에 대한 오차 확산 파라미터 값을 결정하는 단계;
    상기 결정된 바와 같은 오차 확산 파라미터 값을 이용하여 2-레벨 값을 각각의 부분 내의 픽셀 셀들에 할당하는 단계를 포함하는,
    2-레벨 패턴을 발생시키는 방법.
  2. 제 1 항에 있어서,
    상기 픽스맵은 픽셀 셀들의 어레이를 포함하고, 멀티-레벨 값은 각각의 픽셀 셀에 할당되는,
    2-레벨 패턴을 발생시키는 방법.
  3. 제 2 항에 있어서,
    멀티-레벨 값들을 픽셀 셀들에 제공하는 것은 상기 각각의 픽셀 셀에 의한 상기 벡터-포맷 패턴의 상대적 커버리지에 기초하는,
    2-레벨 패턴을 발생시키는 방법.
  4. 제 2 항에 있어서,
    멀티-레벨 값들을 픽셀 셀들에 제공하는 것은 상기 벡터 포맷 패턴의 도스(dose) 레벨 값들에 기초하는,
    2-레벨 패턴을 발생시키는 방법.
  5. 제 1 항에 있어서,
    상기 벡터-포맷 패턴은 2-레벨 값들에 의해 형성되는,
    2-레벨 패턴을 발생시키는 방법.
  6. 삭제
  7. 제 1 항에 있어서,
    상기 오차 확산 파라미터 값을 결정하는 단계는 빔렛 현재 측정들에 기초하는,
    2-레벨 패턴을 발생시키는 방법.
  8. 제 1 항에 있어서,
    상기 오차 확산 파라미터 값은 임계값이고,
    상기 2-레벨 값을 부분 내의 상기 픽셀 셀들에 할당하는 단계는 상기 부분을 위해 결정된 상기 임계값과의 비교에 기초하는,
    2-레벨 패턴을 발생시키는 방법.
  9. 제 1 항에 있어서,
    상기 오차 확산 파라미터는 상기 2-레벨 값의 보다 높은 레벨을 나타내는 값인,
    2-레벨 패턴을 발생시키는 방법.
  10. 제 1 항에 있어서,
    상기 오차 확산은 1-차원(1D) 오차 확산의 유형인,
    2-레벨 패턴을 발생시키는 방법.
  11. 제 1 항에 있어서,
    상기 오차 확산은 2-차원(2D) 오차 확산의 유형인,
    2-레벨 패턴을 발생시키는 방법.
  12. 제 11 항에 있어서,
    상기 2D-오차 확산은 플로이드-스테인버그 커널(Floyd-Steinberg kernel)을 이용하는,
    2-레벨 패턴을 발생시키는 방법.
  13. 멀티플 빔렛들에 의한 리소그래픽 처리를 위한 2-레벨 패턴을 발생시키는 방법으로서,
    벡터 포맷으로 패턴을 제공하는 단계;
    상기 벡터 포맷 패턴을 픽스맵(pixmap) 포맷의 패턴으로 변환하는 단계; 및
    상기 픽스맵 포맷 패턴에 대한 오차 확산의 적용에 의해 2-레벨 패턴을 형성하는 단계를 포함하고,
    상기 픽스맵은 픽셀 셀들의 어레이를 포함하고, 멀티-레벨 값은 각각의 픽셀 셀에 할당되며,
    상기 오차 확산의 적용은 비-시프트 조건(no-shift condition)을 만족하는 하나 이상의 픽셀 셀들을 향하는 확산을 불허(disallow)함으로써 더 제한되는,
    2-레벨 패턴을 발생시키는 방법.
  14. 제 13 항에 있어서,
    상기 비-시프트 조건은, 상기 하나 이상의 픽셀들에 할당된 멀티-레벨 값이 추가 임계값과 동일하거나 미만인 것인,
    2-레벨 패턴을 발생시키는 방법.
  15. 제 14 항에 있어서,
    상기 추가 임계값은 0과 동일한,
    2-레벨 패턴을 발생시키는 방법.
  16. 제 13 항에 있어서,
    상기 비-시프트 조건은, 상기 하나 이상의 픽셀들이 피쳐(feature) 외부에 위치되는 것인,
    2-레벨 패턴을 발생시키는 방법.
  17. 프로세서에 의해 실행될 때, 제 1 항에 따라 규정된 래스터화된(rasterized) 2-레벨 패턴을 발생시키는 방법을 수행하기 위한 컴퓨터 판독가능 매체.
  18. 패턴 발생기로서,
    벡터 포맷으로 패턴을 수신하기 위한 입력부;
    제 1 항에 따른 리소그래픽 처리를 위한 2-레벨 패턴을 발생시키는 방법을 수행하기 위한 처리 유닛; 및
    상기 2-레벨 패턴을 공급하기 위한 출력부
    를 포함하는,
    패턴 발생기.
  19. 제 18 항에 있어서,
    픽스맵 포맷으로 패턴을 저장하기 위한 메모리를 더 포함하고,
    상기 메모리는 상기 처리 유닛에 통신 가능하게 결합되는,
    패턴 발생기.
  20. 복수의 빔렛들을 이용하여 타겟을 노출시키기 위한 하전 입자 멀티-빔렛 시스템(charged particle multi-beamlet system)으로서,
    노출 패턴을 형성하기 위해 상기 복수의 빔렛들을 변조하기 위한 빔렛 변조 시스템;
    상기 변조된 빔렛들을 상기 타겟의 표면상에 투사하기 위한 투사 시스템;
    상기 복수의 빔렛들을 제 1 방향으로 편향시키기 위한 편향기 어레이(deflector array);
    상기 타겟이 노출되도록 지원하기 위한 기판 지원 부재(member); 및
    상기 타겟이 픽셀 셀들의 어레이에 따라 노출될 수 있도록, 제 2 방향으로의 상기 기판 지원 부재와 상기 복수의 빔렛들 사이의 상대적 움직임 및 제 1 방향으로의 상기 빔렛들의 그룹의 움직임을 조정하도록 배열된 제어 유닛
    을 포함하고,
    상기 하전-입자 멀티-빔렛 시스템은 제 18 항의 빔렛 패턴 발생기를 더 포함하는,
    하전 입자 멀티-빔렛 시스템.
  21. 제 20 항에 있어서,
    상기 투사 시스템은 투사 렌즈 시스템들의 어레이를 포함하는,
    하전 입자 멀티-빔렛 시스템.
  22. 제 21 항에 있어서,
    상기 복수의 빔렛들은 빔렛들의 그룹들로 배열되고, 각각의 투사 렌즈 시스템은 빔렛들의 그룹에 대응하는,
    하전 입자 멀티-빔렛 시스템.
  23. 제 21 항에 있어서,
    상기 편향기 어레이는 복수의 편향기들을 포함하고, 각각의 편향기는 대응하는 빔렛들의 그룹을 편향시키도록 배열되는,
    하전 입자 멀티-빔렛 시스템.
  24. 리소그래픽 시스템으로서,
    전처리(preprocessing) 유닛; 및
    2-레벨 패턴에 따라 복수의 빔렛들을 이용하여 타겟을 노출시키기 위한 하전 입자 멀티-빔렛 시스템을 포함하고,
    상기 전처리 유닛은 제 18 항의 빔렛 패턴 발생기를 포함하는,
    리소그래픽 시스템.
  25. 제 24 항에 있어서,
    상기 하전 입자 멀티-빔렛 시스템은:
    노출 패턴을 형성하기 위해 상기 복수의 빔렛들을 변조하기 위한 빔렛 변조 시스템;
    상기 변조된 빔렛들을 상기 타겟의 표면상에 투사하기 위한 투사 시스템;
    상기 복수의 빔렛들을 제 1 방향으로 편향시키기 위한 편향기 어레이;
    상기 타겟이 노출되도록 지원하기 위한 기판 지원 부재; 및
    상기 타겟이 픽셀 셀들의 어레이에 따라 노출될 수 있도록, 제 2 방향으로의 상기 기판 지원 부재와 상기 복수의 빔렛들 사이의 상대적 움직임 및 제 1 방향으로의 상기 빔렛들의 그룹의 움직임을 조정하도록 배열된 제어 유닛
    을 포함하는,
    리소그래픽 시스템.
  26. 제 25 항에 있어서,
    상기 투사 시스템은 투사 렌즈 시스템들의 어레이를 포함하는,
    리소그래픽 시스템.
  27. 제 26 항에 있어서,
    상기 복수의 빔렛들은 빔렛들의 그룹들로 배열되고, 각각의 투사 렌즈 시스템은 빔렛들의 그룹에 대응하는,
    리소그래픽 시스템.
  28. 제 26 항에 있어서,
    상기 편향기 어레이는 복수의 편향기들을 포함하고, 각각의 편향기는 대응하는 빔렛들의 그룹을 편향시키도록 배열되는,
    리소그래픽 시스템.
KR1020117030508A 2009-05-20 2010-05-17 리소그래픽 처리를 위한 2―레벨 패턴 발생 방법 및 이를 이용한 패턴 발생기 KR101605832B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17976009P 2009-05-20 2009-05-20
US61/179,760 2009-05-20

Publications (2)

Publication Number Publication Date
KR20120027374A KR20120027374A (ko) 2012-03-21
KR101605832B1 true KR101605832B1 (ko) 2016-03-23

Family

ID=43125804

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117030508A KR101605832B1 (ko) 2009-05-20 2010-05-17 리소그래픽 처리를 위한 2―레벨 패턴 발생 방법 및 이를 이용한 패턴 발생기

Country Status (7)

Country Link
US (1) US8598544B2 (ko)
EP (1) EP2433294B1 (ko)
JP (1) JP5801288B2 (ko)
KR (1) KR101605832B1 (ko)
CN (1) CN102460632B (ko)
TW (1) TWI490661B (ko)
WO (1) WO2010134017A1 (ko)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101757837B1 (ko) * 2009-05-20 2017-07-26 마퍼 리쏘그라피 아이피 비.브이. 듀얼 패스 스캐닝
US8539395B2 (en) 2010-03-05 2013-09-17 Micronic Laser Systems Ab Method and apparatus for merging multiple geometrical pixel images and generating a single modulator pixel image
US9599906B2 (en) * 2010-09-27 2017-03-21 Nikon Corporation Method for driving spatial light modulator, method for forming pattern for exposure, exposure method, and exposure apparatus
WO2012084457A2 (en) 2010-12-20 2012-06-28 Asml Netherlands B.V. Method of controlling a patterning device in a lithographic apparatus, device manufacturing method and lithographic apparatus
NL2007604C2 (en) * 2011-10-14 2013-05-01 Mapper Lithography Ip Bv Charged particle system comprising a manipulator device for manipulation of one or more charged particle beams.
US8584057B2 (en) * 2012-03-01 2013-11-12 Taiwan Semiconductor Manufacturing Copmany, Ltd. Non-directional dithering methods
FR2994749B1 (fr) * 2012-08-24 2015-07-24 Commissariat Energie Atomique Procede de preparation d’un motif a imprimer sur plaque ou sur masque par lithographie a faisceau d’electrons, systeme de conception de circuit imprime et programme d’ordinateur correspondants.
US9690208B2 (en) * 2013-12-10 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mirror array in digital pattern generator (DPG)
JP6337511B2 (ja) * 2014-02-26 2018-06-06 大日本印刷株式会社 マルチビーム電子線描画装置を用いたパターニング方法
JP2015207608A (ja) * 2014-04-17 2015-11-19 キヤノン株式会社 リソグラフィ装置、および物品の製造方法
EP2937889B1 (en) 2014-04-25 2017-02-15 IMS Nanofabrication AG Multi-beam tool for cutting patterns
JP2015211175A (ja) * 2014-04-28 2015-11-24 キヤノン株式会社 リソグラフィ装置、および物品の製造方法
EP2950325B1 (en) * 2014-05-30 2018-11-28 IMS Nanofabrication GmbH Compensation of dose inhomogeneity using overlapping exposure spots
JP6890373B2 (ja) 2014-07-10 2021-06-18 アイエムエス ナノファブリケーション ゲーエムベーハー 畳み込みカーネルを使用する粒子ビーム描画機における結像偏向の補償
US9568907B2 (en) 2014-09-05 2017-02-14 Ims Nanofabrication Ag Correction of short-range dislocations in a multi-beam writer
KR102302015B1 (ko) * 2015-03-17 2021-09-15 아이엠에스 나노패브릭케이션 게엠베하 완화된 임계 치수의 패턴 에어리어의 멀티빔 기록
US9653263B2 (en) 2015-03-17 2017-05-16 Ims Nanofabrication Ag Multi-beam writing of pattern areas of relaxed critical dimension
EP3096342B1 (en) 2015-03-18 2017-09-20 IMS Nanofabrication AG Bi-directional double-pass multi-beam writing
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
JP6627632B2 (ja) * 2016-02-08 2020-01-08 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
US10325756B2 (en) 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
JP6662248B2 (ja) 2016-09-01 2020-03-11 株式会社ニューフレアテクノロジー 描画データの作成方法
NL2019503B1 (en) * 2016-09-08 2018-08-31 Mapper Lithography Ip Bv Fabricating unique chips using a charged particle multi-beamlet lithography system
JP7002837B2 (ja) * 2016-10-26 2022-01-20 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
US10325757B2 (en) 2017-01-27 2019-06-18 Ims Nanofabrication Gmbh Advanced dose-level quantization of multibeam-writers
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
JP7201364B2 (ja) * 2017-08-25 2023-01-10 アイエムエス ナノファブリケーション ゲーエムベーハー マルチビーム描画装置において露光される露光パターンにおける線量関連の特徴再形成
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
US10593509B2 (en) 2018-07-17 2020-03-17 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Charged particle beam device, multi-beam blanker for a charged particle beam device, and method for operating a charged particle beam device
US10483080B1 (en) * 2018-07-17 2019-11-19 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Charged particle beam device, multi-beam blanker for a charged particle beam device, and method for operating a charged particle beam device
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
US11309163B2 (en) * 2019-11-07 2022-04-19 Applied Materials, Inc. Multibeamlet charged particle device and method
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스
CN113722672B (zh) * 2021-07-20 2022-04-05 厦门微亚智能科技有限公司 一种VR Lens杂散光噪声的检测计算方法
WO2022252707A1 (zh) * 2022-02-24 2022-12-08 袁元 半导体器件的加工控制方法、装置及高能粒子束光刻设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003052516A1 (en) * 2001-12-14 2003-06-26 Micronic Laser Systems Ab Method and apparatus for patterning a workpiece
WO2007105939A1 (en) * 2006-03-10 2007-09-20 Mapper Lithography Ip B.V. Lithography system and projection method

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03172843A (ja) * 1989-12-01 1991-07-26 Hitachi Ltd 画像二値化方式およびそれを用いた画像入力装置ならびに画像処理装置
JPH0918700A (ja) * 1995-07-04 1997-01-17 Matsushita Electric Ind Co Ltd 画像処理装置
US6307978B1 (en) 1998-06-03 2001-10-23 Wellesley College System and method for parallel error diffusion dithering
DE19829986C1 (de) * 1998-07-04 2000-03-30 Lis Laser Imaging Systems Gmbh Verfahren zur Direktbelichtung von Leiterplattensubstraten
US6556702B1 (en) * 1999-01-06 2003-04-29 Applied Materials, Inc. Method and apparatus that determines charged particle beam shape codes
US20020104970A1 (en) * 1999-01-06 2002-08-08 Winter Stacey J. Raster shaped beam, electron beam exposure strategy using a two dimensional multipixel flash field
US7095484B1 (en) * 2001-06-27 2006-08-22 University Of South Florida Method and apparatus for maskless photolithography
US6768125B2 (en) * 2002-01-17 2004-07-27 Ims Nanofabrication, Gmbh Maskless particle-beam system for exposing a pattern on a substrate
WO2004038509A2 (en) * 2002-10-25 2004-05-06 Mapper Lithography Ip B.V. Lithography system
KR101016728B1 (ko) 2002-10-30 2011-02-25 마퍼 리쏘그라피 아이피 비.브이. 전자 빔 노출 시스템
JP4484868B2 (ja) 2003-03-10 2010-06-16 マッパー・リソグラフィー・アイピー・ビー.ブイ. 複数の小ビームを発生させるための装置
ATE524822T1 (de) * 2003-05-28 2011-09-15 Mapper Lithography Ip Bv Belichtungsverfahren für strahlen aus geladenen teilchen
US7075093B2 (en) * 2004-05-12 2006-07-11 Gorski Richard M Parallel multi-electron beam lithography for IC fabrication with precise X-Y translation
JP4856073B2 (ja) * 2004-05-17 2012-01-18 マッパー・リソグラフィー・アイピー・ビー.ブイ. 荷電粒子ビーム露光システム
US7294440B2 (en) * 2004-07-23 2007-11-13 International Business Machines Corporation Method to selectively correct critical dimension errors in the semiconductor industry
US7477772B2 (en) * 2005-05-31 2009-01-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing 2D run length encoding for image data compression
US7965373B2 (en) * 2005-06-28 2011-06-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a datapath having a balanced calculation load
US7440078B2 (en) * 2005-12-20 2008-10-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and maskless exposure units
JP2007199385A (ja) * 2006-01-26 2007-08-09 Hitachi Via Mechanics Ltd プリント配線基板用描画装置
EP2171683A1 (en) * 2007-06-29 2010-04-07 Thomson Licensing Apparatus and method for reducing artifacts in images
JP5408674B2 (ja) 2008-02-26 2014-02-05 マッパー・リソグラフィー・アイピー・ビー.ブイ. 投影レンズ構成体
TWI534849B (zh) 2008-04-15 2016-05-21 瑪波微影Ip公司 投影透鏡配置
CN102460633B (zh) * 2009-05-20 2014-12-17 迈普尔平版印刷Ip有限公司 用于光刻系统的图案数据转换器
US9305747B2 (en) * 2010-11-13 2016-04-05 Mapper Lithography Ip B.V. Data path for lithography apparatus

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003052516A1 (en) * 2001-12-14 2003-06-26 Micronic Laser Systems Ab Method and apparatus for patterning a workpiece
WO2007105939A1 (en) * 2006-03-10 2007-09-20 Mapper Lithography Ip B.V. Lithography system and projection method

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
J.F.Jarvis and C.S.Roberts, "A new technique for displaying continuous tone images on a bilevel display", IEEE TRANSACTIONS ON COMMUNICATIONS, pp.891-898, 1976. 8.31.*

Also Published As

Publication number Publication date
TW201107896A (en) 2011-03-01
JP5801288B2 (ja) 2015-10-28
CN102460632A (zh) 2012-05-16
US8598544B2 (en) 2013-12-03
TWI490661B (zh) 2015-07-01
JP2012527764A (ja) 2012-11-08
CN102460632B (zh) 2015-11-25
EP2433294A1 (en) 2012-03-28
EP2433294B1 (en) 2016-07-27
US20120286169A1 (en) 2012-11-15
WO2010134017A1 (en) 2010-11-25
KR20120027374A (ko) 2012-03-21

Similar Documents

Publication Publication Date Title
KR101605832B1 (ko) 리소그래픽 처리를 위한 2―레벨 패턴 발생 방법 및 이를 이용한 패턴 발생기
KR101647768B1 (ko) 타겟을 노출하는 방법 및 시스템
JP5475155B2 (ja) 投影レンズ構成体
US9053906B2 (en) Method for charged-particle multi-beam exposure
JP5069331B2 (ja) 電子ビーム露光システム
JP7057220B2 (ja) マルチ電子ビーム画像取得装置及びマルチ電子ビーム光学系の位置決め方法
NL2003304C2 (en) Compensation of dose inhomogeneity and image distortion.
US7755061B2 (en) Dynamic pattern generator with cup-shaped structure
US20110079730A1 (en) Imaging system
JP7409946B2 (ja) マルチ荷電粒子ビーム照射装置及びマルチ荷電粒子ビーム検査装置

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190329

Year of fee payment: 4