KR102026270B1 - 자가-조립가능한 중합체에 대한 패터닝된 방위 템플릿을 제공하는 방법 - Google Patents

자가-조립가능한 중합체에 대한 패터닝된 방위 템플릿을 제공하는 방법 Download PDF

Info

Publication number
KR102026270B1
KR102026270B1 KR1020147012173A KR20147012173A KR102026270B1 KR 102026270 B1 KR102026270 B1 KR 102026270B1 KR 1020147012173 A KR1020147012173 A KR 1020147012173A KR 20147012173 A KR20147012173 A KR 20147012173A KR 102026270 B1 KR102026270 B1 KR 102026270B1
Authority
KR
South Korea
Prior art keywords
self
domains
sidewalls
substrate
axis
Prior art date
Application number
KR1020147012173A
Other languages
English (en)
Other versions
KR20140083008A (ko
Inventor
탄 응우옌
요제프 핀데르스
빌헬무스 케텔라스
산더 부이스터
에디 반 데르 헤이덴
히에로니무스 메센
로엘로프 쿨레
에밀 피테르스
크리스 반 헤쉬
오렐리 브리자드
헨리 부츠
타마라 드루즈히니나
제시카 드 루이터
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20140083008A publication Critical patent/KR20140083008A/ko
Application granted granted Critical
Publication of KR102026270B1 publication Critical patent/KR102026270B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B1/00Single-crystal growth directly from the solid state
    • C30B1/12Single-crystal growth directly from the solid state by pressure treatment during the growth
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10T117/10Apparatus

Abstract

제 1 축에 평행하게 연장되고, 직교하는 제 2 축을 따라 서로 이격되며, 연속한 제 2 도메인에 의해 분리되는 불연속한 제 1 도메인들의 평행한 로우들을 갖는 2-D 어레이로 자가-조립하도록 구성된, 자가-조립된 블록 중합체를 정렬하기 위한 그래포에피택시 템플릿이 제공된다. 그래포에피택시 템플릿은 실질적으로 평행한 제 1 및 제 2 측벽들을 포함하고, 상기 측벽들은 연속한 제 2 도메인에 의해 그로부터 분리된, 또한 상기 측벽들 사이에 또한 이에 대해 평행한 기판의 자가-조립된 블록 공중합체의 불연속한 제 1 도메인들의 적어도 하나의 로우를 유지하도록 구성된 격실을 제공하도록 제 2 축을 따라 서로 이격되고, 제 1 축을 정의하고 이에 평행하게 연장된다. 상기 격실은 상기 격실 내의 특정 위치에서 불연속한 제 1 도메인들 중 적어도 하나를 위치시키도록 구성된 그래포에피택셜 핵생성 피처를 포함한다. 또한, 그래포에피택시 템플릿을 형성하는 방법들 및 디바이스 리소그래피를 위한 이의 사용이 개시된다.

Description

자가-조립가능한 중합체에 대한 패터닝된 방위 템플릿을 제공하는 방법{METHOD TO PROVIDE A PATTERNED ORIENTATION TEMPLATE FOR A SELF-ASSEMBLABLE POLYMER}
본 출원은 2011년 11월 3일에 출원된 미국 가출원 61/542,498, 2011년 12월 21에 출원된 미국 가출원 61/578,637, 및 2012년 2월 21에 출원된 미국 가출원 1/601,439의 이익을 주장하며, 이는 본 명세서에서 전문이 인용 참조된다.
본 발명은 기판의 표면에 자가-조립된 블록 중합체(self-assembled block polymer)를 정렬하기 위한 기판 상의 그래포에피택시 템플릿(graphoepitaxy template), 및 이러한 그래포에피택시 템플릿을 형성하는 방법에 관한 것이다. 또한, 본 발명은 이러한 기판 상에 자가-조립된 중합체 층의 형성, 및 그래포에피택시 템플릿이 제공된 이러한 기판 상에 증착 및 조립된 블록 공중합체(block copolymer)와 같은 자가-조립된 중합체의 레지스트 층을 이용하는 디바이스 리소그래피 방법에 관한 것이다.
디바이스 제조를 위한 리소그래피에서, 주어진 기판 영역의 피처들의 밀도를 증가시키기 위하여 리소그래피 패턴의 피처들의 크기를 감소시키려는 계속적인 요구가 존재한다. 나노-스케일에서 임계 치수(CD)를 갖는 더 작은 피처들의 패턴들은 디바이스 또는 회로 구조들의 더 큰 집중도(concentrations)를 허용하고, 전자 및 다른 디바이스들에 대한 제조 비용 그리고 크기 감소의 잠재적인 개선을 이끌어 낸다. 포토리소그래피에서, 더 작은 피처들에 대한 압박은 침지 리소그래피 및 극자외(EUV) 리소그래피와 같은 기술들의 개발을 유도하였다.
소위 임프린트 리소그래피는 일반적으로 기판 상으로 패턴을 전사하기 위해 [흔히, 임프린트 템플릿(imprint template)이라고도 하는] "스탬프(stamp)"의 사용을 수반한다. 임프린트 리소그래피의 장점은, 피처들의 분해능이 예를 들어 투영 시스템의 개구수 또는 방사선 소스의 방출 파장에 의해 제한되지 않는다는 점이다. 대신에, 분해능은 주로 임프린트 템플릿의 패턴 밀도에 제한된다.
포토리소그래피와 임프린트 리소그래피 둘 모두에 대하여, 표면, 예를 들어 임프린트 템플릿 또는 다른 기판에 고-분해 패터닝을 제공하는 것이 바람직하며, 이를 달성하기 위해 화학 레지스트들이 사용될 수 있다.
블록 공중합체(BCP)의 자가-조립의 사용은, 종래 기술의 리소그래피 방법들에 의해 얻을 수 있는 것보다 양호한 값들로 분해능을 개선하는 잠재적인 방법으로서 또는 임프린트 템플릿들의 준비(preparation)를 위한 전자 빔 리소그래피에 대한 대안으로서 고려되었다.
자가-조립가능한 블록 공중합체들은 수십 나노미터들 또는 심지어는 10 nm 미만의 치수를 갖는 질서있게 배열되고 화학적으로 구별되는 도메인들(ordered, chemically distinct domains)을 형성하도록 상이한 화학적 성질의 공중합체 블록들의 상 분리(phase separation)를 유도하는 특정 온도[질서-무질서 전이 온도(order-disorder transition temperature: T 0 D)] 아래로 냉각될 때 질서-무질서 전이를 겪을 수 있기 때문에, 자가-조립가능한 블록 공중합체들은 나노제작(nanofabrication)에 유용한 화합물들이다. 도메인들의 크기 및 형상은 공중합체의 상이한 블록 타입들의 조성 및 분자량을 조절함으로써 제어될 수 있다. 도메인들 간의 계면들은 1 내지 5 nm 치수의 폭을 가질 수 있으며, 공중합체들의 블록들의 화학적 조성들의 개질(modification)에 의해 조절될 수 있다.
자가-조립 템플릿들로서 블록 공중합체들의 얇은 막들을 이용하는 것에 대한 실현가능성은 Chaikin 및 Register 외, Science 276, 1401(1997)에 의해 입증되었다. 20 nm의 치수를 갖는 도트들 및 홀들의 조밀한 어레이들은 폴리(스티렌-블록-이소프렌)의 얇은 막으로부터 실리콘 질화물 기판들로 전이되었다.
블록 공중합체는 상이한 블록들을 포함하며, 그 각각은 중합체 사슬(polymer chain)을 따라 나란히(side-by side) 배치된 1 이상의 동일한 단량체들을 포함한다. 각각의 블록은 그 각각의 타입의 다수의 단량체들을 포함할 수 있다. 그러므로, 예를 들어 A-B 블록 공중합체는 A (또는 각각의) 블록에 복수의 타입 A 단량체들을 가질 수 있고, B (또는 각각의) 블록에 복수의 타입 B 단량체들을 가질 수 있다. 적합한 블록 공중합체의 일 예시로는, 예를 들어 폴리스티렌(PS) 단량체[소수성 블록(hydrophobic block)] 및 폴리메틸메타크릴레이트(PMMA) 단량체[친수성 블록(hydrophilic block)]의 공유 결합된 블록들(covalently linked blocks)을 갖는 중합체가 있다. 상이한 소수성(hydrophobicity)/친수성(hydrophilicity)의 블록들을 갖는 다른 블록 공중합체들이 유용할 수 있다. 예를 들어, 삼중-블록(tri-block) 공중합체(A-B-C)가 유용할 수 있으며, 교번하는 또는 주기적인 블록 공중합체(예를 들어, [-A-B-A-B-A-B-]n 또는 [-A-B-C-A-B-C]m, 여기서 n 및 m은 정수임)일 수도 있다. 블록들은 선형 또는 분지형 방식(branched fashion)(예를 들어, 별모양 또는 분지형 구성)으로 공유 결합에 의해 서로 연결된다.
블록 공중합체는, 블록들의 부피율(volume fractions), 각 블록 타입 내의 중합도(degree of polymerization)(즉, 각각의 블록 내의 각각의 타입의 단량체들의 수), 용매의 선택적 사용 및 표면 상호작용에 의존하여, 자가-조립 시 다수의 상이한 상들을 형성할 수 있다. 얇은 막에 적용될 때, 기하학적 한정(geometric confinement)은 상들의 수를 제한할 수 있는 추가 경계 조건들을 가질 수 있다. 일반적으로, 스피어형(spherical)[예를 들어, 큐빅(cubic)], 실린더형[예를 들어, 테트라고날(tetragoanl) 또는 헥사고날(hexagonal)] 및 라멜라형 상들(lamellar phases)[즉, 큐빅, 헥사고날 또는 라멜라형 공간-충전 대칭(space-filling symmetry)을 갖는 자가-조립된 상들]이 자가-조립된 블록 공중합체들의 얇은 막들에서 실제로 관찰되며, 관찰된 상 타입은 상이한 중합체 블록들의 상대 부피율에 따라 달라질 수 있다.
자가-조립가능한 중합체로서 사용하기에 적합한 블록 공중합체들은 폴리(스티렌-b-메틸메타크릴레이트), 폴리(스티렌-b-2-비닐피리돈), 폴리(스티렌-b-부타디엔), 폴리(스티렌-b-페로세닐디메틸실란), 폴리(스티렌-b-에틸렌옥사이드), 폴리(에틸렌옥사이드-b-이소프렌)을 포함한다(단, 이로 제한되지 않음). 기호 "b"는 "블록"을 나타낸다. 이들은 이중-블록(di-block) 공중합체 예시들이지만, 자가-조립은 삼중-블록, 사중-블록 또는 다른 다중-블록 공중합체를 채택할 수도 있음을 이해할 것이다.
자가-조립된 중합체 상들은 기판에 평행하거나 수직인 대칭 축들을 따라 방위 잡힐 수 있으며, 이들이 각각 라인 및 스페이서 패턴들 및 홀 어레이들을 형성할 수 있고, 도메인 타입들 중 하나가 후속하여 에칭될 때 양호한 콘트라스트를 제공할 수 있음에 따라, 라멜라형 및 실린더형 상들이 리소그래피 어플리케이션들에 인기가 있다.
표면 상으로 블록 공중합체와 같은 중합체의 자가-조립을 안내 또는 지향하기 위해 사용되는 두 가지 방법들은, 그래포에피택시 및 화학적 사전-패터닝(chemical pre-patterning)(또한, 화학적 에피택시라고도 함)이다. 그래포에피택시 방법에서, 블록 공중합체의 자가-조직화(self-organization)는 기판의 토폴로지 사전-패터닝(topological pre-patterning)에 의해 안내된다. 자가-정렬된 블록 공중합체는 패터닝된 기판에 의해 정의된 트렌치들에 상이한 중합체 블록 도메인들의 인접한 라인들을 갖는 평행한 선형 패턴들을 형성할 수 있다. 예를 들어, 블록 공중합체가 중합체 사슬 내에 A 및 B 블록들을 갖는 이중-블록 공중합체인 경우(여기서, 본질적으로 A는 친수성이고 B는 소수성임), 트렌치의 측벽이 본질적으로 친수성이라면, A 블록들은 트렌치의 측벽에 인접하여 형성된 도메인들 내에 조립될 수 있다. 기판의 사전-패턴들의 간격을 세분화하는 블록 공중합체 패턴에 의해 패터닝된 기판의 분해능에 걸쳐, 분해능이 개선될 수 있다.
화학적 사전-패터닝 방법(본 명세서에서 화학적 에피택시라고도 칭해짐)에서, 블록 공중합체 도메인들의 자가-조립은 기판의 화학적 패턴들(즉, 화학적 템플릿)에 의해 안내된다. 중합체 사슬 내의 공중합체 블록들의 타입들 중 적어도 하나와 화학적 패턴 간의 화학적 친화력(Chemical affinity)은 기판의 화학적 패턴의 대응하는 영역 상으로 도메인 타입들 중 하나의 정확한 배치[본 명세서에서 "피닝(pinning)"이라고도 칭해짐]를 유도할 수 있다. 예를 들어, 블록 공중합체가 A 및 B 블록들을 갖는 이중-블록 공중합체인 경우(여기서, 본질적으로 A는 친수성이고 B는 소수성이며, 화학적 패턴은 친수성 표면에 소수성 영역을 포함함), B 도메인은 소수성 영역 상에 우선적으로 조립될 수 있다. 정렬의 그래포에피택시 방법과 마찬가지로, 기판의 사전-패터닝된 피처들의 간격을 세분화하는 블록 공중합체 패턴에 의해 패터닝된 기판의 분해능에 걸쳐 분해능이 개선될 수 있다(소위, 밀도 증대). 화학적 사전-패터닝은 선형 사전-패턴으로 제한되지 않으며; 예를 들어, 사전-패턴은 실린더형 상-형성 블록 공중합체와 함께 사용하기 위한 패턴으로서 적합한 도트들의 2-D 어레이의 형태로 되어 있을 수 있다. 그래포에피택시 및 화학적 사전-패터닝은, 예를 들어 라멜라형 또는 실린더형 상들의 자기-조직화를 안내하기 위해 사용될 수 있으며, 상이한 도메인 타입들이 기판의 표면에 나란히 배치된다.
나노제작에서 블록 공중합체 자가-조립의 사용을 구현하기 위한 공정에서, 기판에 대하여 자가-조립 패턴의 바람직한 방위를 유도하기 위해, 화학적 사전-패턴 또는 그래포에피택시 템플릿의 일부분으로서, 중성 방위 제어 층(neutral orientation control layer)으로 기판이 개질될 수 있다. 자가-조립가능한 중합체 층들에 사용되는 몇몇 블록 공중합체들에 대하여, 방위를 유도할 수 있는 기판 표면과 블록들 중 하나 사이에 우선적인 상호작용이 존재할 수 있다. 예를 들어, 폴리스티렌(PS)-b-PMMA 블록 공중합체에 대하여, PMMA 블록은 우선적으로 산화물 표면을 습식화할(즉, 산화물 표면과 높은 화학적 친화력을 가질) 것이며, 이는 표면의 평면에 평행하게 방위 잡히게 놓일 자가-조립된 패턴을 유도하는데 사용될 수 있다. 예를 들어, 표면 상에 중성 방위 층을 증착하여 기판 표면을 두 블록들에 대해 중성화함으로써, 수직 방위가 유도될 수 있으며, 다시 말해 중성 방위 층이 각각의 블록에 대해 유사한 화학적 친화력을 가져, 두 블록들이 유사한 방식으로 표면에서 중성 방위를 습식화한다. "수직 방위"라는 것은, 각각의 블록의 도메인들이 기판 표면에 나란히 위치될 것이며, 상이한 블록들의 도메인 사이의 계면 영역들이 표면의 평면에 실질적으로 수직으로 놓임을 의미한다.
중성 표면은 화학적 에피택시 및 그래포에피택시에서 유용하다. 이는 에피택시 템플릿의 특정 방위 영역들 사이의 표면에 사용될 수 있다. 예를 들어, A 및 B 블록들을 갖는 이중-블록 공중합체를 정렬하기 위한 화학적 에피택시 템플릿에서(여기서, 본질적으로 A는 친수성이고 B는 소수성임), 화학적 패턴은 소수성 영역들 사이에 중성 방위 영역을 갖는 소수성 피닝 영역들(hydrophobic pinning regions)을 포함할 수 있다. B 도메인은 소수성 피닝 영역들 상에서 우선적으로 조립될 수 있으며, A 및 B 블록들의 수 개의 교번하는 도메인들은 화학적 사전-패턴의 특정 (피닝) 방위 영역들 사이의 중성 영역들에 걸쳐 정렬된다.
예를 들어, 이러한 이중-블록 공중합체를 정렬시키기 위한 그래포에피택시 템플릿에서, 패턴은 소수성 레지스트 피처들 사이에 중성 방위 영역을 갖는 소수성 레지스트 피처들을 포함할 수 있다. B 도메인은 소수성 레지스트 피처들 옆에 우선적으로 조립될 수 있으며, A 및 B 블록들의 수 개의 교번하는 도메인들은 그래포에피택시 템플릿의 특정 (피닝) 방위 레지스트 피처들 사이의 중성 영역들에 걸쳐 정렬된다.
중성 방위 층은, 예를 들어 기판 표면에서 산화물에 대한 하이드록실 말단기 또는 몇몇 다른 반응 말단기의 반응에 의해 기판에 공유 결합된 랜덤 공중합체 브러시들(random copolymer brushes)의 사용에 의해 생성될 수 있다. 중성 방위 층 형성을 위한 다른 구성들에서, 자가-조립가능한 중합체의 층과 기판 표면 사이의 중간 층으로서 작용함으로써 표면을 중성화하기 위해, 가교결합가능한 랜덤 공중합체(crosslinkable random copolymer) 또는 적절한 실란[즉, 치환된 반응 실란을 갖는 분자들, 예컨대 (트리)클로로실란 또는 (트리)메톡시실란(또한, 실릴로도 알려짐) 말단기]이 사용될 수 있다. 이러한 실란계 중성 방위 층은 통상적으로 단층(monolayer)으로서 존재하는 반면, 가교결합가능한 중합체는 통상적으로 단층으로서 존재하지 않을 것이며, 통상적으로 40 nm 또는 이하의 층 두께를 가질 수 있다. 자가-조립가능한 층의 블록 타입들 중 하나를 중성 방위 층 아래의 기판과 직접 접촉시키기 위해, 중성 방위 층에, 예를 들어 1 이상의 갭들이 제공될 수 있다. 이는 자가-조립가능한 중합체 층의 특정 블록 타입의 도메인을 기판에 고정(anchoring), 피닝 또는 정렬시키는데 유용할 수 있으며, 기판 표면은 특정 방위 피처로서 기능한다.
자가-조립가능한 중합체의 얇은 층은 기판에, 앞서 설명된 바와 같은 그래포에피택시 또는 화학적 에피택시 템플릿 상에 증착될 수 있다. 자가-조립가능한 중합체의 증착에 적합한 방법은 스핀-코팅이며, 이는 자가-조립가능한 중합체의 명확하게 정의된(well defined) 균일하고 얇은 층을 제공할 수 있기 때문이다. 증착된 자가-조립가능한 중합체 막에 대한 적합한 층 두께는 약 10 내지 100 nm이다. 블록 공중합체 막의 증착 후, 막은 여전히 무질서하게 배열될 수 있거나 부분적으로만 질서있게 배열될 수 있으며, 자가-조립을 촉진하고 및/또는 완성하기 위해 1 이상의 추가 단계들이 요구될 수 있다. 예를 들어, 자가-조립가능한 중합체는 용매의 용액으로서 증착될 수 있으며, 용매는 자가-조립 이전에, 예를 들어 증발에 의해 제거된다.
블록 공중합체의 자가-조립은 (블록 공중합체의) 다수의 작은 성분들의 조립이 더 크고 더 복잡한 구조체(자가-조립된 패턴의 나노미터 크기의 피처들, 이 명세서에서는 도메인들이라고도 함)의 형성을 유도하는 공정이다. 결함들은 중합체의 자가-조립을 제어하는 물리적 현상(physics)으로부터 자연적으로 발생한다. 자가-조립은, 고려중인 시스템에 대하여 플로리-허긴스 이론(Flory-Huggins theory)에 의해 설명되는 상 분리에 대한 구동력으로, A-B 블록 공중합체의 A/A, B/B 및 A/B(또는 B/A) 블록 쌍들 사이의 상호작용의 차이(즉, 상호적 화학적 친화력의 차이)에 의해 구동된다. 화학적 에피택시 또는 그래포에피택시의 사용은 결함 형성을 크게 감소시킬 수 있다.
자가-조립을 겪는 중합체에 대하여, 자가-조립가능한 중합체는 질서-무질서 온도(T 0 D)를 나타낼 것이다. T 0 D는 시차 주사 열량측정법(differential scanning calorimetry: DSC)과 같이 중합체의 질서/무질서 상태를 평가하기 위한 여하한의 적절한 기술에 의해 측정될 수 있다. 층 형성이 이 온도 아래에서 일어나는 경우, 분자들은 자가-조립으로 구동될 것이다. 온도(T 0 D) 이상에서, 무질서하게 배열된 층은 층 내의 이웃하는 A-A 및 B-B 블록 쌍들 간의 우호적인 상호작용들에 기인한 엔탈피 기여보다 큰(outweigh enthalpy contribution) 무질서하게 배열된 A/B 도메인들로부터의 엔트로피 기여(entropy contribution)로 형성될 것이다. 자가-조립가능한 중합체는 유리 전이 온도(glass transition temperature: Tg)를 나타낼 수 있으며, 이 온도 아래에서 중합체는 효율적으로 부동화되고(immobilized), 이 온도 이상에서 공중합체 분자들은 이웃하는 공중합체 분자들에 대해 층 내에서 여전히 재방위(reorient)될 수 있다. 유리 전이 온도는 시차 주사 열량측정법(DSC)에 의해 적절히 측정된다.
앞서 설명된 바와 같은 질서배열 동안 형성된 결함들은 어닐링에 의해 부분적으로 제거될 수 있다. [회전 대칭이 어긋난(violated), 예를 들어 디렉터(director)의 방위에 결함이 존재하는 라인 결함인] 회위(disclination)와 같은 결함은 부호가 반대인 다른 결함 또는 회위와 쌍을 이룸으로써 소멸(annihilate)될 수 있다. 자가-조립가능한 중합체의 사슬 이동도(Chain mobility)는 결함 이동(defect migration) 및 소멸을 결정하기 위한 중요한 인자일 수 있으며, 따라서 사슬 이동도는 높지만 자가-조립된 질서있게 배열된 패턴이 손상되지 않은 온도에서 어닐링이 수행될 수 있다. 이는 중합체에 대한 질서/무질서 온도(T 0 D)보다 몇 ℃ 이하로 높거나 낮은 온도를 의미한다.
질서배열 및 결함 소멸은 단일 어닐링 공정 내로 조합될 수 있거나, 리소그래피용 레지스트 층으로서 사용하기 위해 상이한 화학적 타입의 도메인들(상이한 블록 타입들의 도메인들)의 질서있게 배열된 패턴을 갖는 블록 공중합체와 같은 자가-조립된 중합체의 층을 제공하기 위해 복수의 공정들이 사용될 수 있다.
자가-조립된 중합체 층으로부터, 기판 상에 자가-조립된 중합체가 증착되는 기판 내로, 디바이스 구조(device architecture) 또는 토폴로지(topology)와 같은 패턴을 전사하기 위해, 통상적으로 제 1 도메인 타입은, 제 2 도메인 타입의 패턴 피처들 사이에 기판이 드러난(laid bare) 상태에서 기판의 표면에 제 2 도메인 타입의 패턴을 제공하도록, 소위 브레이크스루 에칭(breakthrough etching)에 의해 제거될 것이다.
브레이크스루 에칭에 후속하여, 제 2 도메인 타입에 의해 레지스팅되는(resisted) 에칭 수단을 이용하여 소위 전사 에칭(transfer etching)에 의해 패턴이 전사될 수 있으며, 따라서 표면이 드러난 기판 표면에 후퇴부들을 형성한다. 해당 기술 분야에 알려진 패턴을 전사하는 다른 방법들이 블록 공중합체의 자가-조립에 의해 형성되는 패턴에 적용가능할 수 있다.
PCT 특허 출원 공개공보 WO 2008/091714는 자가-조립 블록 공중합체들을 이용하여 2-차원의 정사각형 및 직사각형 어레이들로 된 서브리소그래피 나노스케일 마이크로구조체들(sublithographic nanoscale microstructures)을 제조하는 방법들을 개시한다. 개시된 그래포에피택시 피처들은, 트렌치들의 단부들이 정렬된 실질적으로 평행한 측벽들 사이에 형성된 복수의 단부-개방된 트렌치들(open-ended trenches)을 포함한다. 트렌치들의 측벽들 및 단부들은 중합체 도메인들 중 하나를 우선적으로 습식화하며, 플로어(floor)는 중성-습식 표면으로서 작용한다.
자가-조립된 블록 공중합체 시스템에서, 결함은 다양한 이유들로 존재할 것이다. 블록 공중합체로부터 자가-조립된 어레이를 형성하는 도메인들의 질서배열이 기판 표면의 상이한 핵형성 자리(nucleation sites)로부터 개시되는 경우, 결과적인 최종 조립의 구조의 불일치(mismatches)가 유도될 수 있다. 통상적인 결함들의 예는 조립된 패턴에서의 불연속부(discontinuity) 및/또는 의결정 구성(pseudo-crystalline arrangement)에서의 디스로케이션(dislocation) 또는 빠진 유닛(missing unit)[예를 들어, 헥사고날 상 패턴에서 빠진 실린더(missing cylinder)]을 포함한다. 결함 외에도, 높은 반복성 피처들의 완벽한 그리드로부터의 편차에 기인하는 배치 오차는, 특히, 예를 들어 기판에 접촉부들을 제공하는데 유용한 피처들의 2-D 어레이를 제공하기 위해 실린더형 상 또는 큐빅 상 또는 테트라고날 상과 같이 질서있게 배열된 상이 사용되는 상황들에 대해, 자가-조립된 블록 공중합체의 사용을 방해하는 장애요소이다.
기판에 2-차원(2-D) 어레이를 제공하는 자가-조립된 블록 공중합체에 대해, 통상적으로 연속한 제 2 도메인에 의해 서로 분리된 데카르트(Cartesian) y 축에 평행하게 연장된 불연속한 제 1 도메인들의 평행한 로우(row)들이 존재할 것이다. 통상적으로, 기판의 표면에 수직으로(즉, 데카르트 z 축을 따라) 주기성이 존재하지 않을 것이다. 평행한 로우들의 방위는, 기판 상에 데카르트 y 축을 정의하는 그래포에피택셜 측벽들 사이에 형성된 트렌치들의 측벽들 사이에서 달성될 수 있다. 하지만, y 축을 따른 불연속한 도메인들의 배치는 잘 제어될 수 없다. 실린더형 자가-정렬된 상에 대해, 불연속한 제 1 도메인들은 실린더들일 것이며, y 축에 평행한 인접한 로우들은 실린더들이 서로에 대해 오프셋(offset)되게 하여, 실린더들의 평행한 로우들이 y 축에 평행하게 정렬된 실린더들의 로우들에 대해 60°에 놓일 것이다.
하드 드라이브 및 집적 회로의 나노제작과 같은 다수의 적용들을 위해, 이러한 불연속한 도메인의 배치 오차는 (이를테면, 3 nm 또는 이하로) 작아야 한다. 가설적으로 완벽한 자가-조립된 2-D 어레이의 대응하는 완벽한 그리드-지점들로부터 실제 불연속한 도메인의 편차를 결정함으로써, 배치 오차가 정량화될 수 있다.
따라서, 자가-조립된 블록 공중합체의 불연속한 제 1 도메인들의 배치를 정확히 제어할 수 있는 방법을 제공하는 것이 바람직하다. 또한, y 축 방향을 따라 피치(pitch)의 작은 변동만을 유도하는, 다시 말해 불연속한 제 1 도메인들이 y 축 방향을 따라 정확히 고르게 이격되는 것을 보장하도록 돕는, 방법을 제공하는 것이 바람직하다.
예를 들어, 종래 기술의 1 이상의 문제들에 대처하거나 극복하는, 디바이스 리소그래피에 사용하기에 적합한 레지스트 층으로서 사용하기 위해 기판 표면에 2-D 어레이로 자가-조립하도록 구성된 블록 공중합체의 자가-정렬된 층을 안내하는데 사용하기 위해, 기판 표면에 그래포에피택시 템플릿을 제공하는 것이 바람직하다. 특히, 예를 들어 기판에 대해 이러한 2-D 어레이의 정확한 배치를 가능하게 하는 그래포에피택시 템플릿을 제공하는 것이 바람직하다.
적절할 때마다, "포함한다" 또는 "포함하는"이라는 용어의 사용은 "본질적으로 구성된다" 또는 "본질적으로 구성되는" 의미를 포함할 수도 있다.
본 발명의 일 실시형태에 따르면, 기판의 표면에 자가-조립된 블록 중합체를 정렬하기 위한, 기판 상의 그래포에피택시 템플릿이 제공되며, 블록 공중합체는, 데카르트 y 축에 평행하게 연장되고, 직교하는 데카르트 x 축을 따라 서로 이격되며, 연속한 제 2 도메인에 의해 분리되는 불연속한 제 1 도메인들의 평행한 로우들을 포함하는 2-D 어레이로 자가-조립하도록 구성되고, 상기 그래포에피택시 템플릿은:
실질적으로 평행한 제 1 및 제 2 측벽들을 포함하고, 상기 측벽들은 연속한 제 2 도메인에 의해 그로부터 분리된, 또한 상기 측벽들 사이에 또한 이에 대해 평행한 기판의 불연속한 제 1 도메인들의 적어도 하나의 로우를 유지하도록 구성된 격실(compartment)을 제공하도록 x 축을 따라 서로 이격되고, y 축을 정의하고 이에 평행하게 연장되며,
상기 격실은 상기 격실 내의 특정 위치에서 불연속한 제 1 도메인들 중 적어도 하나를 위치시키도록 구성된 그래포에피택셜 핵생성 피처(graphoepitaxial nucleation feature)를 포함한다.
이 실시형태는 기판의 그래포에피택시 템플릿과 관련된다. 템플릿은 기판의 표면에 자가-조립된 블록 중합체를 정렬하기 위한 것으로, 블록 공중합체는 데카르트 y 축에 평행하게 연장되고, 직교하는 데카르트 x 축을 따라 서로 이격되며, 연속한 제 2 도메인에 의해 분리되는 불연속한 제 1 도메인들의 평행한 로우들을 포함하는 2-D 어레이로 자가-조립하도록 구성된다. 불연속한 제 1 도메인들은 블록 공중합체의 중합체 블록들 중 하나를 포함할 것이며, 연속한 제 2 도메인은 다른 블록들 중 하나를 포함한다.
그래포에피택시 템플릿은 실질적으로 평행한 제 1 및 제 2 측벽들을 포함하고, 상기 측벽들은 연속한 제 2 도메인에 의해 그로부터 분리된, 또한 상기 측벽들 사이에 또한 이에 대해 평행한 기판의 불연속한 제 1 도메인들의 적어도 하나의 로우를 유지하도록 구성된 격실을 제공하도록 직교방향으로(즉, y 축에 대해 90°로) 설정된 x 축을 따라 서로 이격되고 데카르트 y 축을 정의하고 이에 대해 평행하게 연장된다.
예를 들어, 블록 공중합체가 기판의 표면에 얇은 층의 자가-조립 시 실린더형 상을 형성하도록 구성된 경우(이때, 실린더들은 기판의 표면에 수직인 긴 축들을 따라 놓임), 실린더들은 불연속한 제 1 도메인들일 것이며, 그들 사이에 연속한 제 2 도메인을 갖는다. 실린더들은 측벽들에 대해 평행한 로우 또는 로우들로 형성되도록 구성될 것이다.
통상적으로, 이격된 불연속한 제 1 도메인들의 로우들이 x 축을 따라 측정된 피치(Lx)를 갖고, 이격된 각각의 로우 내의 불연속한 도메인들이 y 축을 따라 측정된 피치(Ly)를 갖는, 자가-조립된 어레이가 배치될 수 있다. y 축에 대해 평행하게 놓인 불연속한 제 1 도메인들 중 적어도 하나의, 이를테면 1 개 내지 20 개, 예컨대 2 개 내지 10 개의 로우가 존재하도록, 평행한 측벽들이 적절히 이격될 수 있다. 적용가능한 간격은, 예를 들어 전자 현미경에 의해 측정될 수 있는 불연속한 제 1 도메인들 사이의 간격의 피치에 관한 지식으로부터 결정가능할 것이다. 하지만, 평행한 측벽들 사이의 간격은 정확히 n.Lx가 아닐 수 있으며, 여기서 n은 정수, 이를테면 블록 공중합체가 측벽들에 정확히 배치되는 방식에 따라 1에서 20 사이의 정수이다. 예를 들어, 요구되는 간격은 (n.Lx + 2.d)일 수 있으며, 여기서 d는 평행한 측벽들에서의 전이 영역(transitional region)의 두께를 나타낸다. d의 값은, 평행한 측벽들 사이의 간격이 체계적으로 변동됨에 따라, 평행한 측벽들 사이의 간격의 함수로서 측정된 피치를 플롯팅(plotting)함으로써 블록 공중합체 및 측벽들의 어느 특정한 구성에 대해 측정될 수 있다. 예를 들어, 몇몇 블록 공중합체에 대해, d의 값은 약 5 nm일 수 있다. 또한, 이러한 전이 영역은 "데드 존(dead zone)"이라는 용어를 이용하여 언급될 수도 있다. 본 발명의 일 실시예에 따른 그래포에피택시 템플릿의 치수를 디자인할 때, 이러한 1 이상의 전이 영역들 또는 "데드 존들"이 적절히 고려되어야 한다.
평행한 측벽들에 의해 정의된 격실은 상기 격실 내의 특정 위치에서 불연속한 제 1 도메인들 중 적어도 하나를 위치시키도록 구성된 그래포에피택셜 핵생성 피처를 포함한다. 다시 말해, 측벽들에 평행하게 위치된 불연속한 도메인들의 로우의 일부분인 것 외에도, 불연속한 제 1 도메인들 중 적어도 하나는 그래포에피택셜 핵생성 피처에 의해 결정된 위치에서 y 축을 따라 위치적으로 배치된다.
"실질적으로 평행"이라는 것은, 격실의 그래포에피택셜 핵생성 피처의 존재에 의해 유도될 수 있는 바와 같이, 측벽들이 약간의 편차를 제외하고 그들의 길이를 따라 평행하다는 것을 의미한다.
통상적으로, 그래포에피택시 템플릿의 측벽들은, 측벽들이 불연속한 제 1 도메인들에 대해 높은 화학적 친화력을 갖고, 조립된 블록 공중합체의 연속한 제 2 도메인의 낮은 화학적 친화력을 갖도록 되어 있을 것이다. 하지만, 상황이 바뀔 수도 있으며, 이때 측벽들은 불연속한 제 1 도메인들에 대해 낮은 화학적 친화력을 갖고, 연속한 제 2 도메인에 대해 높은 화학적 친화력을 갖는다. 측벽들 사이의 간격은, 자가-조립된 블록 공중합체가 과도한 변형(undue strain) 없이 벽들 내에 평형 상태를 취할 수 있도록 되어 있을 것이다. 따라서, 불연속한 제 1 도메인들이 PMMA로 구성되어 있는 경우, 측벽들의 재료는 PMMA에 대해 높은 화학적 친화력을 갖는 재료로 구성되도록 선택될 수 있으며, 예를 들어 이는 수소 실세스퀴옥산(hydrogen silsesquioxane)과 같은 PMMA에 의해 습식화될 것이다.
기판의 표면에 수직인 긴 축들을 따라 정렬되도록 불연속한 제 1 도메인들을 촉진하기 위해, 기판의 표면은 불연속한 제 1 도메인들 및 연속한 제 2 도메인 둘 모두에 대해 중성의 화학적 친화력을 적절히 가질 수 있다.
적절한 구성에서, 그래포에피택셜 핵생성 피처는 제 1 측벽 및/또는 제 2 측벽 내의 알코브(alcove)일 수 있다. 알코브는 그 안에 적어도 하나의 불연속한 제 1 도메인을 유지하도록 형상화될 수 있다.
또 다른 적절한 구성에서, 그래포애피택셜 핵생성 피처는 제 1 측벽으로부터 격실 내로 연장되는 버트레스(buttress)일 수 있다. 버트레스는 측벽으로부터 버트레스가 격실 내로 연장되는 측벽과 유사한 두께로 구성될 수 있으며, 측벽과 연속적으로 형성될 수 있다. 버트레스는 격실에 걸쳐 파티션 벽(partition wall)을 제공하도록 제 2 측벽을 향해 연장될 수 있다.
예를 들어, 측벽들 사이에 복수의 하위-격실(sub-compartments)을 제공하기 위해, 복수의 파티션 벽들이 평행한 측벽들 사이에 제공될 수 있다. 이들은 폐쇄된 하위-격실의 형태로 되어 있을 수 있다. 예를 들어, 격실의 각 단부를 막는(closing off) 단부 벽들로서 작용하기 위해 평행한 측벽들의 각 단부에 파티션 벽이 존재할 수 있다.
버트레스 또는 버트레스들은 버트레스와 제 2 측벽 사이의 갭을 제외하고 격실에 걸쳐 연장되는 파티션 벽을 제공하기 위해 제 2 측벽을 향해 격실에 걸쳐 연장될 수 있다. 대안적으로, 버트레스는 그 안에 갭이 제공될 수 있다. 이는 작은 갭들을 제외하고 거의 막힌 격실을 제공하는 버트레스들을 유도할 수 있다. 이러한 작은 갭들의 폭은 불연속한 제 1 도메인들 사이의 간격의 치수 또는 그 이하로 구성될 수 있다. 예를 들어, 인접해 있는 불연속한 제 1 도메인들 사이의 간격이 이를테면 20 내지 60 nm 사이인 2-D 어레이들로, 갭들은 이를테면 5 내지 50 nm, 예컨대 약 10 nm의 크기를 가질 수 있다.
적절하게, 버트레스는 2-D 어레이와 맞물리도록 형상될 수 있으며, 이에 의해 버트레스는 2-D 어레이의 1 이상의 불연속한 제 1 도메인들을 대체(즉, 대신한다).
버트레스는 벽에 대해 평행하게 놓이거나 특정 각도로 벽과 만나는, 예를 들어 측벽과 유사한 폭의 벽의 직선 구간의 형태로 구성될 수 있다. 버트레스는 갈매기 형상(chevron shape)으로 형성될 수 있다.
버트레스는 제 1 측벽과 버트레스의 직선 구간 사이에 약 60°, 120°, 또는 90°의 각도가 형성되도록 이것이 제 1 측벽과 인접하는 벽의 직선 구간을 포함할 수 있다. 이 각도는 데카르트 y 축에 대해 평행하지 않은 2-D 어레이의 불연속한 제 1 도메인들의 로우들의 정렬을 매치하도록 선택될 수 있다.
버트레스는 본 명세서에 설명된 바와 같이 1 이상의 추가 그래포에피택셜 핵생성 피처들을 포함할 수 있으며, 따라서 예를 들어 알코브(들), 갭(들), 및/또는 추가 버트레스들을 포함할 수 있거나, 각도설정된 버트레스(angled buttress)를 형성하도록 구성된 2 이상의 구간들을 포함할 수 있다.
적절한 구성에서, 제 1 측벽은 x 축을 따라 서로에 대해 오프셋된 제 1 및 제 2 평행한 측벽 부분들을 포함할 수 있으며, 그래포에피택셜 핵생성 피처는 제 1 및 제 2 부분들 사이의 불연속부이다. 오프셋 거리는 측벽의 폭보다 작을 수 있어, 측벽은 연속한 측벽으로서 유지될 수 있다.
적절하게, 제 1 및 제 2 평행한 측벽 부분들은 x 축을 따라 측정된 N.Lx에 의해 오프셋될 수 있으며, 여기서 N은 정수이고, Lx는 x 축을 따라 측정된 2-D 어레이의 평행한 로우들의 피치(즉, 중심 축들 사이의 간격)이다. N은 통상적으로 1, 2, 3, 4 또는 5일 것이다. 평행한 측벽들의 상호 간격을 배열하는데 있어서 이전에 언급된 바와 같은 여하한의 전이 영역 또는 "데드 존"이 고려될 것이며, 따라서 본 발명의 일 실시예에 따른 이 구성에서 오프셋을 고려할 때 다시 고려될 필요가 없음을 유의해야 한다.
추가적으로 또는 대안적으로, 제 2 측벽은 제 1 측벽에 대해 동일한, 서로에 대해 오프셋된 제 1 및 제 2 측벽 부분들을 포함한다.
적절한 구성에서, 그래포에피택셜 핵생성 피처는 기판의 표면으로부터 위쪽으로 연장되고 측벽들로부터 이격된 격실 내의 필러(pillar)일 수 있다. 이 필러는 측벽들과 유사한 두께로 구성될 수 있고, 동일한 재료로 구성될 수 있다.
필러는 자가-조립된 중합체의 2-D 어레이와 맞물리도록 적절히 형상화되고 위치되어, 버트레스가 2-D 어레이의 1 이상의 불연속한 제 1 도메인들을 대신한다.
또한, 필러는 1 이상의 추가 그래포에피택셜 핵생성 피처들을 포함할 수 있으며, 따라서 예를 들어 1 이상의 갭들, 알코브들, 및/또는 추가 버트레스들을 포함할 수 있다.
그래포에피택시 템플릿은 레지스트 층으로부터 적절히 형성되며, 레지스트 층의 일부분들이 에칭되어, 남겨진 피처들이 그래포에피택시 템플릿을 형성하게 된다. 그래포에피택시 템플릿은 수소 실세스퀴옥산으로 적절히 구성될 수 있다. 여하한의 버트레스들 및/또는 필러들은 유사한 방식으로 적절히 형성된 템플릿의 측벽들과 동일한 재료로 구성될 수 있다.
본 발명의 일 실시형태에 따르면, 기판의 표면에 자가-조립가능한 블록 공중합체를 증착하기 위해 상기 기판의 표면을 제조하는(preparing) 방법이 제공되며, 상기 방법은 상기 기판의 표면에 본 명세서에 설명된 바와 같은 그래포에피택시 템플릿을 형성하는 단계를 포함한다.
이 실시형태는 기판의 표면에 자가-조립가능한 블록 공중합체를 증착하기 위해 상기 기판의 표면을 제조하는 방법을 제공한다. 상기 방법은 상기 기판의 표면에 본 명세서에 설명된 바와 같은 그래포에피택시 템플릿을 형성하는 단계를 포함한다. 상기 그래포에피택시 템플릿은 평행한 측벽들의 인접한 쌍들 사이에 격실들을 정의하는 복수의 측벽들을 포함할 수 있으며, 이에 따라 형성된 격실들은 본 명세서에 설명된 바와 같은 1 이상의 그래포에피택셜 핵생성 피처들을 포함한다.
상기 측벽들, 및 그래포에피택시 템플릿의 여하한의 버트레스들 및/또는 필러들은:
표면에 레지스트 층을 적용하고,
레지스트 층의 노광된 및 노광되지 않은 영역들을 제공하기 위해 화학 방사선(actinic radiation)에 레지스트 층을 선택적으로 노광시키며,
표면 상에 남겨진 레지스트 영역의 레지스트 피처들을 갖는 표면을 제공하기 위해 디벨로퍼(developer)로 노광된 영역 또는 노광되지 않은 레지스트 영역을 제거함에 의하여,
기판에 적절히 제공될 수 있으며,
레지스트 피처들은 측벽들 및 그래포에피택시 템플릿의 여하한의 버트레스들 및/또는 필러들을 형성한다.
본 발명의 일 실시형태에 따르면, 측벽들, 및 그래포에피택시 템플릿의 여하한의 버트레스들은:
표면에 레지스트 층을 적용하고,
레지스트 층의 노광된 제 1 영역들을 제공하도록 화학 방사선에 레지스트 층의 제 1 선택적 노광을 수행하며,
레지스트 층의 노광된 제 2 영역들을 제공하도록 화학 방사선에 레지스트 층의 제 2 선택적 노광을 수행하고 - 상기 노광된 제 2 영역들은 상기 노광된 제 1 영역들과 부분적으로 오버랩되고, 레지스트 층의 영역들은 제 1 및 제 2 선택적 노광들 둘 모두에서 노광되지 않은 채로 유지됨 -, 및
표면 상에 남겨진 노광된 레지스트 영역의 레지스트 피처들을 갖는 표면을 제공하기 위해 디벨로퍼로 노광되지 않은 레지스트 영역을 제거함에 의하여,
기판에 적절히 제공될 수 있으며,
레지스트 피처들은 측벽들 및 그래포에피택시 템플릿의 여하한의 버트레스들을 형성한다.
버트레스들은 제 1 및 제 2 선택적 노광들 중 하나에서만 노광된 레지스트 층의 노광된 영역들에 의해 형성될 수 있다.
본 발명의 일 실시형태에 따르면, 기판의 표면에 자가-조립된 블록 중합체를 정렬하는 방법이 제공되고, 블록 공중합체는, 데카르트 y 축에 평행하게 연장되고, 직교하는 데카르트 x 축을 따라 서로 이격되며, 연속한 제 2 도메인에 의해 분리되는 불연속한 제 1 도메인들의 평행한 로우들을 포함하는 2-D 어레이로 자가-조립하도록 구성되고, 상기 방법은:
상기 기판의 표면에, 본 명세서에 설명된 바와 같은 그래포에피택시 템플릿을 제공하는 단계;
상기 그래포에피택시 템플릿의 격실 내에 자가-조립가능한 블록 중합체 조성물을 증착하는 단계; 및
상기 격실에 자가-조립된 블록 공중합체의 2-D 어레이로 자가-조립을 제공하도록 자가-조립가능한 중합체 조성물을 처리하는 단계를 포함한다.
이 실시형태는 기판의 표면에 자가-조립된 블록 중합체를 정렬하는 방법을 제공한다. 블록 공중합체는, 데카르트 y 축에 평행하게 연장되고, 직교하는 데카르트 x 축을 따라 서로 이격되며, 연속한 제 2 도메인에 의해 분리되는 불연속한 제 1 도메인들의 평행한 로우들을 포함하는 2-D 어레이로 자가-조립하도록 구성된 공중합체이다. 예를 들어, 블록 공중합체는 실린더형 2-D 어레이로 자가-조립하도록 구성될 수 있다. 상기 방법은:
상기 기판의 표면에, 본 명세서에 설명된 바와 같은 그래포에피택시 템플릿을 제공하는 단계,
상기 그래포에피택시 템플릿의 격실 내에 자가-조립가능한 블록 중합체 조성물을 증착하는 단계, 및
상기 격실에 자가-조립된 블록 공중합체의 2-D 어레이로 자가-조립을 제공하도록 자가-조립가능한 중합체 조성물을 처리하는 단계를 포함한다.
블록 공중합체의 자가-조립을 제공하기 위한 처리는 어닐링, 냉각, 증발에 의한 용매의 제거(loss) 등을 수반할 수 있다. 자가-조립가능한 중합체는 통상적으로 무질서하게 배열된 상태에서 용해물(melt) 또는 용액으로서 격실 내로 증착될 것이다. 이는, 예를 들어 스핀 코팅 등과 같은 공정에 의해 달성될 수 있다.
본 발명의 일 실시형태에 따르면, 레지스트 에칭에 의해 기판의 표면을 패터닝하는 리소그래피 방법이 제공되며, 상기 방법은 본 명세서에 설명된 방법에 의해 표면에서 자가-조립된 블록 공중합체 층을 제공하는 단계를 포함하고, 상기 자가-조립된 블록 공중합체 층은 레지스트 층으로서 사용된다.
이 실시형태는 레지스트 에칭에 의해 기판의 표면을 패터닝하는 리소그래피 방법을 제공한다. 상기 방법은 명세서에 설명된 방법에 의해 표면에서 자가-조립된 블록 공중합체 층을 제공하는 단계를 포함하고, 상기 자가-조립된 블록 공중합체 층은 레지스트 층으로서 사용된다. 예를 들어, 2-D 어레이의 제 1 또는 제 2 도메인들 중 하나를 제거하기 위해 에칭이 사용될 수 있다. 통상적으로, 불연속한 도메인들은 그들의 제거 및 기판의 표면에 증착된 전도체에 의한 후속적인 대체에 의해 전도성 접촉부들을 제공하기 위해 사용될 수 있다.
본 발명의 일 실시형태에 따르면, 기판의 표면에서 디바이스 토폴로지를 형성하는 방법이 제공되며, 상기 방법은 디바이스 토폴로지를 제공하기 위해 기판을 수정할 때 레지스트 층으로서 본 명세서에 설명된 방법에 의해 형성된 자가-조립된 중합체 층을 이용하는 단계를 포함한다.
이 실시형태는 기판의 표면에서 디바이스 토폴로지를 형성하는 방법을 제공한다. 본 명세서에 설명된 방법에 의해 형성된 자가-조립된 중합체 층은 디바이스 토폴로지를 제공하기 위해 기판을 수정할 때 레지스트 층으로서 사용된다. 기판의 수정은, 예를 들어 기판의 에칭, 또는 자가-조립된 중합체 층을 이용하는 기판 상으로의 재료의 증착을 수반하며, 제 1 또는 제 2 도메인들 중 하나는 마스크로서 제거된다.
다음의 특징들은, 적용가능하다면, 본 발명의 다양한 실시형태들에 모두 적용가능하다. 적절하다면, 예를 들어 청구항들에 설명된 바와 같이, 본 명세서의 방법들, 장치들 및 조성들의 일부분으로서 다음의 특징들의 조합들이 이용될 수 있다. 본 명세서의 방법들 및 그래포에피택시 템플릿들은 디바이스 리소그래피에 사용하기에 적합하다. 예를 들어, 본 명세서에 설명된 상기 방법들 및 그래포에피택시 템플릿들은 디바이스 기판을 직접 패터닝하는데 사용하기 위해 또는 임프린트 리소그래피에서 사용되는 임프린트 템플릿을 패터닝하는데 사용하기 위해 자가-조립된 중합체의 레지스트 층의 형성 또는 처리에 사용될 수 있다.
자가-조립가능한 중합체는 제 1 및 제 2 도메인 타입들에 연계된 상이한 블록 타입들을 갖는 질서있게 배열된 중합체 층 내에 자가-조립가능한 적어도 2 개의 상이한 블록 타입들을 포함하는 이전에 설명된 바와 같은 블록 공중합체일 수 있다. 블록 공중합체는 이중-블록 공중합체 또는 삼중-블록 또는 다중-블록 공중합체일 수 있다. 교번하는 또는 주기적인 블록 공중합체들이 자가-조립가능한 중합체로서 사용될 수도 있다. 또한, 다음의 실시형태들 및 예시들 중 몇몇에서는 2 개의 도메인 타입들만이 언급될 수 있지만, 본 발명의 실시예는 3 개 이상의 상이한 도메인 타입들을 갖는 자가-조립가능한 중합체에도 적용가능하다.
일 실시예에서, 자가-조립가능한 중합체는 제 1 단량체의 1 이상의 제 1 블록들 그리고 제 2 단량체의 1 이상의 제 2 블록들을 포함하는 블록 공중합체이다. 유용한 중합체는 PS(폴리스티렌)/PMMA(폴리메틸메타크릴레이트)의 이중-블록 공중합체이며, 이는 PS/PMMA의 블록들의 상대 부피 비(ratio of relative volumes)의 선택에 의해, 기판 표면에 자가-조립될 때 2-D 실린더형 어레이로 자가-조립하도록 구성된다.
본 명세서에서 화학적 친화력이라는 것은, 2 개의 상이한 화학 종들(chemical species)이 서로 연계되는 성향을 의미한다. 예를 들어, 본질적으로 친수성인 화학 종들은 물에 대해 높은 화학적 친화력을 가지는 반면, 소수성 화합물은 물에 대해 낮은 화학적 친화력을 갖지만, 예를 들어 알칸에 대해서는 높은 화학적 친화력을 갖는다. 본질적으로 극성이 있는 화학 종들은 다른 극성 화합물들 및 물에 대해 높은 화학적 친화력을 갖는 반면, 무극성(apolar), 비-극성 또는 소수성 화합물들은 물 및 극성 종들에 대해 낮은 화학적 친화력을 갖지만, 알칸 등과 같은 다른 비-극성 종들에 대해서는 높은 화학적 친화력을 나타낼 수 있다. 화학적 친화력은 2 개의 화학 종들 사이의 계면과 연계된 자유 에너지와 관련이 있다: 계면 자유 에너지가 높은 경우, 2 개의 종들은 서로에 대해 낮은 화학적 친화력을 갖는 반면, 계면 자유 에너지가 낮은 경우, 2 개의 종들은 서로에 대해 높은 화학적 친화력을 갖는다. 또한, 화학적 친화력은 "습식화"라는 용어로도 표현될 수 있으며, 액체 및 표면이 서로에 대해 높은 화학적 친화력을 갖는 경우 액체는 고체 표면을 습식화할 것인 반면, 낮은 화학적 친화력이 존재한다면 액체는 표면을 습식화하지 못할 것이다.
이 명세서에서, 피처의 두께에 대한 참조가 이루어질 때, 두께는 피처의 중심을 통과하고 기판 표면에 수직인 축을 따라 적절한 수단에 의해 적절히 측정된다. 두께는 간섭계(interferometry)와 같은 기술에 의해 적절히 측정될 수 있거나, 에칭률에 관한 지식을 통해 평가될 수 있다.
이 명세서에서 "층"에 대해 언급된 곳 어디에서도, 언급된 층은, 존재한다면, 실질적으로 균일한 두께의 층인 것으로 고려되어야 한다. "실질적으로 균일한 두께"라는 것은, 두께가 층에 걸쳐 그 평균값의 10 % 이상, 또는 5 % 이상 변동하지 않음을 의미한다.
본 발명의 특정 실시예들은 첨부한 도면들을 참조하여 설명될 것이다.
도 1a 내지 도 1c는 그래포에피택시에 의한 기판 상으로의 A-B 블록 공중합체들의 지시된 자가-조립 그리고 하나의 도메인의 선택적인 에칭에 의한 릴리프 패턴들(relief patterns)의 형성을 개략적으로 나타낸 도면;
도 2a 내지 도 2c는 화학적 사전-패터닝에 의한 기판 상으로의 A-B 블록 공중합체들의 지시된 자가-조립 그리고 하나의 도메인의 선택적인 에칭에 의한 릴리프 패턴들의 형성을 개략적으로 나타낸 도면;
도 3a 내지 도 3e는 폴리스티렌 및 PMMA 블록들의 상대 부피율(relative volume fractions)이 서로에 대해 변동됨에 따른 폴리(스티렌-b-메틸메타크릴레이트) 중합체에 의해 형성된 상이한 상들을 개략적으로 나타낸 도면;
도 4a 및 도 4b는 그래포에피택시 템플릿의 측벽들 사이의 2-D 어레이로서 실린더형 상을 형성하는 블록 공중합체를 개략적으로 나타낸 도면;
도 5는 본 발명의 일 실시예에 따른 그래포에피택시 템플릿의 일 실시예에 따른 인접해 있는 평행한 측벽들 사이에 형성된 복수의 격실들의 평면도;
도 6a 및 도 6b는 각각 본 발명의 일 실시예에 따른 그래포에피택시 템플릿의 인접해 있는 평행한 측벽들 사이에 형성된 격실들을 나타낸 도면; 및
도 7, 도 8, 도 9, 도 10, 도 11, 도 12, 도 13 및 도 14는 각각 본 발명의 일 실시예에 따른 그래포에피택시 템플릿의 평면도; 및
도 15는 이중 노광 포토리소그래피(dual exposure photolithography)의 사용에 의해 본 발명의 실시예들에 따른 그래포에피택시 템플릿을 형성하는 단계들을, 컬럼들 (A), (B) 및 (C), 로우들 (a) 내지 (c)로 나타내며, 결과적인 템플릿으로의 자가-조립은 로우 (d)에 개략적으로 도시된다.
도 5 그리고 도 7 내지 도 13에는, 2-D 어레이가 도시되어 있지 않고 - 그래포에피택시 템플릿만이 나타나 있다. 도 6, 도 14 및 도 15 로우 (d)에는, 격실들 내의 불연속한 제 1 도메인들의 정렬된 로우들 중 적어도 일부를 나타냄으로써, 2-D 어레이가 나타나 있다.
도 1a는 1 이상의 측벽들(3) 및 저부면(4)에 의해 한정된 기판 내에 형성된 트렌치(2)를 갖는 기판(1)을 도시한다. 도 1b에서, 블록 공중합체의 증착 시 별개의 마이크로-분리된 주기성 도메인들로 분리된 라멜라형 상으로서 증착시킨 A 및 B 도메인들의 교번하는 스트라이프들(alternating stripes)을 갖는 층(5)을 형성하기 위해, 친액성(예를 들어, 친수성) A 블록들 및 소액성(예를 들어, 소수성) B 블록들을 갖는 자가-조립가능한 A-B 블록 공중합체가 트렌치 내에 증착되었다. 이는 그래포에피택시라고도 칭해진다. 타입 A 도메인들은 측벽(3)에 인접하게 핵생성하였으며, 이 또한 친액성(예를 들어, 친수성)이다. 도 1c에서, 타입 A 도메인들은 트렌치 내에 릴리프 패턴을 형성하기 위해 타입 B 도메인들을 남기는 선택적 화학 에칭에 의해 제거되었으며, 여기서 이들은 예를 들어 추가 화학적 에칭에 의해 저부면(4)의 후속 패터닝을 위한 템플릿으로서 역할할 수 있다. 예를 들어, 공중합체의 블록들 사이의 결합제(linking agent)의 선택적인 광퇴화(photo-degradation) 또는 광분할(photo-cleavage) 그리고 블록들 중 하나의 후속적인 가용화(solubilization)에 의해 선택적인 제거가 달성될 수 있다. 각각의 측벽에 마주하여 타입 A 도메인이 위치함으로써 도메인들의 다수의 교번하는 스트라이프들이 트렌치 안으로 맞춰질(fit) 수 있도록, 트렌치(4)의 폭 그리고 자가-조립된 중합체 구조체(5)의 피치 또는 파장이 구성된다.
도 2a는 중합체의 타입 A 블록들에 대해 더 높은 화학적 친화력을 갖는 영역들을 제공하기 위해 표면(13)에 화학적으로 형성된 피닝 스트라이프들(pinning stripes: 11)의 형태로 화학적 패턴을 갖는 기판(10)을 나타낸다. 도 2b에서, 블록 공중합체의 증착 시 별개의 마이크로-분리된 주기성 도메인들로 분리된 상을 갖는 A 및 B 도메인들의 교번하는 스트라이프들을 갖는 라멜라형 상 층(lamellar phase layer: 12)을 형성하기 위해, 친액성(예를 들어, 친수성) A 블록들 및 소액성(예를 들어, 소수성) B 블록들을 갖는 자가-조립가능한 A-B 블록 공중합체가 기판(10)의 표면(13) 상으로 증착되었다. 이는 화학적 사전-패터닝이라고도 칭해진다. 타입 A 도메인들은 피닝 스트라이프들(11) 위에 핵생성하였으며, 이 또한 친액성(예를 들어, 친수성)이다. 도 2c에서, 타입 A 도메인들은 표면(13)에 릴리프 패턴을 형성하기 위해 타입 B 도메인들을 남기는 선택적 화학 에칭에 의해 제거되었으며, 여기서 이들은 예를 들어 추가 화학적 에칭에 의해 표면(13)의 후속 패터닝을 위한 템플릿으로서 역할할 수 있다. 각각의 피닝 스트라이프(11) 위에 타입 A 도메인이 위치함으로써 도메인들의 다수의 교번하는 스트라이프들이 피닝 스트라이프들(11) 사이에 맞춰질 수 있도록, 피닝 스트라이프들(11)의 간격 그리고 자가-조립된 중합체 구조체(12)의 피치 또는 파장이 구성된다.
다음에서, 자가-조립가능한 중합체로서 사용되는 이중-블록 공중합체는 폴리(스티렌-b-메틸메타크릴레이트) 블록 공중합체이다.
도 3에서, 도 3a 내지 도 3e는 기판 상의 얇은 막들의 자가-조립된 폴리(스티렌-b-메틸메타크릴레이트) 블록 공중합체에 의해 형성된 상이한 상들의 진행(progression)을 나타낸다. 도 3a에는, 80:20의 비율 PSPMMA에 대해 PS의 연속한 도메인(31) 내에 PMMA의 스피어들(spheres: 30)인 불연속한 도메인들을 갖는 큐빅 상이 도시되어 있다.
비율 PSPMMA가 70:30으로 감소함에 따라, PS의 연속한 도메인(33) 및 PMMA의 실린더들(32)인 불연속한 도메인들을 갖는 실린더형 상이 형성된다. 50:50 비율에서는, 도 3c에 도시된 바와 같이, PMMA의 1 이상의 라멜라들(34) 및 PS의 1 이상의 라멜라들(35)을 갖는 라멜라형 상이 형성된다. 30:70 PSPMMA의 비율을 가지면, 도 3d에 도시된 바와 같이, PMMS의 연속한 도메인(36) 및 PS의 실린더들(37)인 불연속한 도메인들을 갖는 반전된(inverted) 실린더형 상이 형성된다. 20:80의 비율에서는, 도 3e에 도시된 바와 같이, PMMA의 연속한 도메인(38) 내에 PS의 스피어들(39)인 불연속한 도메인들을 갖는 반전된 큐빅 상이 형성된다.
도 4a는 PSPMMA의 부피율 70:30을 갖는 폴리(스티렌-b-메틸메타크릴레이트) 블록 공중합체를 나타낸다. 중합체 구간(46)은 폴리스티렌을 나타내고, 중합체 구간(45)은 PMMA를 나타낸다. 도 4b에서, 이 중합체는 기판(41) 상의 그래포에피택시 템플릿의 측벽들(42, 43) 사이에 2-D 어레이로 자가-조립된 것으로 도시되어 있으며, PMMA(45)는 불연속한 실린더형 도메인들을 형성하고, 폴리스티렌(46)은 실린더들을 둘러싸는 연속한 도메인들을 형성한다. 이 경우, 그래포에피택시 템플릿의 측벽들은 PMMA(45)에 대해 높은 화학적 친화력을 가지며, 이는 PMMA 실린더들(45)이 폴리스티렌(46)의 연속한 제 2 상의 영역들에 의해 측벽들로부터 이격된 로우들에 있는 이러한 구성을 유도한다.
다음의 도면들에 대해, 본 발명의 일 실시예를 제시하는데 사용된 블록 공중합체는 2-D 헥사고날 실린더형 어레이로 자가-조립하도록 구성된 70:30 PS:PMMA 중합체이며, 불연속한 제 1 도메인은 y 축에 대해 평행한 로우들에 놓이며, y 축에 대해 60°(및 120°)로 정렬된 서로 평행한 추가 로우들을 형성한다. 이 특정한 구성은 본 발명의 일 실시예를 제시하는데 사용되었지만, 본 발명은 다른 2-D 어레이들과 함께 사용하기 위해 용이하게 구성될 수 있다.
도 5는 측벽들 사이에 격실(55)을 형성하는 다수의 평행한 측벽들(50)을 갖는 본 발명의 일 실시예에 따른 그래포에피택시 템플릿을 나타낸다. 측벽들의 각 쌍 사이에, 측벽들의 각 쌍의 각각의 제 1 측벽에 대해 60°의 각도로 설정된 단부벽(54)이 존재한다. 예를 들어, 레지스트의 추가 라인(53)은 측벽들의 각 쌍 사이에 파티션 벽을 제공한다. 이 라인(53) 또한 제 1 측벽들에 대해 60°의 각도로 설정되고, 그래포에피택시 템플릿 내에 폐쇄된 격실들(51)의 세트 및 단부-개방된 격실들(52)의 세트를 제공함을 알 수 있으며, 상기 벽들은 2-D 헥사고날 어레이의 정렬에 적절히 놓인다.
격실의 각 타입에 대해, 측벽들과 단부벽들 사이에 또는 측벽들과 파티션 벽들 사이에 형성된 격실들의 코너들은 자가-조립된 블록 공중합체의 2-D 어레이의 불연속한 제 1 도메인들을 위치시키기 위한 위치 지점을 제공하는 그래포에피택셜 핵생성 피처로서 작용한다. 측벽들 사이 그리고 단부벽과 파티션 벽 사이의 간격은 자가-조립된 블록 공중합체의 질서있게 배열된 2-D 어레이가 변형 없이 격실들 내에 맞춰질 수 있게 한다. 이론에 의해 한정되기를 바라지 않으며, 단부-개방된 격실들(52)이 변형의 완화를 허용할 수 있는 한편, 격실의 폐쇄된 단부들에서 코너들로부터 핵생성이 여전히 유효할 수 있으며, 이는 신속한 어닐링을 촉진시킬 수 있다고 믿는다.
도 6a에는, 평행한 4 개의 측벽들(601, 602, 603, 604 )이 3 개의 격실들을 형성하는 것으로 도시되어 있으며, 각 쌍의 인접한 측벽들 사이에 하나의 격실이 존재한다. 자가-조립된 2-D 중합체가 각각의 격실 내에 나타나 있으며, 각 격실 내의 불연속한 도메인들(611)의 3 개의 평행한 로우들은 측벽들에 평행하게 정렬된 연속한 제 2 도메인(612)에 의해 분리되고, y 축에 대해 평행하다. 버트레스들(605 내지 610)이 각 격실의 단부들에 제공되며, 버트레스와 각각의 측벽 사이의 작은 갭들을 제외하고는 단부들을 거의 폐쇄한다. 예를 들어, 측벽(601 및 602) 사이의 격실에 대해, 버트레스(605)는 격실의 일 단부를 거의 폐쇄하며, 버트레스(608)는 동일한 격실의 다른 단부를 거의 폐쇄한다. 버트레스들(605, 608)은, 자가-조립된 중합체의 헥사고날 어레이에 의해 격실들 내에 형성된 2-D 어레이의 정렬을 매칭하기 위해 제 1 측벽(601)에 대해 60°로 설정된다. 특히, 버트레스들(605, 608)과 제 1 측벽(601) 사이가 예각, 예를 들어 60°인 경우, 버트레스와 각각의 측벽 사이에 작은 갭들을 갖는 장점들 중 하나는 이것이 원하는 각도로부터의 각도의 편차에 대한 민감성을 감소시킨다는 점이다.
또한, 도 6b에는, 3 개의 격실들을 제공하도록 구성된 서로 평행한 4 개의 측벽들(613, 614, 615, 616)을 갖는 유사한 구성이 도시되어 있다. 도 6b의 실시예에서는, 버트레스들(617, 618, 619, 620, 621, 622)이 갈매기 형태로 되어 있으며, 각 격실에서 질서있게 배열되고 자가-조립된 중합체 내에 불연속한 상 도메인들의 정렬을 매칭하도록 치수가 설정된다(dimensioned). 다시 한번, 버트레스들과 각 측벽들 사이에 갭이 제공되며, 이는 변형 릴리프(strain relief)를 허용할 수 있다. 버트레스들과 측벽들 사이에 제공된 갭들은 이를테면 10 nm의 치수로 구성될 수 있다. 격실들의 코너들에 갭들이 존재함에 따른 장점은, 격실 내로 무질서하게 배치되고 자가-조립된 중합체의 증착 시 스컴 형성(scum formation)이 완화된다는 점이다. 폐쇄된 격실들을 이용하면, 스컴이 코너들에 모이는 성향이 있지만, 코너들을 개방하면 핵생성 장소들에 스컴이 모이는 것이 감소될 수 있거나 제거될 수 있다.
본 발명에 따른 또 다른 실시예(도시되지 않음)는 도 6b에 도시된 구성과 유사하나, 갈매기 모양의 선단(tip)이 마이너스 y-방향을 가리키는 것이 아니라, y-방향을 가리키도록 구성된 갈매기 형태의 버트레스들(620, 621, 622)을 갖는다. 마찬가지로, 갈매기 형태의 버트레스들(617, 618, 619, 620, 621, 622)은, 각 격실에서 질서있게 배열되고 자가-조립된 중합체 내에 불연속한 상 도메인들의 정렬을 매칭하도록 치수가 설정된다. 일 실시예에서는 버트레스들과 각 측벽들 사이에 갭이 존재하지 않는다.
도 7은 그래포에피택셜 핵생성 피처들의 다수한 상이한 구성들을 나타내는 그래포에피택시 템플릿 구성을 도시한다. 격실 (a)에서, 버트레스들은 제 1 측벽(70)으로부터 제 2 측벽(71) 조금 못 미쳐[to within a short distance of second side wall 71] 연장된다. 격실 (b)에서, 버트레스들은 각각의 측벽(71, 72)으로부터 안쪽 방향으로 연장되어, 중심에 갭들을 갖는 파티션 벽들의 세트를 효과적으로 형성한다. 부분 (c)는 측벽들(73, 74) 또는 단부벽 내에 갭들이 각각 제공되는 다수의 하위-격실들을 갖는 격실 구성을 나타낸다.
도 8은, 측벽들(80, 81) 사이에 직선의 파티션 벽들(84, 85)을 갖거나, 측벽들(81, 82) 사이에 갈매기형 파티션 벽들(86, 87)을 갖고, 자가-조립된 블록 공중합체의 질서있게 배열된 2-D 어레이의 불연속한 도메인들을 정렬하기 위해 이격된 톱니(serration) 형태의 1 이상의 알코브들(89)이 제공되는, 측벽들(82, 83) 사이에 파티션 벽(88)을 갖는, 또 다른 변형된 구성을 나타낸다.
도 9는 그래포에피택셜 핵생성 피처들로서 각각의 측벽들(900, 902, 905, 908, 911, 915, 918)로부터 짧은 버트레스들(901, 903, 904, 906, 907, 909, 910, 912, 913, 914, 916, 917)의 사용을 나타낸다. 버트레스들은 직선 버트레스들이며, 상기 버트레스들은, 이것이 각 측벽들 사이에 핵생성됨에 따라 2-D 헥사고날 어레이의 불연속한 단일 도메인을 대신하고, 이에 따라 2-D 어레이를 위치적으로 배치시키도록 구성된다.
도 10a는 버트레스들(103, 104)을 동반한 측벽(101)에 갭(102)을 포함하는 핵생성 피처들의 조합을 나타내며, 버트레스들은 갭에서 인접한 격실들 내로 그리고 각각의 측벽들(100, 105)을 향해 연장된다. 도 10b는 측벽(101)의 갭(102)만이 핵생성 피처로서 사용되는 구성을 나타낸다.
도 11은 각 측벽이 3 개의 부분들(110, 112, 113, 및 115, 116, 117)을 갖는 측벽들의 구성을 나타내며, 각 부분은 다른 부분들과 서로 평행하지만, x-축을 따라 오프셋된다. 따라서, 도 11의 가장 왼쪽에 있는 측벽에 대해, 부분(110)은 부분(112)으로부터 오프셋되며, 핵생성 피처로서 각도(114)(이 경우에는 60°)를 형성한다. 부분(116)이 부분(117)으로부터 오프셋되어 핵생성 피처로서 각도(118)(이 경우에는 90°)를 형성하는, 우측으로 인접한 측벽에 대해 유사한 구성이 도시된다. 우측을 따라 나란히 있는 측벽에서는, 120°인 핵생성 피처가 측벽 부분들 사이의 오프셋에 나타나 있다.
도 12a 및 도 12b는 핵생성 피처들로서 측벽들(121, 122, 125)에 인접한 또는 이에 부착된 1 이상의 버트레스들(123)의 또 다른 사용을 나타낸다. 이 실시예에서, 버트레스들(123)은 이들이 결과적인 어레이를 변형시키지 않고 핵생성 피처로서 작용하기 위해 2-D 헥사고날 어레이의 다수의 불연속한 도메인들을 대신할 수 있도록 크기가 설정된다. 도 12c에는, 측벽들(127, 128, 129)의 1 이상의 알코브들(126)이 핵생성 피처들로서 존재한다.
핵생성 피처들로서 측벽(131)의 알코브들(132)을 사용하는 또 다른 예시가 도 13에 도시된다. 알코브들은 측벽(131)을 따라 거리(L)만큼 이격되는 것으로 도시되어 있으며, 여기서 L=N.Ly, 또는 파티션 벽(133)에 대해, 불연속한 도메인들의 평행한 로우들의 피치(Lx)에 대응하도록 간격이 설정된다. 또한, 인접한 측벽들 상의 알코브들(132)은, 예를 들어 60°의 각도로 서로에 대해 이격될 수 있다.
도 14에는, 필러들(147)이 제공되고, 상기 필러들은 이들이 연속한 도메인(149) 사이에 불연속한 도메인들(148)의 2-D 어레이로 맞춰지도록 위치되고 치수 설정된 위치들에서 측벽들(141, 142, 143, 145, 146) 사이에 위치되며, 각각의 필러(147)는 불연속한 제 1 도메인(148)을 효과적으로 대신함에 따라, 각각의 필러는 정렬된 핵생성 장소로 작용할 수 있다. 핵생성이 복수의 필러들로부터 개시되더라도, 필러들의 정렬은 결과적인 자가-정렬된 2-D 어레이가 정렬되는 것을 보장하도록 도와야 한다. 이전에 설명된 바와 같이, 블록 공중합체가 전이 영역 또는 그래포에피택시 피처를 갖는 계면에서 폭(d)을 갖는 "데드 존"을 형성할 수 있는 가능성 때문에, 이러한 현상을 고려하기 위해 필러들의 크기가 구성될 수 있다.
본 발명에 따른 바람직한 실시예에서, 그래포에피택시 템플릿은 2-D 어레이의 불연속한 제 1 도메인들을 위치시키기 위한 위치 지점들을 제공하는 그래포에피택셜 핵생성 피처들과, 2-D 헥사고날 어레이의 불연속한 단일 도메인들을 대신하는 그래포에피택셜 핵생성 피처들의 사용을 조합한다. 예를 들어, 본 발명에 따른 그래포에피택시 템플릿(도시되지 않음)은, 2-D 어레이의 불연속한 제 1 도메인들을 위치시키기 위한 위치 지점들을 제공하는 그래포에피택셜 핵생성 피처들로서 도 5와 관련하여 설명된 바와 같은 격실들의 코너들의 사용과, 2-D 헥사고날 어레이의 불연속한 단일 도메인들을 대신하는 그래포에피택셜 핵생성 피처들로서 도 9와 관련하여 앞서 설명된 바와 같은 짧은 버트레스들(901, 903, 904, 906, 907, 909, 910, 912, 913, 914, 916, 917)의 사용을 조합한다. 또한, 도 5와 관련하여 설명된 바와 같은 격실들의 코너들 대신에, 2-D 어레이의 불연속한 제 1 도메인들을 위치시키기 위한 위치 지점들을 제공하는 다른 타입의 그래포에피택셜 핵생성 피처들이 사용될 수 있다. 예를 들어, 도 6a 또는 도 6b의 그래포에피택셜 핵생성 피처들과 같이, 앞서 설명되거나 도시된 여하한의 적용가능한 그래포에피택셜 핵생성 피처들이 사용될 수 있다. 또한, 도 9와 관련하여 설명된 바와 같은 짧은 버트레스들 대신에, 2-D 헥사고날 어레이의 불연속한 단일 도메인들을 대신하는 다른 타입의 그래포에피택셜 핵생성 피처들이 사용될 수 있다. 또한, (버트레스들과 각각의 측벽들 사이에 갭을 갖거나 갖지 않는) 도 6a 또는 도 6b의 그래포에피택셜 핵생성 피처들과 도 13에 도시된 바와 같은 알코브들(132)의 조합과 같이, 그래포에피택셜 핵생성 피처들의 다른 조합들이 가능하다. 앞서 설명된 바와 같은 그래포에피택셜 핵생성 피처들의 타입들을 조합한 장점은, 이것이 불연속한 제 1 도메인들이 y 축을 따라 정확히 고르게 이격되는 방식을 더욱 개선한다는 점이다.
도 5 내지 도 14에 도시된 그래포에피택시 템플릿의 실시예들에 대해, 템플릿들은, 기판에 레지스트 층을 증착하고, 후속하여 기판의 표면에 잔여 레지스트 피처들로서 그래포에피택시 템플릿의 패턴을 남기기 위해 레지스트 층의 일부를 제거하도록 레지스트 층을 에칭함으로써 기판 상에 적절히 제공될 수 있다.
일 실시예에서, 템플릿들은 레지스트 층과 같은 층의 전자-빔 리소그래피(electron-beam lithography)에 의해 형성될 수 있다.
도 15에서, 각각의 컬럼들 (A), (B) 및 (C)는 각 경우에서 이중-노광 포토리소그래피에 의한 상이한 그래포에피택시 템플릿의 형성을 나타내며, 각 컬럼의 마지막 로우는 각 컬럼의 로우 (d)의 템플릿들 내에 정렬된 블록 공중합체의 자가-조립을 나타낸다.
도 15의 실시예들의 각각에 대해, 컬럼들 (A), (B) 및 (C)는, 로우 (a)에 도시된 바와 같은 제 1 노광 및 로우 (b)에 도시된 바와 같은 제 2 노광을 갖는, 오버랩되는 포토리소그래피 노광들의 쌍이 레지스트 상에 만들어지고, 이에 의해 각각의 예시에 대해 노광된 레지스트가 현상되었을 때, 조합된 결과적인 노광은 본 발명의 실시예들에 따른 템플릿을 제공한다. 상기 방법은 단순한 마스크들이 이용될 수 있게 하고, 그래포에피택시 템플릿은 패턴들 (a) 및 (b)의 오버랩에 의해 형성되며, 로우 (c)에 도시된 바와 같은 결과적인 그래피에피택시 템플릿을 제공한다. 따라서, 결과적인 그래포에피택시 템플릿은 화학 방사선에 대한 단일 노광 및 단일 마스크를 이용하는 종래의 포토리소그래피에 의해 적용가능한 분해능보다 작은 치수를 갖는 버트레스들의 형태로 된 핵생성 피처들을 포함할 수 있다. 각 컬럼의 로우 (d)는 결과적인 그래포에피택시 템플릿이 블록 공중합체의 자가-조립의 정렬에 사용될 수 있는 방식을 나타낸다.
설명되고 예시된 실시예는 예시를 위한 것이지 특징을 제한하려는 것이 아니며, 바람직한 실시예만이 도시 및/또는 설명되었으며 또한 청구항들에 정의된 바와 같이 본 발명의 범위 내에 있는 모든 변형들 및 수정들이 보호되어야 함을 이해할 것이다. 예를 들어, 본 예시들은 헥사고날 2-D 어레이로 조립하도록 구성된 자가-조립가능한 중합체에 관한 것이지만, 사용되는 중합체는 이 대신, 예를 들어 직사각형, 정사각형 또는 원심형(face-centered) 2-D 어레이로 자가-조립하도록 구성된 것일 수 있으며, 그래포에피택시 템플릿은 측벽들에 대해, 이를테면 60° 또는 120°가 아닌 90 °로 설정된 버트레스들, 필러들 또는 단부벽들을 특성화하도록 수정된다. 또한, 측벽들과, 단부벽들, 필러들 또는 버트레스들 사이에 설정된 각도(예를 들어, 도 8의 각도 α)는 불연속한 제 1 도메인들이 y 축을 따라 정확히 고르게 이격되는 방식에 영향을 준다. 측벽들에 대해 60°에서 80°사이의 각도로 설정된 버트레스들, 필러들, 또는 단부들에 대해, 특히 양호한 결과들이 달성된다. 측벽들에 대해 65°에서 75°사이의 각도, 예컨대 66°또는 72°로 설정된 버트레스들, 필러들, 또는 단부들에 대해, 특히 양호한 결과들이 달성된다.
본 발명의 일 실시예는 리소그래피 방법들에 관한 것이다. 상기 방법들은 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 평판 디스플레이, 액정 디스플레이(LCD), 박막 자기 헤드, 유기 발광 다이오드 등의 제조와 같이, 전자 디바이스들 및 집적 회로들 또는 다른 어플리케이션들과 같은 디바이스들의 제조를 위한 공정들에 사용될 수 있다. 또한, 본 발명의 일 실시예는 집적 회로, 자기 저장 디바이스들(예를 들어, 하드 드라이브들)용 비트-패턴 매체(bit-patterned media) 및/또는 이산 트랙 매체(discrete track media)의 제조에 사용하기 위해 표면에 규칙적인 나노구조체들을 생성하는데에도 사용될 수 있다.
특히, 본 발명의 일 실시예는 기판 상으로 패터닝된 피처들이 약 1 ㎛ 이하, 통상적으로는 100 nm 이하 또는 심지어 10 nm 이하의 피처 폭 또는 임계 치수를 갖는 고분해능 리소그래피에 사용된다.
리소그래피는 기판 상으로 여러 개의 패턴들을 적용하는 단계를 수반할 수 있으며, 패턴들은 서로 위에 적층되어, 함께 집적 회로와 같은 디바이스를 형성한다. 이전에 제공된 패턴과 각 패턴의 정렬은 중요한 고려사항이다. 패턴들이 서로 충분히 정확하게 정렬되지 않은 경우, 이는 만들어지지 않은 층들 사이에 몇몇 전기적 연결들을 유도할 수 있다. 따라서, 이는 디바이스가 기능을 상실하게 할 수 있다. 그러므로, 통상적으로 리소그래피 장치는 이전에 제공된 패턴 및/또는 기판에 제공된 정렬 마크들과 각 패턴을 정렬시키기 위해 사용될 수 있는 정렬 장치를 포함한다.
이상, 본 발명의 특정 실시예가 설명되었지만 본 발명은 설명된 것과 다르게 실시될 수 있다는 것을 이해하여야 한다. 예를 들어, 본 발명은 앞서 개시된 바와 같은 방법을 구현하는 기계-판독가능한 명령어의 1 이상의 시퀀스를 포함하는 컴퓨터 프로그램, 또는 이러한 컴퓨터 프로그램이 저장되어 있는 데이터 저장 매체(예를 들어, 반도체 메모리, 자기 또는 광학 디스크)의 형태를 취할 수 있다.
본 명세서에서, "기판"이라는 용어는 기판의 표면에 존재할 수 있거나 이를 형성할 수 있는 다른 평탄화 층들 또는 비반사 코팅 층들과 같이, 기판에 제공되거나, 기판의 일부분을 형성하는 어떠한 표면 층들도 포함하는 것으로 의도된다.

Claims (22)

  1. 기판의 표면에 자가-조립된 블록 중합체(self-assembled block polymer)를 정렬하기 위한, 상기 기판 상의 그래포에피택시 템플릿(graphoepitaxy template)에 있어서,
    블록 공중합체는, 데카르트(Cartesian) y 축에 평행하게 연장되고, 직교하는 데카르트 x 축을 따라 서로 이격되며, 연속한 제 2 도메인에 의해 분리되는 불연속한 제 1 도메인들의 평행한 로우(row)들을 포함하는 2-D 어레이로 자가-조립하도록 구성되고, 상기 그래포에피택시 템플릿은:
    실질적으로 평행한 제 1 및 제 2 측벽들을 포함하고, 상기 측벽들은 연속한 제 2 도메인에 의해 제 1 도메인으로부터 분리된, 또한 상기 측벽들 사이에 또한 상기 측벽들에 대해 평행한 상기 기판의 불연속한 제 1 도메인들의 적어도 하나의 로우를 유지하도록 구성된 격실(compartment)을 제공하도록 x 축을 따라 서로 이격되고, y 축을 정의하고 상기 y 축에 평행하게 연장되며,
    상기 격실은 상기 격실 내의 특정 위치에서 상기 불연속한 제 1 도메인들 중 적어도 하나를 위치시키도록 구성된 그래포에피택셜 핵생성 피처(graphoepitaxial nucleation feature)를 포함하며,
    상기 그래포에피택셜 핵생성 피처는 상기 제 1 측벽 및/또는 제 2 측벽에 알코브(alcove)를 포함하는 그래포에피택시 템플릿.
  2. 제 1 항에 있어서,
    상기 알코브는 적어도 하나의 불연속한 제 1 도메인을 상기 알코브 안에 유지하도록 형상화되는 그래포에피택시 템플릿.
  3. 기판의 표면에 자가-조립된 블록 중합체(self-assembled block polymer)를 정렬하기 위한, 상기 기판 상의 그래포에피택시 템플릿(graphoepitaxy template)에 있어서,
    블록 공중합체는, 데카르트(Cartesian) y 축에 평행하게 연장되고, 직교하는 데카르트 x 축을 따라 서로 이격되며, 연속한 제 2 도메인에 의해 분리되는 불연속한 제 1 도메인들의 평행한 로우(row)들을 포함하는 2-D 어레이로 자가-조립하도록 구성되고, 상기 그래포에피택시 템플릿은:
    실질적으로 평행한 제 1 및 제 2 측벽들을 포함하고, 상기 측벽들은 연속한 제 2 도메인에 의해 제 1 도메인으로부터 분리된, 또한 상기 측벽들 사이에 또한 상기 측벽들에 대해 평행한 상기 기판의 불연속한 제 1 도메인들의 적어도 하나의 로우를 유지하도록 구성된 격실(compartment)을 제공하도록 x 축을 따라 서로 이격되고, y 축을 정의하고 상기 y 축에 평행하게 연장되며,
    상기 격실은 상기 격실 내의 특정 위치에서 상기 불연속한 제 1 도메인들 중 적어도 하나를 위치시키도록 구성된 그래포에피택셜 핵생성 피처(graphoepitaxial nucleation feature)를 포함하며,
    상기 그래포에피택셜 핵생성 피처는 상기 제 1 측벽으로부터 상기 격실 내로 연장되는 버트레스(buttress)를 포함하는 그래포에피택시 템플릿.
  4. 제 3 항에 있어서,
    상기 버트레스는 상기 격실에 걸쳐 파티션 벽(partition wall)을 제공하기 위해 상기 제 2 측벽을 향해 연장되는 그래포에피택시 템플릿.
  5. 제 4 항에 있어서,
    상기 버트레스는 상기 버트레스와 상기 제 2 측벽 사이의 갭을 제외하고 상기 격실에 걸쳐 연장되는 파티션 벽을 제공하도록 상기 제 2 측벽을 향해 상기 격실에 걸쳐 연장되는 그래포에피택시 템플릿.
  6. 제 3 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 버트레스는 상기 2-D 어레이와 맞물리도록 형상화되어, 상기 버트레스가 상기 2-D 어레이의 1 이상의 불연속한 제 1 도메인들을 대신하는 그래포에피택시 템플릿.
  7. 제 3 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 버트레스는 직선 구간을 포함하고, 상기 직선 구간은 상기 제 1 측벽과 인접하여, 60°에서 80 ° 사이의 각도가 상기 버트레스의 직선 구간과 상기 제 1 측벽 사이에 형성되는 그래포에피택시 템플릿.
  8. 제 3 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 버트레스는 추가 그래포에피택셜 핵생성 피처를 포함하는 그래포에피택시 템플릿.
  9. 기판의 표면에 자가-조립된 블록 중합체(self-assembled block polymer)를 정렬하기 위한, 상기 기판 상의 그래포에피택시 템플릿(graphoepitaxy template)에 있어서,
    블록 공중합체는, 데카르트(Cartesian) y 축에 평행하게 연장되고, 직교하는 데카르트 x 축을 따라 서로 이격되며, 연속한 제 2 도메인에 의해 분리되는 불연속한 제 1 도메인들의 평행한 로우(row)들을 포함하는 2-D 어레이로 자가-조립하도록 구성되고, 상기 그래포에피택시 템플릿은:
    실질적으로 평행한 제 1 및 제 2 측벽들을 포함하고, 상기 측벽들은 연속한 제 2 도메인에 의해 제 1 도메인으로부터 분리된, 또한 상기 측벽들 사이에 또한 상기 측벽들에 대해 평행한 상기 기판의 불연속한 제 1 도메인들의 적어도 하나의 로우를 유지하도록 구성된 격실(compartment)을 제공하도록 x 축을 따라 서로 이격되고, y 축을 정의하고 상기 y 축에 평행하게 연장되며,
    상기 격실은 상기 격실 내의 특정 위치에서 상기 불연속한 제 1 도메인들 중 적어도 하나를 위치시키도록 구성된 그래포에피택셜 핵생성 피처(graphoepitaxial nucleation feature)를 포함하며,
    상기 제 1 측벽은 상기 x 축을 따라 서로에 대해 오프셋(offset)되는 평행한 제 1 및 제 2 측벽 부분을 포함하고, 상기 그래포에피택셜 핵생성 피처는 상기 제 1 및 제 2 부분들 사이에 불연속부(discontinuity)를 포함하는 그래포에피택시 템플릿.
  10. 기판의 표면에 자가-조립된 블록 중합체(self-assembled block polymer)를 정렬하기 위한, 상기 기판 상의 그래포에피택시 템플릿(graphoepitaxy template)에 있어서,
    블록 공중합체는, 데카르트(Cartesian) y 축에 평행하게 연장되고, 직교하는 데카르트 x 축을 따라 서로 이격되며, 연속한 제 2 도메인에 의해 분리되는 불연속한 제 1 도메인들의 평행한 로우(row)들을 포함하는 2-D 어레이로 자가-조립하도록 구성되고, 상기 그래포에피택시 템플릿은:
    실질적으로 평행한 제 1 및 제 2 측벽들을 포함하고, 상기 측벽들은 연속한 제 2 도메인에 의해 제 1 도메인으로부터 분리된, 또한 상기 측벽들 사이에 또한 상기 측벽들에 대해 평행한 상기 기판의 불연속한 제 1 도메인들의 적어도 하나의 로우를 유지하도록 구성된 격실(compartment)을 제공하도록 x 축을 따라 서로 이격되고, y 축을 정의하고 상기 y 축에 평행하게 연장되며,
    상기 격실은 상기 격실 내의 특정 위치에서 상기 불연속한 제 1 도메인들 중 적어도 하나를 위치시키도록 구성된 그래포에피택셜 핵생성 피처(graphoepitaxial nucleation feature)를 포함하며,
    상기 평행한 제 1 및 제 2 측벽 부분들은 상기 x 축을 따라 측정되는 N.Lx만큼 오프셋되고, N은 정수이고, Lx는 상기 x 축을 따른 상기 2-D 어레이의 평행한 로우들의 피치(pitch)인 그래포에피택시 템플릿.
  11. 기판의 표면에 자가-조립된 블록 중합체(self-assembled block polymer)를 정렬하기 위한, 상기 기판 상의 그래포에피택시 템플릿(graphoepitaxy template)에 있어서,
    블록 공중합체는, 데카르트(Cartesian) y 축에 평행하게 연장되고, 직교하는 데카르트 x 축을 따라 서로 이격되며, 연속한 제 2 도메인에 의해 분리되는 불연속한 제 1 도메인들의 평행한 로우(row)들을 포함하는 2-D 어레이로 자가-조립하도록 구성되고, 상기 그래포에피택시 템플릿은:
    실질적으로 평행한 제 1 및 제 2 측벽들을 포함하고, 상기 측벽들은 연속한 제 2 도메인에 의해 제 1 도메인으로부터 분리된, 또한 상기 측벽들 사이에 또한 상기 측벽들에 대해 평행한 상기 기판의 불연속한 제 1 도메인들의 적어도 하나의 로우를 유지하도록 구성된 격실(compartment)을 제공하도록 x 축을 따라 서로 이격되고, y 축을 정의하고 상기 y 축에 평행하게 연장되며,
    상기 격실은 상기 격실 내의 특정 위치에서 상기 불연속한 제 1 도메인들 중 적어도 하나를 위치시키도록 구성된 그래포에피택셜 핵생성 피처(graphoepitaxial nucleation feature)를 포함하며,
    상기 그래포에피택셜 핵생성 피처는, 상기 기판의 표면으로부터 연장되고 상기 측벽들로부터 이격된, 상기 격실 내에 필러(pillar)를 포함하는 그래포에피택시 템플릿.
  12. 제 11 항에 있어서,
    상기 필러는 상기 2-D 어레이와 맞물리도록 형상화되고 위치되어, 버트레스가 상기 2-D 어레이의 1 이상의 불연속한 제 1 도메인들을 대신하는 그래포에피택시 템플릿.
  13. 제 11 항 또는 제 12 항에 있어서,
    상기 필러는 추가 그래포에피택셜 핵생성 피처를 포함하는 그래포에피택시 템플릿.
  14. 제 1 항 내지 제 5 항 및 제 9 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 그래포에피택시 템플릿은 수소 실세스퀴옥산(hydrogen silsesquioxane)으로 구성되는 그래포에피택시 템플릿.
  15. 기판의 표면에 자가-조립가능한 블록 공중합체를 증착하기 위해 상기 기판의 표면을 제조하는(preparing) 방법에 있어서,
    상기 기판의 표면에 제 1 항 내지 제 5 항 및 제 9 항 내지 제 12 항 중 어느 한 항에 따른 그래포에피택시 템플릿을 형성하는 단계를 포함하는 제조 방법.
  16. 제 15 항에 있어서,
    측벽들, 및 상기 그래포에피택시 템플릿의 여하한의 버트레스들 및/또는 필러들은:
    상기 표면에 레지스트 층을 적용하고,
    레지스트 층의 노광된 및 노광되지 않은 영역들을 제공하기 위해 화학 방사선(actinic radiation)에 상기 레지스트 층을 선택적으로 노광시키며,
    상기 표면 상에 남겨진 레지스트 영역의 레지스트 피처들을 갖는 표면을 제공하기 위해 디벨로퍼(developer)로 상기 노광된 영역 또는 노광되지 않은 레지스트 영역을 제거함에 의하여,
    상기 기판에 제공되며,
    상기 레지스트 피처들은 상기 측벽들 및 상기 그래포에피택시 템플릿의 여하한의 버트레스들 및/또는 필러들을 형성하는 제조 방법.
  17. 제 15 항에 있어서,
    상기 측벽들, 및 상기 그래포에피택시 템플릿의 버트레스들은:
    상기 표면에 레지스트 층을 적용하고,
    레지스트 층의 노광된 제 1 영역들을 제공하기 위해 화학 방사선에 상기 레지스트 층의 제 1 선택적 노광을 수행하며,
    레지스트 층의 노광된 제 2 영역들을 제공하기 위해 화학 방사선에 레지스트 층의 제 2 선택적 노광을 수행하고 - 상기 노광된 제 2 영역들은 상기 노광된 제 1 영역들과 부분적으로 오버랩되고, 상기 레지스트 층의 영역들은 상기 제 1 및 제 2 선택적 노광들 둘 모두에서 노광되지 않은 채로 유지됨 -, 및
    표면 상에 남겨진 노광된 레지스트 영역의 레지스트 피처들을 갖는 표면을 제공하기 위해 디벨로퍼로 노광되지 않은 레지스트 영역을 제거함에 의하여,
    상기 기판에 제공되며,
    상기 레지스트 피처들은 상기 측벽들 및/또는 상기 그래포에피택시 템플릿의 버트레스들을 형성하는 제조 방법.
  18. 제 17 항에 있어서,
    버트레스들은 상기 제 1 및 제 2 선택적 노광들 중 하나에서만 노광된 레지스트 층의 노광된 영역들에 의해 형성되는 제조 방법.
  19. 기판의 표면에 자가-조립된 블록 중합체를 정렬하는 방법에 있어서,
    블록 공중합체는, 데카르트 y 축에 평행하게 연장되고, 직교하는 데카르트 x 축을 따라 서로 이격되며, 연속한 제 2 도메인에 의해 분리되는 불연속한 제 1 도메인들의 평행한 로우들을 포함하는 2-D 어레이로 자가-조립하도록 구성되고, 상기 방법은:
    상기 기판의 표면에, 제 1 항 내지 제 5 항 및 제 9 항 내지 제 12 항 중 어느 한 항에 따른 그래포에피택시 템플릿을 제공하는 단계,
    상기 그래포에피택시 템플릿의 격실 내에 자가-조립가능한 블록 중합체 조성물을 증착하는 단계, 및
    상기 격실에 자가-조립된 블록 공중합체의 2-D 어레이로 자가-조립을 제공하도록 자가-조립가능한 중합체 조성물을 처리하는 단계를 포함하는 정렬 방법.
  20. 레지스트 에칭에 의해 기판의 표면을 패터닝하는 리소그래피 방법에 있어서,
    제 19 항의 방법에 의해 상기 표면에서 자가-조립된 블록 공중합체 층을 제공하는 단계를 포함하고,
    상기 자가-조립된 블록 공중합체 층은 레지스트 층으로서 사용되는 리소그래피 방법.
  21. 기판의 표면에서 디바이스 토폴로지(device topography)를 형성하는 방법에 있어서,
    상기 디바이스 토폴로지를 제공하기 위해 상기 기판을 에칭할 때 레지스트 층으로서 제 19 항의 방법에 의해 형성된 자가-조립된 중합체 층을 이용하는 단계를 포함하는 토폴로지 형성 방법.
  22. 삭제
KR1020147012173A 2011-10-03 2012-10-02 자가-조립가능한 중합체에 대한 패터닝된 방위 템플릿을 제공하는 방법 KR102026270B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201161542498P 2011-10-03 2011-10-03
US61/542,498 2011-10-03
US201161578637P 2011-12-21 2011-12-21
US61/578,637 2011-12-21
US201261601439P 2012-02-21 2012-02-21
US61/601,439 2012-02-21
PCT/EP2012/069400 WO2013050338A1 (en) 2011-10-03 2012-10-02 Method to provide a patterned orientation template for a self-assemblable polymer

Publications (2)

Publication Number Publication Date
KR20140083008A KR20140083008A (ko) 2014-07-03
KR102026270B1 true KR102026270B1 (ko) 2019-09-27

Family

ID=47137670

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147012173A KR102026270B1 (ko) 2011-10-03 2012-10-02 자가-조립가능한 중합체에 대한 패터닝된 방위 템플릿을 제공하는 방법

Country Status (7)

Country Link
US (1) US10240250B2 (ko)
JP (1) JP6138137B2 (ko)
KR (1) KR102026270B1 (ko)
CN (1) CN103889888B (ko)
NL (1) NL2009555A (ko)
TW (1) TWI467636B (ko)
WO (1) WO2013050338A1 (ko)

Families Citing this family (286)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8691925B2 (en) 2011-09-23 2014-04-08 Az Electronic Materials (Luxembourg) S.A.R.L. Compositions of neutral layer for directed self assembly block copolymers and processes thereof
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8686109B2 (en) 2012-03-09 2014-04-01 Az Electronic Materials (Luxembourg) S.A.R.L. Methods and materials for removing metals in block copolymers
US9086621B2 (en) 2012-04-20 2015-07-21 Asml Netherlands B.V. Methods for providing spaced lithography features on a substrate by self-assembly of block copolymers
US9250528B2 (en) 2012-04-27 2016-02-02 Asml Netherlands B.V. Methods and compositions for providing spaced lithography features on a substrate by self-assembly of block copolymers
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
US10457088B2 (en) * 2013-05-13 2019-10-29 Ridgefield Acquisition Template for self assembly and method of making a self assembled pattern
JP6232226B2 (ja) * 2013-08-09 2017-11-15 東京応化工業株式会社 相分離構造を含む構造体の製造方法
US9093263B2 (en) 2013-09-27 2015-07-28 Az Electronic Materials (Luxembourg) S.A.R.L. Underlayer composition for promoting self assembly and method of making and using
US9181449B2 (en) 2013-12-16 2015-11-10 Az Electronic Materials (Luxembourg) S.A.R.L. Underlayer composition for promoting self assembly and method of making and using
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9275896B2 (en) * 2014-07-28 2016-03-01 GlobalFoundries, Inc. Methods for fabricating integrated circuits using directed self-assembly
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9738765B2 (en) * 2015-02-19 2017-08-22 International Business Machines Corporation Hybrid topographical and chemical pre-patterns for directed self-assembly of block copolymers
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102350587B1 (ko) * 2015-04-23 2022-01-14 삼성전자 주식회사 미세 패턴 형성 방법
KR102350586B1 (ko) * 2015-04-23 2022-01-14 삼성전자 주식회사 미세 패턴 형성 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
TWI723052B (zh) * 2015-10-23 2021-04-01 日商東京威力科創股份有限公司 基板處理方法、程式及電腦記憶媒體
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10343920B2 (en) * 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
TWI754661B (zh) 2016-08-18 2022-02-11 德商馬克專利公司 用於自組裝應用之聚合物組合物
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
EP3559053B1 (en) 2016-12-21 2022-04-13 Merck Patent GmbH Compositions and processes for self-assembly of block copolymers
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI808692B (zh) * 2022-03-22 2023-07-11 華邦電子股份有限公司 自對準多重圖案化標記

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070175859A1 (en) 2006-02-02 2007-08-02 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
JP2008090956A (ja) * 2006-10-03 2008-04-17 Toshiba Corp 磁気記録媒体、その製造方法、および磁気記録装置
JP2010522643A (ja) * 2007-02-08 2010-07-08 マイクロン テクノロジー, インク. サブリソグラフィックパターニングのためにブロック共重合体自己集合を使用する方法
US20100316849A1 (en) 2008-02-05 2010-12-16 Millward Dan B Method to Produce Nanometer-Sized Features with Directed Assembly of Block Copolymers

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3793040B2 (ja) * 2001-05-09 2006-07-05 株式会社東芝 記録媒体およびその製造方法
US6746825B2 (en) * 2001-10-05 2004-06-08 Wisconsin Alumni Research Foundation Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
US8133534B2 (en) * 2004-11-22 2012-03-13 Wisconsin Alumni Research Foundation Methods and compositions for forming patterns with isolated or discrete features using block copolymer materials
WO2006112887A2 (en) * 2004-11-22 2006-10-26 Wisconsin Alumni Research Foundation Methods and compositions for forming aperiodic patterned copolymer films
US7829246B2 (en) * 2005-11-08 2010-11-09 Nec Electronics Corporation Method of forming pattern
US7627447B2 (en) 2007-01-23 2009-12-01 The Boeing Company Method and apparatus for localizing and mapping the position of a set of points on a digital model
US8394483B2 (en) * 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US8404124B2 (en) * 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8114301B2 (en) * 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
CN101602481A (zh) * 2009-07-16 2009-12-16 上海交通大学 具有凸半球结构的硅平台制备方法
CN101989047B (zh) * 2009-07-30 2012-08-01 上海华虹Nec电子有限公司 一种利用双重曝光方法来检测掩模板图形形貌的方法
CN101916039A (zh) * 2010-07-16 2010-12-15 深圳清溢光电股份有限公司 一种掩模板的制作方法
US20120196094A1 (en) * 2011-01-31 2012-08-02 Seagate Technology Llc Hybrid-guided block copolymer assembly

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070175859A1 (en) 2006-02-02 2007-08-02 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
JP2008090956A (ja) * 2006-10-03 2008-04-17 Toshiba Corp 磁気記録媒体、その製造方法、および磁気記録装置
JP2010522643A (ja) * 2007-02-08 2010-07-08 マイクロン テクノロジー, インク. サブリソグラフィックパターニングのためにブロック共重合体自己集合を使用する方法
US20100316849A1 (en) 2008-02-05 2010-12-16 Millward Dan B Method to Produce Nanometer-Sized Features with Directed Assembly of Block Copolymers

Also Published As

Publication number Publication date
WO2013050338A1 (en) 2013-04-11
TWI467636B (zh) 2015-01-01
JP2014531767A (ja) 2014-11-27
US10240250B2 (en) 2019-03-26
CN103889888A (zh) 2014-06-25
US20140245948A1 (en) 2014-09-04
CN103889888B (zh) 2017-04-26
JP6138137B2 (ja) 2017-05-31
KR20140083008A (ko) 2014-07-03
TW201320154A (zh) 2013-05-16
NL2009555A (en) 2013-04-08

Similar Documents

Publication Publication Date Title
KR102026270B1 (ko) 자가-조립가능한 중합체에 대한 패터닝된 방위 템플릿을 제공하는 방법
US9250528B2 (en) Methods and compositions for providing spaced lithography features on a substrate by self-assembly of block copolymers
US9086621B2 (en) Methods for providing spaced lithography features on a substrate by self-assembly of block copolymers
US9368366B2 (en) Methods for providing spaced lithography features on a substrate by self-assembly of block copolymers
KR101929865B1 (ko) 디바이스 리소그래피에 사용하기 위한 자기-조립성 폴리머를 위한 템플릿의 제공 방법
KR101772038B1 (ko) 블록 공중합체의 자가-조립에 의해 기판에 리소그래피 피처들을 제공하는 방법들
WO2012175343A1 (en) Self-assemblable polymer and methods for use in lithography
US20140346141A1 (en) Self-assemblable polymer and methods for use in lithography
US9513553B2 (en) Methods of providing patterned epitaxy templates for self-assemblable block copolymers for use in device lithography
US9229324B2 (en) Methods of providing patterned templates for self-assemblable block copolymers for use in device lithography
KR101721127B1 (ko) 블록 공중합체의 자가-조립에 의해 기판에 이격된 리소그래피 피처들을 제공하는 방법들

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant