KR101772950B1 - 릴리프 이미지 형성 방법 - Google Patents

릴리프 이미지 형성 방법 Download PDF

Info

Publication number
KR101772950B1
KR101772950B1 KR1020150155820A KR20150155820A KR101772950B1 KR 101772950 B1 KR101772950 B1 KR 101772950B1 KR 1020150155820 A KR1020150155820 A KR 1020150155820A KR 20150155820 A KR20150155820 A KR 20150155820A KR 101772950 B1 KR101772950 B1 KR 101772950B1
Authority
KR
South Korea
Prior art keywords
composition
mask
layer
patterned
coated
Prior art date
Application number
KR1020150155820A
Other languages
English (en)
Other versions
KR20160055080A (ko
Inventor
트레포나스 피터
허스타드 필립
씨. 테일러 제임스
제이.장 지에키안
Original Assignee
롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨
다우 글로벌 테크놀로지스 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨, 다우 글로벌 테크놀로지스 엘엘씨 filed Critical 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨
Publication of KR20160055080A publication Critical patent/KR20160055080A/ko
Application granted granted Critical
Publication of KR101772950B1 publication Critical patent/KR101772950B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/201Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by an oblique exposure; characterised by the use of plural sources; characterised by the rotation of the optical device; characterised by a relative movement of the optical device, the light source, the sensitive system or the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

바람직한 측면에서, a) 패턴화될 층 상에 패턴화 마스크를 포함하는 반도체 기판을 제공하는 단계; b) 마스크 상에 제1 조성물 층을 도포하는 단계로서, 조성물은 폴리머를 포함하고 층은 마스크의 측벽 상에 코팅되는 것인, 단계; c) 마스크의 코팅된 측벽에 인접한 용적에 반도체 기판 상에 제2 조성물 층을 도포하는 단계; 및 d) 마스크의 측벽으로부터 제1 조성물을 제거하고, 그렇게 함으로써 패턴화될 층을 노광시키고 마스크 측벽과 제2 조성물 층 사이에 갭을 형성하여 릴리프 이미지를 제공하는 단계를 포함하는, 방법이 제공된다. 방법은 특히 반도체 소자 제조에서 적용가능성을 발견한다.

Description

릴리프 이미지 형성 방법{METHODS OF FORMING RELIEF IMAGES}
이 개시 내용은 일반적으로 전자 디바이스의 제조에 관한 것이다. 더 구체적으로, 이 개시 내용은 포토리소그래픽 패턴을 형성하는 방법에 관한 것이다. 본 발명은 고-밀도 리소그래픽 패턴 및 피처(feature)를 형성하기 위한 반도체 소자의 제조에서 특정 용도를 발견한다.
반도체 제조 산업에서, 기판 자체 뿐만 아니라, 반도체 기판 상에 배치된, 예컨대 금속, 반도체 또는 유전체층과 같은 하나 이상의 기저층에, 이미지를 전사하기 위해 포토레지스트 물질이 사용된다. 반도체 소자의 집적 밀도를 증가시키고 나노미터 범위 내에 치수를 갖는 구조를 형성할 수 있기 위해서, 포토레지스트 및 고-분해 능력을 가진 포토리소그래피 처리 도구가 계속하여 개발되었다.
반도체 소자에서 nm-스케일 피처 크기를 달성하는 한 접근법은 화학적으로 증폭된 포토레지스트의 노광 동안, 예를 들면, 193 nm 이하의 단파장 광의 사용이다. 액침 리소그래피는 이미지화 디바이스, 예를 들면, KrF 또는 ArF 광원을 가진 스캐너의 렌즈의 개구수를 효과적으로 증가시킨다. 이것은 이미지화 디바이스의 마지막 표면과 반도체 웨이퍼의 상면 사이에 상대적으로 높은 굴절률 유체(즉, 침액)의 사용에 의해 달성된다. 침액은 공기 또는 불활성 가스 매질에서 일어났을 것보다도 더 다량의 광이 레지스트층에 집중될 수 있게 한다.
이론적 분해는 레일리 방정식 R = k1λ/NA에 의해 정의된 바와 같이 한계가 있는데, 여기에서 k1은 공정 인자이고, λ는 이미지화 도구의 파장이고 NA는 이미지화 렌즈의 개구수이다. 침액으로서 물을 사용할 때, 최대 개구수는 예를 들면, 1.2 내지 1.35로 증가될 수 있다. 인쇄 라인 및 공간 패턴의 경우에 0.25의 k1에 있어서, 193 nm 액침 스캐너는 단지 36 nm 하프-피치 라인 및 공간 패턴을 해상(resolve)할 수 있을 것이다. 컨택홀 또는 임의의 2D 패턴을 인쇄하기 위한 분해는 어두운 필드 마스크와의 낮은 조감(aerial) 이미지 콘트라스트에 기인하여 더욱 제한되고 여기서 k1에 대한 이론적 한계는 0.35이다. 따라서 컨택홀의 가장 작은 하프-피치는 약 50 nm로 제한된다. 표준 액침 리소그래피 공정은 더 큰 분해를 요구하는 디바이스의 제조엔 일반적으로 적합하지 않다.
더 큰 분해를 달성하고 현존하는 제조 도구의 능력을 이론적 분해 한계를 넘어 넓히기 위한 노력으로, 다양한 이중 패턴화 공정, 예를 들면, 자기 정렬된 이중 패턴화(SADP), 리소-에치-리소-에치(LELE) 및 리소-리소-에치(LLE) 기술이 제안되었다. 그러나, 전형적으로 시행되는 이러한 기술은 하나 이상의 약점을 갖고 있다. SADP 공정은 전형적으로 비교적 많은 수의 공정 단계를 수반하고 그럼으로써 생산 처리량에 악영향을 미친다. 포토리소그래피 및 에칭 처리 모듈들 간에 왕복하는 웨이퍼의 이송으로부터, 그리고 에칭 및 레지스트 제거 공정 자체로부터 LELE 기술로부터 생성물 오염 및 결함이 기인할 수 있다. LLE 절차는 제1 리소그래픽(L1) 레지스트 패턴의 형성 및 안정화와 그다음에 제2 리소그래픽(L2) 패턴의 형성을 수반한다. U.S. 8492075; U.S. 20140054756; 및 Hyatt, et al. Proc . SPIE 9051, 905118 (2014)을 참조한다.
따라서 미세 마이크로리소그래피 피처를 생성하기 위한 신규한 방법을 갖는 것이 바람직하다.
이제 전자 디바이스를 생성하는데 유용한 릴리프 이미지를 형성하기 위한 신규한 방법을 제공한다. 바람직한 측면에서, a) 패턴화될 층 상에 패턴화 마스크를 포함하는 반도체 기판을 제공하는 단계; b) 마스크 상에 제1 조성물 층을 도포하는 단계로서, 조성물은 폴리머를 포함하고 층은 마스크의 측벽 상에 코팅되는 것인, 단계; c) 마스크의 코팅된 측벽에 인접한 용적에 반도체 기판 상에 제2 조성물 층을 도포하는 단계; 및 d) 마스크의 측벽으로부터 제1 조성물을 제거하고, 그렇게 함으로써 패턴화될 층을 노광시키고 마스크 측벽과 제2 조성물 층 사이에 갭을 형성하여 릴리프 이미지를 제공하는 단계를 포함하는, 릴리프 이미지를 형성하기 위한 방법이 제공된다.
본 발명의 방법은 리소그래피로 정의된 패턴 바로 옆에 20 nm 이하를 포함하는 작은 치수의 자기정렬된 공간을 제공할 수 있다.
추가로, 일 측면에서, 본원에 개시되는 바람직한 방법은 패턴을 역전시키고 공간을 생성하기 위해 다수의 단계를 요구하는, 라인을 생성하는 종래의 자기정렬된 패턴화 기술과는 구별된다. 대신에, 본 바람직한 방법에서, 자기정렬된 공간은 상응하는 라인의 형성 없이 직접적으로 생성될 수 있다.
추가의 측면에서, 본 발명의 바람직한 방법은 상이한 에칭 비율들의 코팅 물질을 이용한다. 따라서, 바람직한 측면에서, 리소그래픽으로 정의된 피처의 측벽은 피처를 포함하는 물질(예를 들면 유기 실리콘 폴리머층)의 에칭 비율 및 코팅된 최초 피처들 사이에 잔여 공간을 채우기 위해 사용되는 추가의 물질의 에칭 비율보다 충분히 더 빠른 에칭 비율을 갖는 폴리머 물질이 코팅된다. 이 더 빠른 에칭 비율은 잔여 구조의 형상 및 프로파일을 유의미하게 변경함이 없이 폴리머 스페이서가 빠르게 제거되어 공간을 남길 수 있게 한다. 다시, 형성된 공간은 20 nm 이하의 폭을 포함하는 작은 치수를 가질 수 있다.
바람직한 방법에서, 패턴화 마스크는 차후에 도포되는 제1 조성물에 반응적이 될 1 이상의 물질을 포함한다. 예를 들면, 바람직하게는, 마스크 패턴의 표면은 오버코팅된 제1 조성물에 결합 또는 아니면 컴플렉스(complex) 또는 배위결합할 수 있는 1 이상의 물질을 포함할 수 있다. 예를 들면, 결합 또는 배위결합할 수 있는 마스크 패턴의 바람직한 성분은 SiO2, SiON와 같은 실리콘, 항-반사 코팅 조성물, 비결정성 탄소, 스핀-온 탄소 하드 마스크, 또는 이외 다른 탄소 기반 물질, 및 티타늄, 하프늄, 및/또는 지르코늄의 산화물들을 포함한다. 경화된 반사방지 코팅 조성물은 적어도 어떤 응용, 예를 들면 경화된 유기 실리콘 반사 방지 코팅 조성물을 포함하여, 경화된 실리콘-함유 반사 방지 코팅 조성물에 바람직하다.
추가로, 패턴화 마스크는 차후에 도포되는 제1 조성물의 에칭 비율 미만인 에칭 비율을 적당하게 갖는데, 예를 들면, 패턴화 마스크의 에칭 비율은 차후에 도포되는 제1 조성물의 에칭 비율보다 적어도 20, 30, 40, 50, 60, 70 또는 80 퍼센트 미만이다.
다양한 물질은 제1 조성물로서 이용될 수 있다. 바람직한 제1 조성물은 1 이상의 폴리머를 함유할 수 있다. 특히 바람직한 제1 조성물은 패턴화 마스크 측벽 상에 있는 1 이상의 물질에 결합(예를 들면, 공유결합) 또는 아니면 컴플렉스 또는 배위결합(예를 들면, 수소 또는 이온결합)할 수 있는 1 이상의 성분(예를 들면, 폴리머)를 포함한다. 예를 들면, 패턴화 마스크 측벽에 공유결합할 수 있게, 하나의 사슬 말단에 적절한 반응성 모이어티를 포함하는 성분, 즉 옥사이드 피처의 경우를 위한 하이드록실 말단 그룹.
바람직한 제1 조성물은 20 nm 이하의 두께를 가진 필름을 형성하기에 적절한 분자량을 갖는 1 이상의 폴리머를 포함한다. 예를 들면, 적합한 제1 조성물 폴리머는 5,000 내지 100,000의 중량 평균 분자량을 가질 수 있다. 바람직한 제1 조성물 폴리머 성분은 또한 균일한 필름 두께의 매끄러운 필름을 열역학적으로 형성하는 경향이 있게 될 것이다. 한층 더, 바람직한 제1 조성물 폴리머는, 일반적인 유기 에칭 공정, 즉 O2 또는 N2/H2 플라즈마 에칭 공정에서 충분히 빠른 에칭 비율에 대해 2보다 더 큰 것으로, 폴리머 사슬(N)의 반복 단위에서 원자의 총수 대 동일한 반복 단위에서 탄소 원자의 총수(NC)와 산소 원자의 총수(NO) 간에 차이의 비로서 정의되는, 오니시(Ohnishi) 파라미터(O.P.), O.P. = N/(NC-NO))를 가질 것이다. 제1 패턴화 마스크가 탄소-기반 물질, 예컨대 비결정성 탄소 또는 스핀-온 탄소 하드 마스크로부터 형성되는 구현예에서, 바람직한 제1 조성물 폴리머는 탄소 마스크에 비해 빠른 에칭 비율을 갖는 실리콘을 포함하는 폴리머를 포함한다. 하이드록실-함유 조성물과 같은 폴리머 브러시 조성물은 흔히 바람직한 제1 조성물 물질이다.
바람직한 방법에서, 패턴화 마스크 상에 제1 조성물을 도포한 후에, 코팅된 기판은 측벽 상에 코팅된 제1 조성물을 갖는 패턴화 마스크의 릴리프 이미지를 생성하게 처리된다. 예를 들면, 제1 조성물이 패턴화 마스크로 기판 상에 도포된 후에, 도포된 제1 조성물은 예컨대, 임의적이긴 하나 바람직한 것으로서, 마스크의 측벽에 제1 조성물의 부분의 결합을 유발하게 열처리(예를 들면, 1, 5, 10분 또는 이상 동안 150℃ 또는 더 높은)에 의해 가공될 수 있다. 이러한 처리 후에, 기판은 패턴화 마스크 측벽에 결합되지 않는 혹은 아니면 컴플렉스되지 않는 제1 조성물을 제거하기 위해 예컨대 용매로 세정될 수 있다. 코팅된 기판은 또한 패턴화 마스크 측벽에 결합되지 않는 혹은 아니면 컴플렉스되지 않는 제1 조성물을 제거하기 위해 다른 수단에 의해 처리될 수도 있다. 예를 들면, 코팅된 기판은 이러한 방식으로 제1 조성물을 제거하게 에칭될 수도 있다.
바람직한 방법에서, 제2 조성물은 제1 조성물을 가진 최초 피처에 의해 생성된 토포그래피 상에 균일한 두께의 평면화 필름으로 주조될 수 있다. 바람직하게는, 제2 조성물은 제1 조성물의 반응성 이온 비율 미만인 반응성 이온 에칭 비율을 갖는데, 예를 들면, 제2 조성물의 반응 이온 에칭 비율은 차후에 도포되는 제1 조성물의 반응성 이온 비율보다 적어도 20, 30, 40, 50, 60, 70 또는 80 퍼센트 미만이다.
그후에, 제1 조성물은 마스크의 측벽으로부터 제거되고 그렇게 함으로써 다중릴리프 이미지를 제공할 수 있다. 제1 조성물의 제거는 패턴화될 기저층을 노출시키고 마스크 측벽과 제2 조성물 층 사이에 갭을 형성하여 릴리프 이미지를 제공할 수 있다.
바람직하게는, 최초 피처와 기판 스택의 나머지 간에 계면에 기판 또는 필름은 기판에 제1 조성물의 선택적 웨팅(wetting)도 디웨팅(dewetting)도 없어 그렇게 함으로써 최초 피처의 고른 피복성을 제공함을 보증하기 위해서, 제1 조성물에 실질적으로 중립일 뿐만 아니라 제1 조성물의 반응성 그룹에 실질적으로 무반응성인 물질을 가질 것이다.
추가의 측면에서, 코팅된 기판이 제공된다. 바람직한 측면에서, 패턴화될 층 상에 패턴화 마스크를 포함하는 반도체 기판; 마스크 상에 제1 조성물 층으로서, 조성물은 폴리머 브러시를 포함하고 층은 마스크의 측벽 상에 코팅된, 제1 조성물 층; 및 마스크의 코팅된 측벽에 인접한 용적에 반도체 기판 상에 제2 조성물을 포함하고, 제1 조성물의 에칭 비율은 1) 제1 조성물 및 2) 제2 조성물의 각각의 에칭 비율보다 적어도 30 퍼센트 더 큰 것인, 코팅된 기판이 제공된다. 바람직하게는, 제1 조성물의 에칭 비율은 1) 제1 조성물 및 2) 제2 조성물의 각각의 에칭 비율보다 적어도 40, 50, 60, 70, 80, 90 또는 100 퍼센트 더 크다.
추가의 바람직한 측면에서, 1) 패턴화될 층 상에 패턴화 마스크를 포함하는 반도체 기판; 2) 마스크 상에 제1 조성물 층으로서, 조성물은 폴리머 브러시를 포함하고 층은 마스크의 측벽 상에 코팅된 것인, 제1 조성물 층; 및 3) 마스크의 코팅된 측벽에 인접한 용적에 반도체 기판 상에 제2 조성물로서, 제2 조성물은 제1 조성물 층에 인접하여 단일 상을 형성하는 것인, 제2 조성물을 포함하는 코팅된 기판이 제공된다. 이해하게 되는 바와 같이, 단일 상 조성물은 상-분리된 폴리머, 또는 마이크로-분리된 층을 형성하는 블록 공중합체를 함유하는 불혼화성 폴리머 블렌드로부터 구별된다.
본원에서 언급되는 바와 같이, 달리 지적되지 않는 한, 에칭 비율이라는 용어는 하기의 프로토콜에 의해 결정된 바와 같이 조성물(특히, 패턴화 마스크, 제1 조성물 및 제2 조성물의 각각의 에칭 비율)의 에칭 비율을 의미한다: 조성물의 코팅층은 ACT8 코팅 도구를 사용하여 200 mm 실리콘 웨이퍼 상에 스핀 코팅된다. 스핀 속도는 100 nm보다 더 큰 필름 두께를 얻기 위해 필요에 따라 달라진다. 경화 조건은 근접 핫플레이트 상에서 60초 동안 215℃이다. 필름 두께는 엘립소메트리(ellipsometry)에 의해 측정된다. 이어서 필름은 선택된 시간, 예컨대 30초 동안, 선택된 블랭킷 에칭된다. 에칭 물질은 제1 조성물에 대해 효과적인 에칭용 시약으로서 선택된다. 따라서, 예를 들면, 제1 조성물이 실질적으로 탄소-기반이라면, 옥사이드-기반 에칭은 블랭킷 옥사이드 에칭(C4F8/O2/CO/Ar)와 같은 에칭 비율 분석을 위해 적당하게 이용된다. 제1 조성물이 실질적으로 실리콘-기반이라면, 에칭 비율분석을 위해 할로겐-기반 에칭(예를 들면 불소 에칭)이 적당하게 이용된다. 두 조성물 간에(예를 들면, 패턴화 마스크와 제1 조성물 간에) 에칭 비율들을 평가함에 있어, 두 물질에 대해 동일한 에칭 물질이 사용될 것이다(즉, 패턴화 마스크 및 제1 조성물 둘 다의 에칭 비율들을 평가하기 위해 동일한 에칭물질이 사용될 것이다). 에칭 후에, 에칭된 필름의 두께는 다시 측정되고 에칭 비율이 계산된다.
도 1(도 1a 내지 도 1d를 포함한다)은 본 발명의 바람직한 방법을 묘사하는 개요도이다.
도 2는 실시예 7의 라인/공간의 SEM 현미경 사진이다.
도 3(a) 및 도 3(b)는 PS-OH 브러시를 항-스페이서 발생제(제1 조성물)로서 사용하는 실시예 8로부터 패턴 증식 공정을 도시한 것으로, (a) PS-OH 브러시로 처리 후에 패턴, (b) 브러시 처리, 역충전, 및 자기정렬된 공간을 형성하기 위한 브러시 폴리머의 제거 후에 최종의 패턴을 포함한다.
도 4(a) 및 도 4(b)는 PS-OH 브러시를 항-스페이서 발생제(제1 조성물)로서 사용하는 실시예 9로부터 패턴 증식 공정을 도시한 것으로, (a) PS-OH 브러시로 처리 후에 패턴, (b) 브러시 처리, 역충전, 및 자기정렬된 공간을 형성하기 위한 브러시 폴리머의 제거 후에 최종의 패턴을 포함한다.
도 5(a) 및 도 5(b)는 PMMA-OH 브러시를 항-스페이서 발생제(제1 조성물)로서 사용하는 실시예 10으로부터 패턴 증식 공정을 도시한 것으로, (a) PMMA-OH 브러시로 처리 후에 패턴, (b) 브러시 처리, 역충전, 및 자기정렬된 공간을 형성하기 위한 브러시 폴리머의 제거 후에 최종의 패턴을 포함한다.
도 6(a) 및 도 6(b)는 PMMA-OH 브러시를 항-스페이서 발생제(제1 조성물)로서 사용하는 실시예 11으로부터 패턴 증식 공정을 도시한 것으로, (a) PMMA-OH 브러시로 처리 후에 패턴, (b) 브러시 처리, 역충전, 및 자기정렬된 공간을 형성하기 위한 브러시 폴리머의 제거 후에 최종의 패턴을 포함한다.
본 발명의 바람직한 방법은 a) 패턴화 마스크를 포함하는 반도체 기판을 제공하는 단계; b) 마스크 상에 제1 조성물 층을 도포하는 단계; c) 마스크 릴리프 이미지의 표면 상에 제1 조성물 층을 가진 마스크의 릴리프 이미지를 형성하는 단계; d) 제2 조성물을 반도체 기판 상에 도포하는 단계; e) 마스크 및 제2 조성물 둘 다의 릴리프 이미지를 제공하기 위해 제1 조성물 층을 제거하는 단계를 포함하는, 다중 릴리프 이미지 형성을 포함한다.
또 하나의 바람직한 측면에서, a) 패턴화될 층 상에 패턴화 마스크를 포함하는 반도체 기판을 제공하는 단계; b) 마스크 상에 제1 조성물 층을 도포하는 단계; c) 마스크의 측벽에 제1 조성물의 부분의 결합을 유발시키기 위해 상기 도포된 제1 조성물을 처리하는 단계; d) 처리 후에, 제1 조성물의 미결합된(unbounded) 부분을 제거하는 단계; e) 마스크의 코팅된 측벽에 인접한 용적에 반도체 기판 상에 제2 조성물 층을 도포하는 단계; 및 f) 마스크의 측벽으로부터 제1 조성물을 제거하고, 그렇게 함으로써 패턴화될 층을 노광하고 마스크 측벽과 제2 조성물 층 사이에 갭을 형성하여 릴리프 이미지를 제공하는 단계를 포함하는, 릴리프 이미지를 형성하기 위한 방법이 제공된다.
도 1a
이제 도 1a를 참조하면, 자신의 표면 상에 형성된 다양한 층 및 피처를 포함할 수 있는 기판(100)이 묘사되었다. 기판은 예컨대 실리콘 또는 화합물 반도체(예를 들면, III-V 또는 II-VI)와 같은 반도체, 유리, 석영, 세라믹, 구리 등과 같은 물질일 수 있다. 전형적으로, 기판은 반도체 웨이퍼, 예컨대 단일 결정 실리콘 또는 화합물 반도체 웨이퍼이며, 자신의 표면 상에 형성된 1 이상의 층 및 패턴화된 피처를 가질 수 있다. 패턴화될 1 이상의 층(102)이 기판(100) 상에 제공될 수 있다. 임의로, 예를 들어, 기판 재료 내에 트렌치를 형성하기를 원할 때는 기저를 이루는 베이스 기판 재료 자체가 패턴화될 수도 있다. 베이스 기판 재료 자체를 패턴화하는 경우에, 패턴은 기판층 내에 형성되는 것으로 간주될 것이다.
층들은 예를 들면, 1 이상의 전도성층, 예컨대, 알루미늄, 구리, 몰리브데늄, 탄탈럼, 티타늄, 텅스텐, 합금, 이러한 금속의 니트라이드 또는 실리사이드, 도핑된 비결정성 실리콘 또는 도핑된 폴리실리콘의 층들, 1 이상의 유전체층, 예컨대 산화규소, 실리콘니트라이드, 실리콘옥시니트라이드의 층들, 또는 산화금속, 반도체층, 예컨대 단일 결정 실리콘, 및 이들의 조합을 포함할 수 있다. 에칭될 층들은 다양한 기술, 예를 들면, 화학적기상증착(CVD), 예컨대 플라즈마-강화 CVD, 저-압력 CVD 또는 에피택셜 성장; 물리적기상증착(PVD), 예컨대 스퍼터링 또는 증발; 또는 전기도금에 의해 형성될 수 있다.
패턴화 마스크(104)는 수많은 접근법에 의해 제공될 수 있다.
예를 들면, 포토레지스트 조성물은 반사 방지층 위에 기판 상에 적당하게 도포될 수 있다. 반사 방지층은 차후에 도포되는 제1 조성물에 공유결합하거나 아니면 배위결합할 수 있는 물질을 적당하게 포함할 수 있다. 따라서, 예를 들면, 반사 방지 조성물은 실리콘 성분, 예컨대 실리콘-유기 폴리머, 티타늄, 하프늄, 및/또는 지르코늄을 포함할 수 있다.
아크릴레이트, 노볼락 및 실리콘 화학에 기반한 것들을 포함한 다양한 포토레지스트가 이용될 수 있다. 적합한 레지스트는 예를 들면, 미국출원 공보 번호 US20090117489 A1, US20080193872 A1, US20060246373 A1, US20090117489 A1, US20090123869 A1 및 미국특허 7,332,616에 기재되어 있다. 제1 레지스트 패턴을 형성하기 위한 본 발명의 방법에 유용한 포토레지스트 물질은 양성- 및 네가티브-작용 물질 둘 다를 포함한다. 적합한 양성-작용 물질은 레지스트 코팅층의 노광된 영역을 노광되지 않은 영역보다 수성 현상액에서 더 용해될 수 있기 위해 조성물의 산 불안정한(acid labile) 그룹의 1 이상 성분의 광산(photoacid)-촉진된 탈보호 반응이 가해진 화학적으로 증폭된 양성-작용 포토레지스트를 포함한다. 적합한 네가티브-작용 레지스트는 전형적으로 가교결합 성분을 함유할 것이다. 대안적으로, 소위 "네거티브 톤(tone) 현상" 공정에서 양성-작용 레지스트는 노광될 수 있고 노광되지 않은 영역은 유기 용매로 제거되어 노광된 영역을 남길 수 있다.
이어 포토레지스트는 이격된 레지스트 피처의 릴리프 이미지를 생성하기 위해 이하 더욱 논의되는 바와 같이 노광 및 현상 단계에 의해 리소그래픽으로 가공될 수 있다. 이어, 노출된 반사 방지 조성물은 반응성 이온 에칭에 의해 제거될 수 있다. 이어서 포토레지스트 릴리프 이미지 스트리핑은 에칭된 반사 방지층으로 형성된 패턴화 마스크(104)를 제공한다.
대안적으로, 이미지화되어 현상된 포토레지스트층은 패턴화 마스크(104)로서 작용할 수 있다. 예를 들면, 포토레지스트층의 1 이상의 성분 내 있는 카복실산 그룹은 차후에 도포되는 제1 조성물의 작용성(functionality)과 공유결합하거나 아니면 배위결합할 수 있다. 예를 들면, 제1 조성물은 포토레지스트 조성물 성분의 카복실산 그룹과 컴플렉스할 수 있는 아민-함유 물질을 포함할 수 있다.
포토레지스트 조성물은 공지된 방법에 의해 1 이상의 오버코팅된 층들과 함께 기판(100)에 도포되고 이어서 이미지화 및 현상되어 레지스트 릴리프 이미지(104)를 제공할 수 있다. 예를 들면, 포토레지스트 코팅층은 스핀-코팅 또는 이외 다른 종래의 코팅 기술에 의해 기판 표면에 도포될 수 있다. 이어, 도포된 레지스트층은 레지스트층 내 용매 함량을 최소화하기 위해 소프트베이킹될 수 있다. 다음에, 레지스트층은 패턴화된 활성화 방사선, 예를 들면 193 nm 또는 EUV 방사선에 노광된다. 액침 리소그래피 프로토콜이 적당하게 이용될 수도 있다. 포토레지스트층의 노광에 이어, 노광후 베이킹(PEB)이 전형적으로, 층의 연화점 이상의 온도, 예를 들면 약 80 내지 150℃에서 약 30 내지 90초 동안 수행된다. 다음에, 노광된 포토레지스트층은 레지스트 패턴을 형성하기 위해 현상된다. 적합한 현상액 및 현상 기술은 당해 기술에 공지되어 있고, 예를 들면, 사급수 산화 암모늄 용액과 같은 수성 염기 현상액, 예를 들면, 0.26 N 테트라메틸수산화암모늄과 같은 테트라-알킬 수산화 암모늄 용액을 포함한다.
도 1b
도 1b에 도시된 바와 같이, 패턴화 마스크(104)는 제1 조성물(106)이 적당하게 선택적으로 코팅된다. 논의된 바와 같이, 패턴화 마스크(104)는 예를 들면, 차후에 도포되는 제1 조성물의 에칭 비율 미만인 에칭 비율을 적당하게 갖는데, 여기에서 패턴화 마스크의 에칭 비율은 차후에 도포되는 제1 조성물의 에칭 비율보다 적어도 20, 30, 40, 50, 60, 70 또는 80 퍼센트 미만이다.
제1 조성물로서 다양한 물질이 이용될 수 있다. 바람직한 제1 조성물은 1 이상의 폴리머를 함유할 수 있다. 특히 바람직한 제1 조성물은 패턴화 마스크 측벽 상에 있는 1 이상의 물질에 결합(예를 들면, 공유결합)하거나 아니면 컴플렉스 혹은 배위결합(예를 들면 수소 또는 이온결합)할 수 있는 1 이상의 성분(예를 들면, 폴리머)을 포함한다. 예를 들면, 패턴화 마스크 측벽에 공유결합할 수 있게, 하나의 사슬 말단에 또는 주쇄를 따라 적절한 반응성 모이어티를 포함하는 성분, 즉 옥사이드 피처의 경우를 위한 하이드록실 말단 그룹. 성분은 부착을 가능하게 하기 위해 반응성 그룹을 1 초과하여 함유하는 중합체 또는 공중합체일 수도 있다.
특히 바람직한 제1 조성물은 폴리머 브러시 조성물을 포함한다. "브러시 조성물" 또는 "브러시 층"이라는 용어는 본원에서는 표면에 폴리머 유기 물질의 공유 또는 배위결합(예를 들면, 수소 또는 이온결합)에 의해 형성된 층을 지칭하기 위해 이용된다. 일부 구현예에서, 브러시 층은 실록산을 포함할 수 있는데, 예컨대, 폴리를 포함하는 전구체(디메틸실록산)(PDMS)와 같은 실록산-함유 전구체로부터 형성될 수 있다. 일부 구현예에서, 브러시 층은 실록산-함유 폴리머에 더하여, 또는 이에 대안적으로, 다른 유기 폴리머를 포함하는 전구체로부터 형성될 수도 있다. 예를 들면, 브러시 층은 폴리스티렌(PS) 및 폴리(메틸메타크릴레이트)(PMMA) 중 하나 혹은 둘 다를 포함하는 전구체를 이용하여 형성될 수도 있다. 브러시 층 전구체들은 1 이상의 치환체로서 표면과 반응하여 그럼으로써 브러시 층을 표면에 공유결합(즉, 그라프팅)하는데 적합한 치환체를 갖는다. 그와 같은 치환체는 하이드록실 모이어티, 설프하이드릴 모이어티, 등을 포함할 수 있다.
제1 조성물은 스핀 코팅을 포함하는 다양한 기술 중 어느 것에 의해 패턴화 마스크(104)로 기판(100)에 도포될 수 있다. 제1 조성물을 도포한 후에, 코팅된 기판은 이어서 바람직하게는 열처리된다. 열처리는 패턴화 마스크(104)의 측벽에의 제1 조성물(106)의 결합 또는 컴플렉싱을 강화시킬 수 있다. 적합한 열처리 조건은 이용되는 특정 물질에 따라 0.5 내지 15 분 동안 150℃ 또는 200℃을 초과한 가열을 포함할 수 있다. 이러한 열처리에 이어서 패턴화 마스크 측벽에 미부착된 제1 조성물 물질은 예를 들면 코팅된 기판을 적합한 용매, 예컨대, 프로필렌글리콜 모노메틸 에테르 아세테이트, n-부틸아세테이트, 등으로 린스함으로써 적당하게 제거된다. 이러한 린스에 의해 미부착된 제1 조성물 물질의 제거는 전체적으로 도 1b에 도시된 바와 같이 제1 조성물(106)이 코팅된 측벽을 가진 패턴화 마스크(104) 을 제공한다. 미부착된 제1 조성물 물질의 제거에 이어, 기판은 원한다면, 예를 들면 1, 2분 또는 이상 동안 150℃ 또는 200℃을 초과한 가열에 의해 어닐링될 수 있다.
또한, 제1 조성물 층 두께(106a)는 제1 조성물의 1 이상의 성분의 선택 또는 재단을 통해 조절될 수 있음을 발견하였다. 특히, 제1 조성물의 1 이상의 폴리머 성분의 분자량 및/또는 블렌드 비의 선택에 의해, 코팅층 두께가 조절될 수 있고 그럼으로써 형성된 공간(106b)(도 1d)의 폭이 조절될 수 있다. 예로서, 다음의 실시예 6을 참고한다. 일반적으로, 8,000; 9,000; 10,000; 11,000; 또는 12,000을 초과하는 중량 평균 분자량을 갖는 폴리머를 포함하여, 제1 조성물의 성분으로서 더 큰 분자량 폴리머의 사용은 더 큰 제1 조성물 코팅 층 두께(106a)를 형성할 수 있게 하며 그렇게 함으로써 더 큰 폭의 형성된 공간(106b)을 생성할 수 있다.
도 1c
도 1c에 도시된 바와 같이, 기판은 공간(108a)(도 1b)을 제2 조성물(108)로 충전하기 위해 코팅된 측벽(106)을 가진 패턴화 마스크(104)에 의해 노출된 영역을 충전하는 제2 조성물(108)이 코팅된다. 바람직하게는, 제2 조성물은 균질 단일 상(phase)일 것이다. 바람직하게는, 제2 조성물은 제1 조성물의 에칭 비율 미만인 에칭 비율을 갖는데, 예를 들면, 제2 조성물의 에칭 비율은 차후에 도포되는 제1 조성물의 에칭 비율보다 적어도 20, 30, 40, 50, 60, 70 또는 80 퍼센트 미만이다. 예를 들면, 적합한 제2 조성물은 실리콘-함유 조성물, 예를 들면 폴리디메틸실록산, 실세스퀴옥산, 실리콘-함유 폴리아크릴레이트 및 폴리메타크릴레이트, 실리콘-함유 폴리스티렌, 및 이외 다른 폴리머 함유 실리콘을 포함한다. 제2 조성물로 코팅한 후에, 기판은 원한다면, 예를 들면 1, 2분 또는 이상 동안 150℃ 또는 200℃을 초과한 가열에 의해 어닐링될 수 있다. 중요하게, 제1 조성물 및 제2 조성물은 이들이 가열될 때 혼화성이 되지 않고 불연속한 상들(phase)로 분리된 채로 있게 되도록 선택된다.
도 1d
도 1d에 묘사된 바와 같이, 제1 조성물은 예컨대 에칭에 의해 마스크의 측벽으로부터 제거되고 그렇게 함으로써 패턴화 마스크(104) 및 제2 조성물(108)의 다중 릴리프 이미지를 제공할 수 있다. 제1 조성물의 제거는 패턴화될 기저층을 노출하고 마스크 측벽과 제2 조성물 층(108) 사이에 갭을 형성하여 릴리프 이미지에 공간(106b)을 제공할 수 있다.
이들 물질 및 공정들은 단일 노광 포토리소그래픽 공정으로부터 가능한 것보다 더 높은 피처 밀도를 가진 완화 패턴을 생성하는데 유용하다. 중요하게, 수득한 완화 패턴은 최초 마스크 패턴에 자기정렬되고, 그렇게 함으로써 다중 포토리소그래픽 노광을 사용하는 비교대상의 공정들에 고유한 오버레이 오차 문제를 완화시킨다. 이들 자기정렬된 완화 패턴은 이온 주입을 위한 트렌치 및 자기정렬된 라인 컷 패턴을 형성하는데 유용하다.
하기 비-제한적인 예는 본 발명을 예증한다.
실시예
방법
본원에 예들에서 보고된 필름 두께는 NanoSpec/AFT 2100 필름 두께 측정 도구를 사용하여 측정되었다. 필름의 두께는 회절 격자를 통해 통과된 백색광의 간섭으로부터 결정되었다. 필름 두께를 결정하기 위해 성분파장(380 - 780 nm)을 분석하기 위해서 "폴리이미드 온 실리콘"이라고 하는 표준 프로그램이 사용되었다.
예들에서 보고된 수 평균 분자량, MN, 및 다분산도 값은 애질런트 1100 시리즈 굴절률 및 MiniDAWN 광 산란 검출기(와이엇 테크놀로지 사)가 구비된 애질런트 1100 시리즈 LC 시스템 상에 겔 투과 크로마토그래피(GPC)에 의해 측정되었다. 샘플은 대략 1 mg/mL의 농도의 HPCL급 THF에서 용해되었고, 두 개의 PLGel 300x7.5 mm 혼합 C 칼럼(5 mm, 폴리머 래보래토리즈, 인크.)를 통한 주사(injection) 전에 0.20 ㎛ 주사기 필터를 통해 여과되었다. 1 mL/min의 유속 및 35℃의 온도가 유지되었다. 칼럼은 협 분자량 PS 표준(EasiCal PS-2, 폴리머 래보래토리즈, 인크.)으로 보정되었다.
인버스-게이티트13C+ NMR 분광계는 저온탐침(cryoprobe)이 구비된 Bruker Avance 400 MHz NMR 분광기 상에서 수행되었다. 폴리머는 실온에서 10 mm NMR 튜브 내에서 CDCl3에서 용해되었다. 0.02 M 크로뮴 아세틸아세토네이트(Cr(acac)3)은 수집시간을 단축시키기 위해 부가되었다. 전형적인 샘플 농도는 0.35 g/2.8 mL이었다. 모든 측정은 25℃, 4000-8000 스캔의 취득, 5s의 완화 지연, 12.1 ㎲의 90°펄스 길이, CDCl3에 대한 77.27 ppm의 스펙트럼 참조, 100 ppm에 스펙트럼 중심, 및 300 ppm의 스펙트럼 폭에서 샘플 스피닝 없이 취해졌다.
패턴의 이미지화는 AMRAY 1910 필드 방출 스캐닝 전자현미경(SEM)을 사용하여 수행되었다. 테스트 샘플은 양면 탄소 테이프를 사용하여 SEM 스테이지 상에 장착되고 분석에 앞서 질소를 불어내어 세정되었다. 테스트 샘플 각각의 이미지는 50,000x 배율로 수집되었다.
실시예 1: PMMA-OH-1의 합성
Figure 112015108302891-pat00001
질소-퍼지된 글러브박스 내에서, 메틸 메타크릴레이트(23.7 g, 237 mmol), CuBr(34.0 mg, 0.237 mmol), 헥사메틸트리에틸테트라민(54.6 mg, 0.237 mmol),및 하이드록실 작용화된 개시제(250 mg, 1.18 mmol)는 240 mL 건(dry) 용기 내에서 조합되고 이어서 건(dry) 아니솔의 25 mL로 희석되었다. 교반 바가 부가되었고 용기는 캡핑되고 2 시간 동안 90℃까지 가열되었다. 이어서 용기는 글러브박스로부터 제거되고 실온까지 냉각되었다. AMBERLITE IRC748I이 암록색 용액에 부가되었고 이것은 밤새 실온에서 교반되었다. 이제 무색 용액은 AMBERLITE 비드를 제거하기 위해 여과되었고 폴리머는 MeOH에 침전되고, 여과되고, 건조되었다. 이것은 이어서 100 mL CH2Cl2에서 재용해되었고, 탈이온수로 3x 세정되었고, 이어서 MeOH로부터 재침전되었다. 폴리머는 여과되고, 진공 오븐에서 밤새 60℃에서 건조되었다. 수득한 폴리머(11.2 g, 47.3%)는 광산란 검출기를 사용하여 GPC에 의해 분석되었다. 분자량은 1.18의 PDI를 갖고 13,800 g/mol인 것으로 결정되었다.
실시예 2: PMMA-OH-2의 합성
PMMA-OH-2은 27.9 kg/mol의 Mn 및 1.21의 PDI을 가진 물질을 제공하게 변형된 전술한 중합 방법을 사용하여 합성되었다. PS-OH-1는 40 kg/mol의 Mn 및 1.23의 PDI를 가진 PS-OH-1을 제공하게 변형된 전술한 중합 방법을 사용하여 합성되었다. PS-OH-2는 9 kg/mol의 Mn 및 1.23의 PDI를 가진 PS-OH-2을 제공하게 변형된 US 특허 8,822,615에 Trefonas 등에 의해 기재된 방법을 사용하여 합성되었다.
실시예 3: PtBS-18.8k의 합성
Figure 112015108302891-pat00002
질소-퍼지된 글러브박스에서, 4-tBu 스티렌(22.4 g, 140 mmol)이 건(dry) 250 mL 둥근 바닥 플라스크에 부가되고 이어서 건(dry) 사이클로헥산 125 mL로 희석되었다. 자석 교반 막대를 통해 교반하면서, 오렌지로 즉각적인 색상 변화를 야기하는 s-BuLi(1.40 M 용액의 0.800 mL, 1.12 mmol)이 부가되었다. 이 용액은 45분 동안 실온에서 교반하게 둔 후에 몇 방울의 MeOH로 켄칭되고 글러브박스로부터 제거되었다. 폴리머는 MeOH(600 mL)에 침전되고, 여과되고, 건조되었다. 이것은 이어서 100 mL CH2Cl2에서 재용해되었고, 탈이온수로 3x 세정되었고, 이어서 MeOH로부터 재침전되었다. 폴리머는 여과되고, 진공 오븐에서 밤새 80℃에서 건조되었다. 수득한 폴리머(19.4 g, 86.6%)는 광산란 검출기를 사용하여 GPC에 의해 분석되었다. 분자량은 1.05의 PDI를 갖고 18,800 g/mol인 것으로 결정되었다.
실시예 4: SiARC-1의 준비
실리콘 반사 방지 코팅(SiARC-1)은 프로필렌 글리콜 모노메틸에테르 아세테이트(250.7 g), 에틸락테이트(15.5 g), 올레일 알코올(0.32 g), 및 물에 30 wt% 질산(4.3 g)의 혼합물에 테트라에틸 오르토실리케이트, 페닐트리메틸옥시실란, 비닐트리메틸옥시실란, 및 메틸트리메틸옥시실란(중량에 의한 50/9/15/26의 비로)(58.5 g)로 구성된 실록산 테르폴리머, 및 테트라메틸암모늄 클로라이드(0.66 g)을 용해함으로써 준비되었다.
실시예 5: 추가의 물질
PS 단독 중합체는 폴리머 공급원으로부터 구매되었다(P2459-S, Mn = 33k, PDI = 1.04). PDMS 단독 중합체는 Gelest로부터 구매되었다(IC-8502, Mn = 9k).
실시예 6. 항-스페이서 발생제(제1 조성물) 두께의 제어
2 wt% PS-OH-1 및 PS-OH-2의 용액은 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA)에서 준비되었다. 추가의 용액은 25 vol%, 50 vol%에 PS-OH-1 및 PS-OH-2, 및 PS-OH-1의 75 vol%의 혼합물을 형성하기 위해 준비되었다. 이들 5 용액은 1500 rpm으로 스핀 코팅하고 공기 중에서 2분 동안 250℃에서 베이킹함으로써 실리콘 웨이퍼에 필름으로서 도포되었다. 이어, 미부착된 PS-OH는 패턴을 PGMEA로 2번 린스하고 스피닝 건조에 의해 제거되었고, 잔류 두께가 측정되었다(표 1). 두께는 Mn = 40 kg/mol을 가진 PS-OH-1이 12.1 nm 필름을 제공하였고 반면 Mn = 9 kg/mol을 가진 PS-OH-2이 6.4 nm 필름을 제공하였기 때문에, PS-OH의 분자량에 따랐다. 두께는 PS-OH-2의 량을 증가시키는 것이 부수적으로 더 얇은 잔류 필름을 제공하였기 때문에, 이들 두 PS-OH 물질을 블렌딩함으로써 추가로 조절되었다. 이 예는 폴리머 분자량의 수정 또는 블렌딩에 의해 항-스페이서 발생제의 두께를 제어하는 능력을 입증한다.
표 1
Figure 112015108302891-pat00003
실시예 7. 라인/공간 패턴의 형성
TEL ACT8 트랙의 사용으로, 유기 하지층의 155 nm을 가진 200 mm 실리콘 웨이퍼는 35 nm의 명목 필름 두께로 SiARC-1이 코팅되었고 이어서 60s 동안 240℃에서 베이킹되었다. 이중 층 스택은 추가로 포토레지스트 도포 전에 60s 동안 150℃에서 HMDS 감작되었다. 이어서 이 스택에 포토레지스트 EPIC3013™이 120 nm의 명목 필름 두께로 도포되었고, 60s 동안 100℃의 소프트베이킹이 적용되었다. 이어서 완료된 3중 층 스택은 34.0 mJ/cm2의 고정된 도우즈 및 0.0㎛의 고정된 포커스로 75 nm 150 피치 라인/공간 레티클을 사용하는 0.75NA 0.89o/0.64i 시그마를 가진 ASML1100 193nm 스텝퍼를 사용하여 노광되었다. 60s 동안 100℃의 노광후 베이킹이 현상에 앞서 적용되었다. 이어서 웨이퍼는 60s 단일 푸들(puddle), 탈이온수 물 린스, 및 스핀 건조를 사용하여 CD-26 현상액으로 현상되었다. 이어서 반응성 이온 에치가 120s 동안 100W 파워 및 21 mTorr 압력에서 72 sccm CF4 및 2 sccm O2의 혼합물을 사용하여 플라즈마 Therm 790+을 사용해 수행되었다.
실시예 8. 항-스페이서 발생제(제1 조성물)로서 PS-OH 브러시와 역충전 물질(제2 조성물)로서 SiARC을 사용한 패턴 증식 공정
위에 실시예 7로부터 라인/공간 패턴은 1500 rpm로 PS-OH 브러시(프로필렌글리콜모노메틸에테르아세테이트(PGMEA) 내 2 wt%)의 용액을 스핀 코팅하고 공기에서 10분 동안 250℃에서 베이킹함으로써 오버코팅되었다. 미부착된 PS-OH은 패턴을 PGMEA으로 2번 린스하고 스피닝 건조에 의해 제거되었다. 이어서 기판은 다시 공기에서 2분 동안 250℃에서 어닐링되었다. 패턴은 SEM에 의해 이미지화되었다. 라인들을 보여주는 도 3a은 CD에서 80 nm까지 성장되었다. 이어서 라인들 사이 내 공간은 1671 rpm으로 스핀 코팅에 의해 SiARC-1로 역충전되고 이어서 공기에서 2분 동안 250℃에서 베이킹되었다. 이어서 패턴은 과중된 SiARC을 제거하기 위한 초기 CHF3 에칭(45s 동안 500 W 파워 및 20 mTorr 압력에서 20 sccm CHF3) 및 이어서 PS을 제거하기 위해 O2 에칭(42s 동안 100 W 파워 및 6 mTorr 압력에서 25 sccm O2)을 가진 플라즈마 Therm 790+을 사용한 2-단계 반응성 이온 에칭 공정이 행해졌다. 패턴은 다시 SEM에 의해 이미지화되었고, 75 nm의 피치로 8 nm만의 CD를 가진 최초 라인에 인접한 패턴에 자기정렬된 공간을 드러내는 대표적인 현미경 사진이 도 3b에 도시되었다. 이 예는 명확히 브러시 물질을 희생 항-스페이서 발생제로서 사용하는 능력을 입증한다.
실시예 9. 항-스페이서 발생제(제1 조성물)로서 PS-OH 브러시 및 역충전 물질(제2 조성물)로서 PDMS을 사용하는 패턴 증식 공정
위에 실시예 7로부터 라인/공간 패턴은 1500 rpm로 PS-OH 브러시(프로필렌글리콜모노메틸에테르아세테이트(PGMEA) 내 2 wt%)의 용액을 스핀 코팅하고 공기에서 10분 동안 250℃에서 베이킹함으로써 오버코팅되었다. 미부착된 PS-OH은 패턴을 PGMEA으로 2번 린스하고 스피닝 건조에 의해 제거되었다. 이어서 기판은 다시 공기에서 2분 동안 250℃에서 어닐링되었다. 패턴은 SEM에 의해 이미지화되었고, 라인이 CD에서 80 nm까지 성장된 것을 보여주는 도 4a에 대표적인 현미경 사진이 도시되었다. 이어서 라인들 사이 내 공간은 용액(헵탄 내 0.75 wt%)을 1760 rpm으로 스핀 코팅에 의해 폴리디메틸실록산 (PDMS, 9 kg/mol)으로 역충전되고 이어서 공기에서 2분 동안 250℃에서 베이킹되었다. 이어서 패턴은 과중된 PDMS을 제거하기 위한 초기 CHF3 에칭(45s 동안 500 W 파워 및 20 mTorr 압력에서 20 sccm CHF3) 및 이어서 PS을 제거하기 위해 O2 에칭(42s 동안 100 W 파워 및 6 mTorr 압력에서 25 sccm O2)을 가진 플라즈마 Therm 790+을 사용한 2-단계 반응성 이온 에칭 공정이 행해졌다. 패턴은 다시 SEM에 의해 이미지화되었고, 75 nm의 피치로 9 nm만의 CD를 가진 최초 라인에 인접한 패턴에 자기정렬된 공간을 드러내는 대표적인 현미경 사진이 도 4b에 도시되었다. 이 예는 명확히 브러시 물질을 희생 항-스페이서 발생제로서 사용하는 능력을 입증한다.
실시예 10. 항-스페이서 발생제(제1 조성물)로서 PMMA-OH-2 브러시 및 역충전물질(제2 조성물)로서 PS를 사용한 패턴 증식 공정
실시예 7로부터 라인/공간 패턴은 1500 rpm로 PMMA-OH-2 브러시(프로필렌글리콜모노메틸에테르아세테이트(PGMEA) 내 2 wt%)의 용액을 스핀 코팅하고 공기에서 10분 동안 250℃에서 베이킹함으로써 오버코팅되었다. 미부착된 PMMA-OH-2은 패턴을 PGMEA으로 2번 린스하고 스피닝 건조에 의해 제거되었다. 이어서 기판은 다시 공기에서 2분 동안 250℃에서 어닐링되었다. 패턴은 SEM에 의해 이미지화되었고, 도 5a에 대표적인 현미경 사진이 도시되었다. 이어서 라인들 사이 내 공간은 용액(PGMEA 내 1.5 wt%)을 1779 rpm으로 스핀 코팅에 의해 폴리스티렌 (PS, 33 kg/mol)으로 역충전되고 이어서 공기에서 2분 동안 250℃에서 베이킹되었다. 이어서 패턴은 PMMA을 제거하기 위해 아르곤 및 O2의 혼합물을 사용하여 플라즈마 Therm 790+으로 반응성 이온 에칭 공정이 행해졌다(64s 동안 100 W 파워 및 6 mTorr 압력에서 30 sccm Ar 및 10 sccm O2). 패턴은 다시 SEM에 의해 이미지화되었고, 대표적인 현미경 사진이 도 5a에 도시되었다. 이 공정은 75 nm의 피치로 8 nm만의 CD를 가진 최초 라인에 인접한 패턴에 자기정렬된 공간을 생성하였다. 이 예는 명확히 브러시 물질을 희생 항-스페이서 발생제로서 사용하는 능력을 입증한다.
실시예 11. 항-스페이서 발생제(제1 조성물)로서 PMMA-OH-2 브러시 및 역충전물질(제2 조성물)로서 PtBS을 사용하는 패턴 증식 공정
실시예 7로부터 라인/공간 패턴은 1500 rpm로 PMMA-OH-2 브러시(프로필렌글리콜모노메틸에테르아세테이트(PGMEA) 내 2 wt%)의 용액을 스핀 코팅하고 공기에서 10분 동안 250℃에서 베이킹함으로써 오버코팅되었다. 미부착된 PMMA-OH-2은 패턴을 PGMEA으로 2번 린스하고 스피닝 건조에 의해 제거되었다. 이어서 기판은 다시 공기에서 2분 동안 250℃에서 어닐링되었다. 패턴은 SEM에 의해 이미지화되었고, 도 6a에 대표적인 현미경 사진이 도시되었다. 이어서 라인들 사이 내 공간은 용액(PGMEA 내 1.5 wt%)을 1946 rpm으로 스핀 코팅에 의해 폴리(t-부틸스티렌) (PtBS, 18.8 kg/mol)으로 역충전되고 이어서 공기에서 2분 동안 250℃에서 베이킹되었다. 이어서 패턴은 PMMA을 제거하기 위해 아르곤 및 O2의 혼합물을 사용하여 플라즈마 Therm 790+으로 반응성 이온 에칭 공정이 행해졌다(64s 동안 100 W 파워 및 6 mTorr 압력에서 30 sccm Ar 및 10 sccm O2). 패턴은 다시 SEM에 의해 이미지화되었고, 대표적인 현미경 사진이 도 6b에 도시되었다. 이 공정은 75 nm의 피치로 9 nm만의 CD를 가진 최초 라인에 인접한 패턴에 자기정렬된 공간을 생성하였다. 이 예는 명확히 브러시 물질을 희생 항-스페이서 발생제로서 사용하는 능력을 입증한다.

Claims (14)

  1. a) 패턴화될 기저층 상에 패턴화 마스크를 포함하는 반도체 기판을 제공하는 단계;
    b) 상기 마스크 상에 제1 조성물 층을 도포하는 단계로서, 상기 제1 조성물은 폴리머를 포함하고, 상기 제1 조성물 층은 상기 마스크의 측벽 상에 코팅되며, 상기 기판에 대하여 상기 제1 조성물의 선택적 웨팅(wetting)이나 디웨팅(dewetting)이 없는, 단계;
    c) 상기 반도체 기판 상에 제2 조성물 층을 도포하여 상기 마스크의 상기 코팅된 측벽 사이의 공간들을 제2 조성물로 채우는 단계; 및
    d) 상기 마스크의 상기 측벽으로부터 상기 제1 조성물을 제거하고, 그렇게 함으로써 패턴화될 상기 기저층을 노출시키고 상기 마스크 측벽과 상기 제2 조성물 층 사이에 갭을 형성하여 릴리프 이미지를 제공하는 단계;를 포함하는,
    릴리프 이미지 형성 방법.
  2. a) 패턴화될 기저층 상에 패턴화 마스크를 포함하는 반도체 기판을 제공하는 단계로서, 상기 마스크 패턴의 표면이 실리콘을 포함하는, 단계;
    b) 상기 마스크 상에 제1 조성물 층을 도포하는 단계로서, 상기 제1 조성물은 폴리머를 포함하고, 상기 제1 조성물 층은 상기 마스크의 측벽 상에 코팅되는, 단계;
    c) 상기 반도체 기판 상에 제2 조성물 층을 도포하여 상기 마스크의 상기 코팅된 측벽 사이의 공간들을 제2 조성물로 채우는 단계; 및
    d) 상기 마스크의 상기 측벽으로부터 상기 제1 조성물을 제거하고, 그렇게 함으로써 패턴화될 상기 기저층을 노출시키고 상기 마스크 측벽과 상기 제2 조성물 층 사이에 갭을 형성하여 릴리프 이미지를 제공하는 단계;를 포함하는,
    릴리프 이미지 형성 방법.
  3. a) 패턴화될 기저층 상에 패턴화 마스크를 포함하는 반도체 기판을 제공하는 단계;
    b) 상기 마스크 상에 제1 조성물 층을 도포하는 단계로서, 상기 제1 조성물은 폴리머를 포함하고, 상기 제1 조성물 층은 상기 마스크의 측벽 상에 코팅되는, 단계;
    c) 상기 반도체 기판 상에 제2 조성물 층을 도포하여 상기 마스크의 상기 코팅된 측벽 사이의 공간들을 제2 조성물로 채우는 단계로서, 상기 마스크 및 상기 제2 조성물로부터 선택되는 적어도 하나가 실리콘을 포함하는, 단계; 및
    d) 상기 마스크의 상기 측벽으로부터 상기 제1 조성물을 제거하고, 그렇게 함으로써 패턴화될 상기 기저층을 노출시키고 상기 마스크 측벽과 상기 제2 조성물 층 사이에 갭을 형성하여 릴리프 이미지를 제공하는 단계;를 포함하는,
    릴리프 이미지 형성 방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 도포된 제1 조성물이 처리되어 패턴화 마스크의 측벽들에 상기 제1 조성물의 결합을 유발하는, 방법.
  5. 제1항 내지 제3항 중 어느 한 항에 있어서, 마스크 상에 제1 조성물을 도포한 후에, 코팅된 기판이 처리되어, 패턴화 마스크 측벽들 상에 코팅된 제1 조성물을 갖는 패턴화 마스크의 릴리프 이미지를 생성하는, 방법.
  6. 제1항 내지 제3항 중 어느 한 항에 있어서, 제1 조성물 층이 폴리머 브러시 조성물인, 방법.
  7. 제1항 내지 제3항 중 어느 한 항에 있어서, 도포된 제1 조성물 층이 베이킹되고, 이어서 베이킹된 제1 조성물 층이 린스되는, 방법.
  8. 제1항 또는 제3항에 있어서, 마스크 패턴의 표면이 실리콘을 포함하는, 방법.
  9. 제1항 내지 제3항 중 어느 한 항에 있어서, 제1 조성물 층의 하나 이상의 성분이 마스크의 하나 이상의 성분들과 공유결합을 형성하는, 방법.
  10. 제1항 또는 제2항에 있어서, 마스크 및 제2 조성물로부터 선택되는 적어도 하나가 실리콘을 포함하는, 방법.
  11. 제1항 내지 제3항 중 어느 한 항에 있어서, 제1 조성물의 에칭 비율이 제2 조성물의 에칭 비율의 적어도 2배인, 방법.
  12. 패턴화될 기저층 상에 패턴화 마스크를 포함하는 반도체 기판;
    상기 마스크 상에 제1 조성물 층으로서, 상기 제1 조성물은 폴리머 브러시를 포함하고, 상기 제1 조성물 층은 상기 마스크의 측벽 상에 코팅되며, 상기 기판에 대하여 상기 제1 조성물의 선택적 웨팅(wetting)이나 디웨팅(dewetting)이 없는, 제1 조성물 층; 및
    상기 반도체 기판 상에, 상기 마스크의 상기 코팅된 측벽 사이의 공간들을 채우는 제2 조성물;을 포함하며,
    상기 제1 조성물의 에칭 비율이 상기 1) 패턴화 마스크 및 2) 제2 조성물의 각각의 에칭 비율보다 적어도 30 퍼센트 더 큰,
    코팅된 기판.
  13. 패턴화될 기저층 상에 패턴화 마스크를 포함하며, 상기 마스크 패턴의 표면이 실리콘을 포함하는, 반도체 기판;
    상기 마스크 상에 제1 조성물 층으로서, 상기 제1 조성물은 폴리머 브러시를 포함하고, 상기 제1 조성물 층은 상기 마스크의 측벽 상에 코팅되는, 제1 조성물 층; 및
    상기 반도체 기판 상에, 상기 마스크의 상기 코팅된 측벽 사이의 공간들을 채우는 제2 조성물;을 포함하며,
    상기 제1 조성물의 에칭 비율이 상기 1) 패턴화 마스크 및 2) 제2 조성물의 각각의 에칭 비율보다 적어도 30 퍼센트 더 큰,
    코팅된 기판.
  14. 패턴화될 기저층 상에 패턴화 마스크를 포함하는 반도체 기판;
    상기 마스크 상에 제1 조성물 층으로서, 상기 제1 조성물은 폴리머 브러시를 포함하고, 상기 제1 조성물 층은 상기 마스크의 측벽 상에 코팅되는, 제1 조성물 층; 및
    상기 반도체 기판 상에, 상기 마스크의 상기 코팅된 측벽 사이의 공간들을 채우는 제2 조성물;을 포함하며,
    상기 마스크 및 상기 제2 조성물로부터 선택되는 적어도 하나가 실리콘을 포함하고,
    상기 제1 조성물의 에칭 비율이 상기 1) 패턴화 마스크 및 2) 제2 조성물의 각각의 에칭 비율보다 적어도 30 퍼센트 더 큰,
    코팅된 기판.
KR1020150155820A 2014-11-07 2015-11-06 릴리프 이미지 형성 방법 KR101772950B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201462077104P 2014-11-07 2014-11-07
US62/077,104 2014-11-07

Publications (2)

Publication Number Publication Date
KR20160055080A KR20160055080A (ko) 2016-05-17
KR101772950B1 true KR101772950B1 (ko) 2017-08-30

Family

ID=55912806

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150155820A KR101772950B1 (ko) 2014-11-07 2015-11-06 릴리프 이미지 형성 방법

Country Status (5)

Country Link
US (1) US20160133477A1 (ko)
JP (1) JP6316788B2 (ko)
KR (1) KR101772950B1 (ko)
CN (1) CN105589299A (ko)
TW (1) TWI632437B (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107112212B (zh) * 2014-12-22 2021-03-12 东京毅力科创株式会社 使用接枝聚合物材料图案化基底
CN105425547B (zh) * 2016-01-12 2018-07-10 苏州华维纳纳米科技有限公司 一种在曝光光刻中使用的立体模板及其制备方法
US9947548B2 (en) * 2016-08-09 2018-04-17 International Business Machines Corporation Self-aligned single dummy fin cut with tight pitch
US10217633B2 (en) * 2017-03-13 2019-02-26 Globalfoundries Inc. Substantially defect-free polysilicon gate arrays
US10157740B1 (en) * 2017-06-15 2018-12-18 Applied Materials, Inc. Selective deposition process utilizing polymer structure deactivation process
JP7013309B2 (ja) * 2018-04-10 2022-01-31 株式会社Screenホールディングス 基板処理方法および基板処理装置
WO2020214238A1 (en) * 2019-04-16 2020-10-22 Applied Materials, Inc. Method of thin film deposition in trenches
US11133195B2 (en) 2019-04-30 2021-09-28 International Business Machines Corporation Inverse tone pillar printing method using polymer brush grafts
CN114446769A (zh) * 2020-11-06 2022-05-06 长鑫存储技术有限公司 半导体器件的制备方法
WO2022235475A1 (en) * 2021-05-03 2022-11-10 Tokyo Electron Limited Wet-dry bilayer resist

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100575001B1 (ko) 2004-12-10 2006-04-28 삼성전자주식회사 상호 결합 없는 이중 포토 리소그라피 방법

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6423465B1 (en) * 2000-01-28 2002-07-23 International Business Machines Corporation Process for preparing a patterned continuous polymeric brush on a substrate surface
AU2002234603A1 (en) * 2001-01-08 2002-07-16 International Business Machines Corporation Method for the manufacture of micro structures
JP5047502B2 (ja) * 2005-01-19 2012-10-10 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 樹脂混合物を含むフォトレジスト組成物
JP2010511915A (ja) * 2006-12-06 2010-04-15 フジフィルム・エレクトロニック・マテリアルズ・ユーエスエイ・インコーポレイテッド 二重パターン形成プロセスを利用した装置製造プロセス
US8394483B2 (en) * 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
TWI452419B (zh) * 2008-01-28 2014-09-11 Az Electronic Mat Ip Japan Kk 細微圖案光罩及其製造方法、及使用其之細微圖案形成方法
US20090253078A1 (en) * 2008-04-07 2009-10-08 Sokudo Co., Ltd. Double exposure lithography using low temperature oxide and uv cure process
US8247302B2 (en) * 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
JP5425514B2 (ja) * 2009-04-16 2014-02-26 AzエレクトロニックマテリアルズIp株式会社 微細パターン形成方法
EP2287668A1 (en) * 2009-06-26 2011-02-23 Rohm and Haas Electronic Materials, L.L.C. Methods of forming electronic devices
JP5184460B2 (ja) * 2009-07-24 2013-04-17 信越化学工業株式会社 パターン形成方法
US8815747B2 (en) * 2010-06-03 2014-08-26 Micron Technology, Inc. Methods of forming patterns on substrates
US8507191B2 (en) * 2011-01-07 2013-08-13 Micron Technology, Inc. Methods of forming a patterned, silicon-enriched developable antireflective material and semiconductor device structures including the same
JP5661562B2 (ja) * 2011-06-01 2015-01-28 AzエレクトロニックマテリアルズIp株式会社 微細パターンマスクおよびその製造方法、ならびにそれを用いた微細パターンの形成方法
JP2013201356A (ja) * 2012-03-26 2013-10-03 Toshiba Corp 露光方法及びパターン形成方法
US20150024597A1 (en) * 2013-07-16 2015-01-22 HGST Netherlands B.V. Method for sidewall spacer line doubling using polymer brush material as a sacrificial layer

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100575001B1 (ko) 2004-12-10 2006-04-28 삼성전자주식회사 상호 결합 없는 이중 포토 리소그라피 방법

Also Published As

Publication number Publication date
CN105589299A (zh) 2016-05-18
JP2016092423A (ja) 2016-05-23
TW201635049A (zh) 2016-10-01
TWI632437B (zh) 2018-08-11
JP6316788B2 (ja) 2018-04-25
US20160133477A1 (en) 2016-05-12
KR20160055080A (ko) 2016-05-17

Similar Documents

Publication Publication Date Title
KR101772950B1 (ko) 릴리프 이미지 형성 방법
TWI476816B (zh) 自我對準間隔之多重圖案化方法
TWI515767B (zh) 使用聚矽氮烷以形成反向曝光影像之硬罩製程
KR20200144580A (ko) Euv 패터닝 가능한 하드 마스크들을 제조하기 위한 방법들
JP6342993B2 (ja) ポリマー型熱酸発生剤を含む組成物及びそれの方法
KR101438384B1 (ko) 규소 함유 미세 패턴 형성용 조성물 및 이를 사용한 미세 패턴 형성 방법
WO2012014700A1 (ja) パターン形成方法及びポリマーアロイ下地材料
TWI628520B (zh) 圖案修整方法
KR20150008098A (ko) 유도된 자기-조립을 위한 실리콘 하드마스크 층
US6569595B1 (en) Method of forming a pattern
TWI754661B (zh) 用於自組裝應用之聚合物組合物
JP6928699B2 (ja) パターン形成方法及びフォトレジストパターンオーバーコート組成物
KR20100050535A (ko) 포토리소그래피 공정을 위한 비공유성으로 가교 가능한 물질
KR101939998B1 (ko) 패턴 처리 방법
JP5938989B2 (ja) 多層レジストプロセス用無機膜形成組成物及びパターン形成方法
JP6683342B2 (ja) イメージ反転、誘導自己組織化、および選択的堆積を補助するための、サブ解像度開口部の使用
KR102147182B1 (ko) 패턴-형성 방법
JP3998393B2 (ja) パターン形成方法
TWI656200B (zh) 圖案修整組合物及方法
JP2023517748A (ja) 平坦化有機膜
TWI834877B (zh) 光阻劑圖案修整組成物及圖案形成方法
JP7461917B2 (ja) フォトレジストパターントリミング組成物及びフォトレジストパターンをトリミングする方法
TW202336821A (zh) 於半導體圖案化中之化學選擇性黏著及強度促進劑
JP2022552518A (ja) 指向性自己組織化リソグラフィ方法
TW202324497A (zh) 多線蝕刻基體的生產技術

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant