JP2023517748A - 平坦化有機膜 - Google Patents

平坦化有機膜 Download PDF

Info

Publication number
JP2023517748A
JP2023517748A JP2022555940A JP2022555940A JP2023517748A JP 2023517748 A JP2023517748 A JP 2023517748A JP 2022555940 A JP2022555940 A JP 2022555940A JP 2022555940 A JP2022555940 A JP 2022555940A JP 2023517748 A JP2023517748 A JP 2023517748A
Authority
JP
Japan
Prior art keywords
substrate
filler material
structures
solubility
height
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022555940A
Other languages
English (en)
Inventor
グルゼスコヴィアク,ジョディ
フルフォード,ダニエル
ブラント,ロバート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2023517748A publication Critical patent/JP2023517748A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/1333Constructional arrangements; Manufacturing methods
    • G02F1/133357Planarisation layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/165Monolayers, e.g. Langmuir-Blodgett

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Nonlinear Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Mathematical Physics (AREA)
  • Optics & Photonics (AREA)
  • Formation Of Insulating Films (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

基板を平坦化する方法は、基板の加工表面上のターゲット層上に形成された構造を有する基板を受け入れることであって、構造及びターゲット層が異なる材料で形成される、受け入れることを含む。溶解度シフト酸を含むグラフト化材料を基板上に堆積させることであって、グラフト化材料は、構造の表面に付着せずに、ターゲット層の覆われていない表面に付着する、堆積させることと、グラフト化材料を覆う充填材料を基板上に堆積させることと、溶解度シフト剤を所定の距離だけ充填材料中に拡散させることであって、溶解度シフト剤は、充填材料を所定の溶剤に対して不溶化させる、拡散させることと、所定の溶剤を使用して充填材料の可溶部分を除去することであって、充填材料の残り部分は、基板の加工表面に平行な表面を形成する、除去すること。

Description

関連出願の相互参照
本出願は、2020年3月17日に出願された米国仮特許出願第62/990,715号、及び2021年2月23日に出願された米国仮特許出願第17/183,039号の利益を主張するものであり、これらの出願は、参照により本明細書に組み込まれる。
本発明は、概して半導体製造に関し、特定の実施形態では、平坦化技術に関する。
材料処理方法論(フォトリソグラフィなど)では、パターン形成された層を生成することには、フォトレジストなどの放射線感受性材料の薄い層を基板の上側表面に塗布することが含まれる。この放射線感受性材料は、レリーフパターンに変換され、これをエッチングマスクとして使用して、パターンを基板上の下地層に転写することができる。放射線感受性材料のパターン形成は、一般的に、例えば、フォトリソグラフィシステムを使用する、この放射線感受性材料の上へのレチクル(及び関連する光学系)を介した化学線への露光を伴う。次いで、この露光に続いて、現像溶剤を使用して、(ポジ型のフォトレジストの場合と同様に)放射線感受性材料の照射領域の除去か、又は(ネガ型レジストの場合と同様に)非照射領域の除去が行われることがある。このマスク層は、複数の複層を含むことができる。
リソグラフィプロセスでは、その上に(任意の反射防止、下層及びスピンオンカーボン膜を含む)フォトレジスト系が像化される層が、可能な限り平坦又は平面であることは、焦点深度(DOF)の観点から望ましい。コーティングプロセス又は下地基板のトポグラフィによって生じる、この表面の平坦度のばらつきによって、像化性能が低下し、クリティカルディメンジョン(CD)の不均一性、ラインエッジラフネス(LER)の悪化、意図しない輪郭の先細りがもたらされる。極端な場合、これは、歩留まりに影響を及ぼす、2つの構造の結合、断線、又はコンタクトホールの欠如を生じさせる可能性がある。
本発明の実施形態によれば、基板を平坦化する方法は、基板の加工表面のターゲット層上に形成された構造を有する基板を受け入れることであって、構造は第1の材料で形成され、ターゲット層は、第1の材料と異なる第2の材料で形成される、受け入れることと、グラフト化材料を基板上に堆積させることであって、グラフト化材料は、構造の表面に付着せずに、ターゲット層の覆われていない表面に付着し、グラフト化材料は、溶解度シフト剤を含む、堆積させることと、グラフト化材料を覆う充填材料を基板上に堆積させることと、溶解度シフト剤を所定の距離だけ充填材料中に拡散させることであって、溶解度シフト剤は、充填材料を所定の溶剤に対して不溶化させる、拡散させることと、所定の溶剤を使用して充填材料の可溶部分を除去することであって、充填材料の残り部分は、基板の加工表面に平行な表面を形成する、除去することとを含む。
本発明の実施形態によれば、パターンを基板上に形成する方法は、グラフト化材料を基板の上に堆積させることであって、基板は、下地層上に位置決めされたマンドレルを有し、マンドレルは第1の材料を含み、下地層は第2の材料を含み、第2の材料は、第1の材料と化学的に異なり、グラフト化材料は、マンドレルの覆われていない表面に付着せずに、下地層の覆われていない表面に選択的に付着し、下地層に付着するグラフト化材料によって均一な厚さのグラフト化材料が下地層上に得られ、グラフト化材料は、活性化エネルギーに応答して酸を放出する酸発生剤を含む、堆積させることと、マンドレルによって画定された空間を満たす充填材料を基板上に堆積させることであって、充填材料はグラフト化材料に接しており、充填材料はグラフト化材料に接触し、充填材料は、グラフト化材料との水平界面を形成し、充填材料は第3の材料を含む、堆積させることと、酸発生剤を活性化させるのに十分な活性化エネルギーをグラフト化材料に与えて、酸を所定の距離だけ充填材料中に拡散させることであって、酸は、充填材料を特定の溶剤に対して不溶にする、拡散させることと、特定の溶剤を使用して充填材料の可溶部分を除去し、結果として、所定の距離に等しい充填材料の層が下地層上に得られることとを含む。
本発明の別の実施形態によれば、パターンを基板上に形成する方法は、グラフト化材料を基板の上に堆積させることであって、基板は、基板の加工表面にわたって形成された下地層の上に形成された複数の構造を含み、グラフト化材料は、複数の構造の表面を覆わずに下地層の露出部分を覆う、堆積させることと、グラフト化材料を覆う充填材料を基板上に堆積させることと、基板を放射のパターンにさらすことによって、グラフト化材料から溶解度シフト酸を遊離させることと、溶解度シフト酸を充填材料中に拡散させることによって、充填材料の一部を変換された充填材料に変換することと、変換された充填材料を除去せずに、充填材料の残り部分を選択的に除去することとを含む。
本発明及びその利点をより完全に理解するために、ここで、添付図面と併せて読まれるべき以下の説明を参照する。
本出願の実施形態による製造の様々な段階における半導体デバイスの断面図を示し、非平面状のトポグラフィを有する半導体デバイスを示す。 本出願の実施形態による製造の様々な段階における半導体デバイスの断面図を示し、酸発生剤を含むグラフト化材料を基板の覆われていない部分上に堆積させた後のデバイスを示す。 本出願の実施形態による製造の様々な段階における半導体デバイスの断面図を示し、グラフト化材料を覆う充填材料を堆積させた後のデバイスを示す。 本出願の実施形態による製造の様々な段階における半導体デバイスの断面図を示し、グラフト化材料から酸を遊離させ、酸を充填材料中に拡散させた後のデバイスを示す。 溶解度変化を起こしていない充填材料の部分を、溶剤を使用して除去した後のデバイスを示す。 実施形態による、基板の表面にわたってトポグラフィを生じさせるパターンにおける膜の高さを平坦化し制御するために使用される例示的なプロセスフローを示すフローチャートである。 本出願の実施形態による製造の中間段階における半導体デバイスの断面図を示す。 本出願の実施形態による製造の中間段階における半導体デバイスの断面図を示す。 本出願の実施形態による製造の中間段階における半導体デバイスの断面図を示す。 本出願の実施形態による製造の中間段階における半導体デバイスの断面図を示す。 本出願の実施形態による製造の中間段階における半導体デバイスの断面図を示す。 本出願の実施形態による製造の中間段階における半導体デバイスの断面図を示す。 本出願の実施形態による製造の中間段階における半導体デバイスの断面図を示す。 本出願の実施形態による製造の中間段階における半導体デバイスの断面図を示す。 本出願の実施形態による製造の中間段階における半導体デバイスの断面図を示す。 本出願の実施形態による製造の中間段階における半導体デバイスの断面図を示す。 本出願の実施形態による製造の中間段階における半導体デバイスの断面図を示す。 本出願の実施形態による製造の中間段階における半導体デバイスの断面図を示す。 本出願の実施形態による製造の様々な段階における半導体デバイスの断面図を示し、グラフト化材料を覆う充填材料を堆積させた後のデバイスを示す。 本出願の実施形態による製造の様々な段階における半導体デバイスの断面図を示し、溶解度変化を起こしていない充填材料の部分を、溶剤を使用して除去し、結果として、最も背の高い構造の高さに等しい高さを有する平坦化膜が得られた後のデバイスを示す。 本出願の実施形態による製造の中間段階における半導体デバイスの断面図を示す。 本出願の実施形態による製造の中間段階における半導体デバイスの断面図を示す。
様々な実施形態を作成すること及び使用することについて、以下で詳述する。しかしながら、本明細書で説明する様々な実施形態は、多様な具体的な文脈において適用可能であると理解されるべきである。述べる具体的な実施形態は、様々な実施形態を作成及び使用する具体的な方法を単に例示するものであり、限定された範囲において解釈されるべきではない。
平坦化は通常、いくつかの方法を通じて達成される。平坦化方法は、化学機械平坦化(CMP)、及び他の技術の中でも特に、有機平坦化膜の堆積又はスピンコーティングを含む。しかしながら、これらの種類の技術による平坦化度合いは、絶えず進歩しているパターン形成スキームに対して適切でない場合がある。フィーチャ解像度が低下するにつれて、デフォーカスを促進する要因を可能な限り制御する必要性が更に高まる。この必要性によって、スピンオン膜のより厳密な平坦化制御に対する要求の高まりが促進されている。これらの技術の平面性は、パターン密度に大きく影響される。加えて、CMPは平坦化のためのコストのかかる方法であり、それに対して、トラックベースの方法、例えば、スピンコーティングは、概して、より高いスループットでより経済的である。
本発明の実施形態は、平坦化のための様々なトラックベースの方法を適用する。様々な実施形態において、平坦化膜を基板上に形成する方法は、酸発生剤を基板上に含むグラフト化材料を形成し、次いで充填材料を基板の上に堆積させることを含む。次いで、溶解度変化酸が、グラフト化材料から遊離され、所定の距離の範囲内の充填材料の部分と相互作用する。次いで、平坦化膜は、溶解度変化酸による影響を受けない所定の距離から外側の充填材料の部分(すなわち、溶解度変化酸と相互作用しなかった充填材料の部分)を、溶剤又は現像液を使用して除去することによって形成される。
様々な実施形態におけるここで説明する技術は、有利には、ボトムアップ式平坦化プロセスである。例えば、グラフト化材料は、自己組織化単分子膜(SAM)又は構造パターンによって覆われない下地層の部分に選択的に付着するポリマーブラシであり得る。このように、充填材料は、スピンオン堆積を使用して堆積され得、非平面状に堆積され得る。
追加的に、説明する技術は、有利には、選択的であり得る。例えば、溶解度変化酸は、放射又は熱を加えたときに遊離され得る。放射又は熱は、リソグラフィ技術、レーザ技術などの局所化技術を使用して選択的に加えられ得る。結果として、グラフト化材料の選択された領域は、基板上に形成された構造の高さに基づいて平坦化表面を形成するために遊離され得る。
更に、本明細書で説明する技術は、有利には、トラックベースの平坦化を促進し得る。すなわち、膜を平坦化する自己組織化法は、半導体処理のためのトラックシステムと適合し得る。トラックシステムの適合性の考えられる利点は、コストの低減、効率の向上、及び複雑さの軽減を含み得る。
以下で提供する実施形態は、平坦化膜を形成するための様々な方法、特に、溶解度変化酸を充填材料中に拡散させることを含む平坦化膜を形成するためのボトムアップ手法について説明する。
図1A~図1Dは、本出願の実施形態による製造の様々な段階における半導体デバイスの断面図を示し、図1Aは、下地層の上に形成された構造が非平面状の表面を生じさせる半導体デバイスを示し、図1Bは、酸発生剤を含むグラフト化材料を下地層の覆われていない部分上に堆積させた後のデバイスを示し、図1Cは、充填材料を基板の上に堆積させた後のデバイスを示し、図1Dは、酸が充填材料中に拡散され、充填材料のある部分の溶解度を変化させた後のデバイスを示し、図1Eは、溶解度変化を起こしていない充填材料の部分を除去した後のデバイスを示す。図2は、基板の表面にわたってトポグラフィを生じさせるパターンにおける膜の高さを平坦化し制御するために使用される例示的なプロセスフローを示すフローチャートである。
この処理段階は、ライン処理の前工程のいくつか又は全てのプロセスステップが完了した後に行われ得る。代替的に、上述したプロセスは、典型的な半導体製造プロセスにおけるライン処理の中間又は後工程の一部であり得る。
図1Aを参照すると、半導体デバイスの一部は、基板103の加工表面にわたって形成された下地層105上に形成された構造110のパターンを有する(ブロック202)。構造110は、下地層105のある部分のみを覆い、基板103にわたって非平面状の表面を形成する。構造110は、任意の垂直半導体フィーチャ又はマンドレルであり得る。図1Aでは、非平面状のトポグラフィが3つの構造によって形成されているが、これは、基板にわたって形成され得る構造の数を表すものではない。構造110は、平坦化される任意の材料、例えば、一実施形態では窒化シリコン又は酸化シリコンであり得る。様々な実施形態において、構造110は、5nm~100nmの、例えば、一実施形態では20nm~40nmの厚さを有し得る。
基板103は、シリコンゲルマニウム層を含むエピタキシャル層を備えた基板を含む半導体基板を含み得る。様々な実施形態において、基板103は、バルクシリコン基板、シリコンオンインシュレータ基板、又はヒ化ガリウム、炭化ケイ素、ゲルマニウムなどの他の半導体基板、並びにシリコン上の窒化ガリウムなどのヘテロ構造を含み得る。
下地層105は、例えば、低k誘電体材料を含む金属間誘電体(IMD)であり得る。1つ又は複数の実施形態において、構造110の材料は、下地層105と化学的に異なる材料であるように選択される。換言すれば、下地層105が「床」とみなされる場合、床上の構造110は、ある材料が下地層105と比較して異なるように構造110と反応するように、異なる化学組成を有する。構造110は、任意の従来のリソグラフィ又はマルチパターニングプロセスを使用して形成され得、酸化物、窒化物、金属、酸化物被覆フォトレジスト、硬化フォトレジスト、シリコンなどを含み得る。
構造の後続の層を基板103上に形成するために、後続のリソグラフィプロセスは、スピンオン堆積又はスピンコーティングプロセスを使用して基板103を材料層でコーティングすることを必要とする。基板103が密集構造の非平面状のトポグラフィを含む場合、スピンコーティングプロセス中に、密集構造は、スピンオン材料を上方に押し上げ、どれほど多くのスピンオン材料が下地層105の覆われていない部分を満たすかの質量分率を操作し得る。これによって、材料が下地層105の上に様々な膜厚で堆積される。換言すれば、材料の堆積層は、構造110によって生じるトポグラフィと相互作用し、非平面状に堆積され得る。
図1B~図1Eは、酸発生剤を含むグラフト化材料を下地層105の覆われていない表面に選択的に堆積させた後に充填材料を基板103の上に堆積させる、平坦化膜を基板103上に形成するためのプロセスの段階を示す。次いで、溶解度シフト酸は、溶剤又は現像液に対する充填材料の部分の溶解度を変化させるために、グラフト化材料から遊離され、充填材料中に拡散される。
図1Bを参照すると、自己組織化単分子膜(SAM)などのグラフト化材料120は、構造110に付着せずに、下地層105の覆われていない部分の上に堆積される(ブロック204)。グラフト化材料120は、任意の好適な方式で塗布され得る。ある実施形態において、グラフト化材料120は、スピンオン技術又は低温化学気相堆積(CVD)プロセスを通して堆積される。グラフト化材料120の形成は、有利には、選択的であり得る。様々な実施形態において、堆積は、選択的堆積によって化学的に制御され得る。例えば、グラフト化材料120は、グラフト化材料120が下地層105の覆われていない部分の上にのみ塗布されるように、構造110に対して選択的な材料を含み得る。グラフト化材料120を堆積させるための特定のプロセスステップ及び化学的性質は、下地層105の化学的性質、構造110の化学的性質、及び堆積技術に応じて変化し得る。
グラフト化材料120は、酸発生剤を更に含み得る。酸発生剤は、何らかの刺激を受けた後に周囲のシステムに酸を放出する種であり得る。例えば、酸発生剤は、熱の存在下で酸を放出する熱酸発生剤(TAG)であり得る。酸発生剤はまた、特定の波長及び/又はエネルギー範囲の放射に応答して酸を放出する光酸発生剤(PAG)であり得る。1つ又は複数の実施形態において、酸発生剤は、遊離酸であり得る。
グラフト化材料120は、いくつかの実施形態では、エタノール、イソプロパノール(IPA)、プロピレングリコールメチルエーテルアセテート(PGMEA)、メチルイソブチルカルビノール(MIBC)、2-ヘプタノン、デカンなどの溶剤を更に含み得る。
1つ又は複数の実施形態において、上述のように、グラフト化材料120は、3つの一般化された官能基、すなわち、頭部基、官能基、及び基体を含み得るSAMを含み得る。SAMの構成を選択する際に、適切な選択が行われるように、それらの一般化された官能基の各々の役割が考慮され得る。
配位基と呼ばれることもある、頭部基は、下地層105に付着するのに適している。概して、頭部基は、下地層105に結合又は化学吸着され得る。上記で説明したように、構造110は、下地層105と異なる材料を含む。それゆえ、頭部基の化学的性質は、下地層105を構成する材料にのみSAMが化学吸着されるように選択される。1つ又は複数の実施形態において、頭部基は、チオール(-SH)、アルコール(-OH)、又はシラン(例えば、-SiCl又は-SiRCl、式中、Rは置換基である)を含み得る。他の考えられる頭部基としては、リン酸塩、アミノ基などが挙げられる。
末端基と呼ばれることもある官能基は、SAMの表面状態を最適化するように設計され、後続のスピンオン層がグラフト化材料120に接着する(例えば湿潤状態を提供する)ことを可能にする。官能基は、例えば、メチル(CH)、フッ素化メチル(CF)、アミン(NH)又はニトロ(NO)を含み得るが、他の適切な官能基も使用され得る。いくつかの場合には、官能基は、架橋反応中に異なる官能基に変換され得る。例えば、ニトロ官能基は、SAMを極紫外線(EUV)放射にさらして架橋反応を引き起こすことによって、アミン基に変換され得る。
基体は、頭部基を官能基に結合し、頭部基と官能基との間に所望の間隔を提供する。ある実施形態において、基体は、脂肪族鎖(例えば、R-CH-R)、フルオロカーボン鎖(例えば、R-CF-R)、又は芳香族鎖(例えば、R-CF-R)などの分子鎖である。
代替的又は追加的に、グラフト化材料120は、ポリマー系の選択的ブラシ、すなわち、ポリニトロフェニルエステル(PNPE)、ポリ(ブタジエン)-b-ポリ(スチレン)(PB-b-PS)、又はポリ(メチルメタクリレート)-g-ポリ(スチレン)(PMMA-g-PS)、ポリ(2-メタクリロイルオキシエチルホスホリコリン)(PMPC)などのポリマーブラシを含み得る。選択的ブラシは、同様の付着(「頭部」)基を使用するという意味でSAMと同様であるが、より嵩高い分子にする、ボトルブラシ構造などの、ポリマー骨格を有するという意味で異なる。
酸発生剤を含む追加の官能基(すなわち、活性基に結合した付着基)は、グラフト化材料120に付着される。例えば、1つ又は複数の実施形態において、酸発生剤は、「クリック」ケミストリーなどの選択的プロセスを使用して、SAM又はポリマーブラシに付着される。クリックケミストリーとは、高い特異性を有する分子を付着させるために使用される化学反応を指す。
一例として、付着基は、無水物であり得る。無水物は、グラフト化材料120に含まれるアミン官能基に付着して、イミドを形成し得る(例えば、(-C(O))O+-NH→(-C(O))NH)。次いで、光酸発生剤(PAG)などの酸発生剤は、イミドに付着され得る。PAG活性基の一例は、RSOであり、式中、Rは官能基である。一実施形態では、PAG活性基は、RSOであり、式中、Rはジフルオロベンジル基(-(C)F)である。別の実施形態では、PAG活性基は、Rがニトロトリメチルベンジル基(-(C)(NO)(CF))であるRSOである。Rはまた、トリメチル基(-CF)、パーフルオロブチル基(-C)などであり得る。
1つ又は複数の実施形態において、グラフト化材料120の部分は、下地層105の部分及び構造110に付着していないか又は弱く結合され得る。グラフト化材料120の未付着部分又は弱く結合した部分は、溶剤剥離プロセスを使用して除去され得る。溶剤剥離プロセスで使用される溶剤は、プロピレングリコールメチルエーテル(PGME)、プロピレングリコールメチルエーテルアセテート(PGMEA)、n-ブチルアセテート(nBA)、トルエン、又はアニソールを含み得る。
様々な実施形態において、グラフト化材料120の厚さは、約2nm~20nmであり、例えば、一実施形態では2nm~5nmである。1つ又は複数の実施形態では、グラフト化材料120は平面状の上表面を有するが、グラフト化材料120の厚さは、構造110よりも著しく薄い。よって、グラフト化材料120自体を平坦化層として使用することはできない。
1つ又は複数の実施形態において、堆積後に、グラフト化材料120は、塗布後ベークを受ける。例えば、ソフトベークプロセスは、グラフト化材料120の材料を蒸発させ、それを緻密化するために、例えば溶剤の一部を蒸発させるために使用され得る。ソフトベークプロセスは、グラフト化材料120を100℃未満の狭い温度範囲で、例えば60℃~90℃で加熱することを含む。
図1Cを参照すると、充填材料130は、基板103の上に堆積される(ブロック206)。充填材料130は、基板103の加工表面及び構造110を覆うように、スピンオン堆積を使用して堆積され得る。1つ又は複数の実施形態において、フォトレジストなどのスピンオン材料とは異なり、充填材料130の上表面は、非平面状の表面を有し得る。例えば、充填材料130の上表面は、構造よりも上の隆起部分などの非平面部分を含み得る。
1つ又は複数の実施形態において、堆積後に、充填材料130は、塗布後ベークを受ける。例えば、ソフトベークプロセスは、充填材料130の材料を蒸発させ、それを緻密化するために使用され得る。ソフトベークプロセスは、充填材料130を150℃未満の狭い温度範囲で、例えば60℃~90℃で加熱することを含む。
1つ又は複数の実施形態において、充填材料130は、拡散した酸と架橋することによってその溶解度を変化させるのに適合する化学的性質を有するように選択され得る。したがって、充填材料130は、エポキシ系又はヒドロキシル系樹脂などの酸感受性樹脂、コーティング添加剤、及びスピンオン堆積を補助するための溶剤を含み得る。例えば、樹脂は、中でもとりわけ、二官能性ビスフェノールA/エピクロロヒドリン液体エポキシ樹脂又は低い加水分解性塩素含有量を有するエポキシフェノールノボラック樹脂であり得る。例えば、コーティング添加剤は、テトラキス(メトキシメチル)グリコールウリル(TMMG)又はジ(トリメチロールプロパン)(DTMP)を含み得る。
他の実施形態では、充填材料130は、拡散した酸と脱保護反応を通じて相互作用することによって、その溶解度を変化させるのに適合する化学的性質を有するように選択され得る。様々な実施形態において、充填材料130は、tert-ブチルオキシカルボニル(t-BOC)保護ポリマーを含み得る。1つ又は複数の実施形態において、充填材料130は、tert-ブチルアクリレート(TBA)と別のモノマーとを含むコポリマーであり得る。他の実施形態において、充填材料130は、TBAと他の2つのモノマーとを含むターポリマーであり得る。モノマーの例としては、限定されるものではないが、ヒドロキシスチレン(HS)、メチルメタクリレート(MMA)、メチルアダマンチルメタクリレート(MAMA)、ヒドロキシアダマンチルメタクリレート(HAdMA)、又はメチルアクリル酸(MA)が挙げられ得る。溶解度、現像速度などの充填材料130の特性は、モノマーの組み合わせ及び/又はポリマーの分子量を変更することによって調整され得る。
図1Dを参照すると、上記で説明したように、グラフト化材料120の溶解度シフト酸を遊離させ(ブロック208)、グラフト化材料120の上表面から拡散距離115まで充填材料130中に拡散させる(ブロック210)。
上で説明したように、溶解度シフト酸を遊離させる方法(すなわち、熱又は放射)は、酸発生剤の種類によって決まる。酸発生剤が光酸発生剤(PAG)である実施形態において、基板103は、放射のパターンにさらされ、これは、紫外線放射などの化学線でのブランケット露光又は局所露光であり得る。ブランケット露光の場合、基板の上表面の全てが、均一な強度の放射を受けるが、この放射を受けた後にグラフト化材料120のみが変化する。ブランケット露光は、従来のリソグラフィツール及び他のスキャン/印刷ツールを使用して実行され得る。
ある実施形態において、放射のパターンは、グラフト化材料120によって覆われた下地層105の部分の上に局所化され得る。例えば、局所露光は、グラフト化材料120が、パターンに依存する不均一な表面を有する、例えば密集フィーチャの周囲でより厚い又はより薄い場合に使用され得る。このような場合、遊離させる酸の量は、グラフト化材料120の不均一な厚さを補正するように調整され得る。換言すれば、放射強度は、遊離させる酸の量を変化させるパターン依存性を有する。別の実施形態では、ウェーハのパターン形成されたフィーチャの中心から縁部にわたるばらつき及びエッチングの不均一性を考慮に入れて、中心から縁部までの露光量を変化させ得る。
局所露光の場合、露光前に、基板103は、基板を放射にさらすツールと位置合わせされる。様々な実施形態において、アラインメントマークを用いる光学アラインメントが使用され得る。別の実施形態において、基板103にわたって形成された構造110は、基板103を位置合わせするために使用され得る。
1つ又は複数の実施形態において、基板は、直接書き込みリソグラフィツールなどの、マスクなしリソグラフィツールを使用して局所的に露光され得る。1つ又は複数の実施形態において、放射の露光パターンを形成するために、デジタル光投影(DLP)、グレーティングライトバルブリソグラフィ、電子ビームリソグラフィ、プラズモニックリソグラフィ、集束イオンビーム(FIB)リソグラフィ、又はナノインプリンティングなどの直接書き込みリソグラフィ法が使用され得る。例えば、放射のパターンは、直接書き込みリソグラフィプロセスを使用して専用の直接書き込み機で形成され投影され得る。他の実施形態において、放射のパターンは、従来のマスクを使用して専用のツールで局所化され得る。
酸発生剤が熱酸発生剤(TAG)である、1つ又は複数の実施形態において、溶解度シフト酸は、ホットプレートなどのアニールツール又は高速熱アニール装置などの炉での重合ベークを使用して遊離され得る。1つ又は複数の実施形態において、重合ベーク温度は、90℃~250℃の範囲内であり得、重合ベークは、10秒間~120秒間にわたって行われ得る。
次いで、溶解度シフト酸を遊離させた後に、溶解度シフト酸は、グラフト化材料120の上表面から拡散距離115まで充填材料130中に拡散し得る(ブロック210)。
上記で説明したように、溶解度シフト酸は、拡散距離115の範囲内の充填材料130を架橋又は脱保護することによって充填材料130と相互作用し、溶剤又は現像液に対する溶解度を変化させる。様々な実施形態において、溶解度シフト酸の拡散距離115は、概して、基板103にわたる水平面(例えば、下地層105)に対する充填材料130の架橋又は脱保護された部分の高さに等しくなるように設計される。換言すれば、拡散距離115は、充填材料の架橋又は脱保護された部分(図1Dにおける充填材料の第1の部分130a)の高さに等しい場合がある。1つ又は複数の実施形態において、拡散距離115は、10nm~150nmの範囲内であり得る。
特に、様々な実施形態では、溶解度シフト酸は、拡散距離115を超えて拡散し得るが、拡散距離115の外側の溶解度シフト酸の濃度は、充填材料130と反応するのに十分でないことがある。
溶解度シフト酸は、溶解度シフト酸を遊離させた後に、高温拡散ベーク処理プロセスを用いて拡散され得る。1つ又は複数の実施形態において、拡散ベーク処理温度は、90℃~250℃の温度範囲内であり得る。様々な実施形態において、ベーク処理のための拡散時間は、拡散距離115が、およそ、充填材料130中の溶解度シフト酸の拡散率と拡散時間との積の平方根となるように選択され得る。1つ又は複数の実施形態において、ベーク処理は、10秒間~120秒間にわたって実行され得る。更なる実施形態において、ベーク処理は、1分間~10分間にわたって実行され得る。
様々な実施形態において、溶解度シフト酸の所望の拡散距離115は、構造110の高さと一致するように定められ得る。例えば、拡散距離115が高すぎるか又は低すぎる場合、結果として得られる平坦化膜は、構造110がない望ましくない表面又は非平面状の表面を形成し得る。他の実施形態において、所望の拡散距離115は、構造110の高さよりも大きい又は小さい高さとして定められ得る。例えば、1つ又は複数の実施形態において、平坦化膜は、犠牲保護層として使用され得る。有利には、拡散距離115は、拡散ベーク処理時間又は温度などの拡散ベーク処理プロセスパラメータに基づいて調整され得る。また、拡散距離115は、酸の分子量及び種類などの酸発生剤の特性を変化させるとともに、組成、分子量などの充填材料130の特性を変化させることによって調整され得る。
酸発生剤がPAGである1つ又は複数の実施形態において、拡散距離115はまた、上記でも述べたように局所露光を制御することによってマスクあり又はマスクなしのリソグラフィツールにより定められる放射の露光線量によっても調整され得る。換言すれば、グラフト化材料120の各部分への放射の露光線量は、PAGから遊離させる酸の量を制御し、基板にわたって異なる拡散距離を可能にするように調整され得る。
図1Eを参照すると、次に、現像ステップが実行される(ブロック212)。充填材料は、未反応の充填材料130bを除去するために、溶剤又は現像液ですすがれる。1つ又は複数の実施形態において、すすぎ液は、キャスト溶剤、水性現像液、n-ブチルアセテート(NBA)、プロピレングリコールメチルエーテル(PGME)、フェノール系剥離剤、アセトン、トリクロロエチレンなどを含み得る。これによって、追加のパターン形成、ハードマスクなどのためにリソグラフィ膜を適用するなどの、追加の処理を受ける準備が整った平坦化表面が提供される。
図1Dを再び参照すると、酸を遊離及び拡散させた後、ここで、拡散距離115に含まれる充填材料の第1の部分130aは、所与の溶剤又は現像液に対して不溶である。この理由は、充填材料130が、グラフト化材料120からの酸と反応した後に、充填材料の第1の部分130aにおいて架橋されるからであり得る。その一方で、充填材料の第2の部分130bは、溶剤又は現像液に可溶である。したがって、充填材料の第2の部分130bのみが現像中に剥離され、実質的に平面状の表面が残される。
しかしながら、本発明の実施形態では、拡散距離115が構造110の高さと正確に一致しない場合に、追加の時限エッチングプロセス又は化学的平坦化プロセスが考慮される。そのような実施形態において、構造110又は充填材料の第1の部分130a/構造110は、平面状の表面を得るために選択的に除去され得る。
ここで、平坦化制御ループの更なるステップについて説明し、これらのステップは、試験ウェーハに対して実行されるか、又はブロック214~222を使用してウェーハのバッチが処理された後に実行され得る。
様々な実施形態において、現像ステップ後に、拡散距離115が測定され得る(ブロック214)。拡散距離115は、光学技法又は電子顕微鏡技法を用いて測定され得る。
測定した拡散距離115は、目標拡散距離と比較され得る(ブロック216)。例えば、目標拡散距離は、構造110の厚さと、塗布されるグラフト化材料120の厚さとに基づき得る。測定した拡散距離115が目標拡散距離を満たさない場合、拡散距離115は、調整され、すなわち増減され得る(ブロック218)。概して、上記で説明したように、拡散距離115は、溶解度シフト酸を伴う酸発生剤の特性、充填材料の特性、TAGの分解ベーク時間/温度又はPAGの露光線量、及び拡散ベーク時間/温度などのプロセスパラメータを変化させることによって調整され得る。加えて、グラフト化材料120の厚さもまた、遊離され得る酸の総量を変化させるので、増減され得る。この調整は、目標拡散距離からの拡散距離115の所与のずれに対する適切なパラメータを特定するために使用され得るルックアップテーブルを実験的に形成することによって実行され得る。
更なるウェーハは、調整済みのパラメータで処理され得る。例えば、同じ処理段階で形成された構造110を有する新たな基板は、プロセスパラメータを調整した後に、上記で説明したプロセスを使用して平坦化される(ブロック222)。
測定した拡散距離が目標拡散距離を満たしているとの判定に応じて、プロセスパラメータの変更が行われない(ブロック220)。いずれの決定にもかかわらず、後続のウェーハは、平坦化され得る。
1つ又は複数の実施形態において、上記で説明したように、拡散距離は、構造110の高さよりも大きくなるように構成され得る。
本出願の実施形態では、処理中の各ウェーハにおける、ダイ全体のばらつき及び/又はウェーハの中心から縁部にわたるばらつきが存在することが考慮される。例えば、基板上の異なる領域は、パターンに依存するばらつきを生じさせる可能性がある大きさ又は間隔(ピッチ)が異なる構造を有し得る。本出願の実施形態は、有利には、これらの変動を低減するために適用され得る。加えて、本出願の実施形態は、1つの領域におけるあるフィーチャを露出させる(開放する)ために使用され得る。同様に、ある実施形態では、ある位置におけるフィーチャは、ブロック(保護)され得る。よって、本出願の実施形態は、別の位置におけるフィーチャをブロックする一方で、あるフィーチャを開放するために適用され得る。様々な実施形態を以下でより詳細に説明する。
図3A及び図3Bは、本出願の実施形態による製造の中間段階における半導体デバイスの断面図を示す。
図3Aの図では、構造110は、基板の第2の部分306よりも基板の第1の部分304の上に(狭いピッチで)密集し得る(又はその逆も然りである)。1つ又は複数の実施形態において、基板103の両方の部分上の構造110は、同じクリティカルディメンジョン又は異なるクリティカルディメンジョンを有し得る。他の実施形態において、基板103の両方の部分上の構造110は、同じ充填密度(同じピッチ)を有し得る。
図3Aは、異なる充填密度を有する基板103の2つの部分を示しているが、基板103にわたって形成され得る異なる充填密度の数は、本開示によって限定されない。
様々な実施形態において、図3Aに示すように、溶解度シフト酸は、遊離され、構造110の高さよりも大きくなるように構成され得る拡散距離315まで充填材料130中に拡散され得る。
様々な実施形態において、拡散距離315は、特定の過剰充填高さ316だけ構造110の高さよりも大きくなるように構成され得る。特定の過剰充填高さ316を含む拡散距離315は、溶解度シフト酸を伴う酸発生剤の特性、充填材料の特性、TAGの重合ベーク時間/温度又はPAGの露光線量、及び拡散ベーク時間/温度などの、プロセスパラメータに基づいて構成され得る。
図3Bを参照すると、ここで特定の過剰充填高さ316を含む、拡散距離315に含まれる充填材料の第1の部分130aに溶解度シフト酸を拡散させた後、充填材料の第2の(例えば、未反応)部分130bは、その後の溶剤又は現像液によって除去され得る。有利には、ここで、残りの充填材料130aの上表面は、平面状であり、更なる平坦化プロセスを必要としない。
本発明の実施形態ではまた、グラフト化材料120が光酸発生剤(PAG)を含む場合に、溶解度シフト酸の拡散距離が基板103の異なる部分にわたって制御され得る状況が考慮され得る。有利には、上記で説明したように、拡散距離(例えば、膜の高さ)の任意の好適な変化量は、PAGを含むグラフト化材料の異なる部分を異なる線量の放射にさらすことによって、基板にわたって制御され得る。様々な実施形態について以下で述べる。
図4A及び図4Bは、本出願の実施形態による製造の中間段階における半導体デバイスの断面図を示す。
先に説明したように、本出願の実施形態はまた、後続の処理ステップに存在する不均一性を考慮に入れるために適用され得る。
図4Aを参照すると、構造110の各々の間の拡散距離は、PAGから溶解度シフト酸を遊離させるために使用される放射のパターンによって個別に制御され得る。換言すれば、化学線のパターンは、グラフト化材料120の異なる部分を異なる線量の放射にさらしてより多量/少量の溶解度シフト酸を遊離させるように制御され得る。図4Aに図示する例では、化学線の線量は、より大きな拡散距離を可能にするために、基板の第2の部分406と比較して基板の第1の部分404においてより多量の酸を遊離させるように構成され得る(又はその逆も然りである)。
様々な実施形態において、化学線のパターンは、図1Dで説明したのと同じ方式で、マスクあり又はマスクなしのリソグラフィ法を使用して制御され得る。
図4Aに示すように、異なる量の遊離酸によって、構造110の高さに等しい、基板の第1の部分404における第1の拡散距離415と、構造110の高さよりも小さい(又はその逆も然りである)、基板の第2の部分406における第2の拡散距離417とが得られ得る。
図4Aに示す例は、拡散距離の2つの変化のみを示しているが、基板にわたる拡散距離の変化数は、本開示によって限定されない。拡散距離の任意の好適な数の変化は、化学線の露光パターンによって構成され得る。
図4Bを参照すると、充填材料の第2の(例えば、未反応)部分130bは、その後の溶剤又は現像液によって除去され得る。1つ又は複数の実施形態では、これによって、基板の第1の部分404における第1の拡散距離415に相当する第1の高さと、基板の第2の部分406における第2の拡散距離417に相当する第2の高さとを有する基板103上に膜が形成され得る。
有利には、先述のように、第1の拡散距離415と第2の拡散距離417との差は、後続の処理ステップにおける不均一性を考慮に入れて制御され得る。例えば、基板103にわたって構造110を除去するためのエッチングプロセスは、不均一なエッチング速度をもたらし得る(例えば、基板の中心でのエッチング速度は、縁部でのエッチング速度よりも速い)。それゆえ、基板にわたる拡散距離は、下地層105のえぐれを防止するために、基板の中央にある下地層105(例えば、より厚い膜)に特別な保護を提供するように構成され得る。
続いて、構造110を除去するために使用されるマンドレルプルエッチングなどの、エッチングプロセスは、中心から縁部までの不均一なエッチング速度(例えば、縁部よりも基板の中心でのエッチング速度が速い)。換言すれば、1つ又は複数の実施形態において、エッチングプロセスは、基板の第1の部分404にわたって基板の第2の部分406よりも速いエッチング速度をもたらし得る(又はその逆も然りである)。有利には、第1の拡散距離415は、エッチング速度の差を考慮に入れて、第2の拡散距離417よりも大きくなるように構成され得る。その1つの利点は、基板の第1の部分404上の下地層105をより速いエッチング速度に起因するえぐれから更に保護するために、より厚い膜が基板の第1の部分404の上に形成されることである。
図5A及び図5Bは、本出願の実施形態による製造の中間段階における半導体デバイスの断面図を示す。
図5A及び図5Bに示す様々な実施形態では、基板103にわたって異なる高さを有する膜が形成され得、異なる膜高さの各々は、構造110の高さよりも大きい。
図5Aを参照すると、1つ又は複数の実施形態において、グラフト化材料120の溶解度シフト酸は、構造110の高さよりも大きい第1の拡散距離515及び第2の拡散距離517まで拡散され得る。換言すれば、膜は、基板の第1の部分504における第1の高さ(例えば、第1の拡散距離515)と、基板の第2の部分506における第2の高さ(例えば、第2の拡散距離517)とを有する基板103にわたって形成され得る。
図5Aに示すように、基板の第1の部分504における第1の拡散距離515は、第1の拡散距離515と構造110の高さとの差に相当する第1の過剰充填高さ516を含み得る。第2の拡散距離517は、第1の過剰充填高さ516よりも低い場合がある第2の過剰充填高さ518であって、第2の拡散距離517の高さと構造110の高さとの差に相当し得る第2の過剰充填高さ518を含み得る。他の実施形態において、(第2の拡散距離517を伴う)第2の過剰充填高さ518は、(第1の拡散距離515を伴う)第1の過剰充填高さ516よりも大きい場合がある。溶解度シフト酸は、図4Aで説明したのと同じ方式で、遊離、拡散、及び制御され得る。
図5Bを参照すると、第1の拡散距離515及び第2の拡散距離517に含まれる充填材料の第1の部分130aに溶解度シフト酸を拡散させた後、充填材料の第2の(例えば、未反応)部分130bは、その後の溶剤又は現像液によって除去され得る。これによって、異なる膜高さの各々が構造110の高さよりも大きい、異なる高さを有する膜が得られ得る。
その後の処理は、色調パターンの反転を実行するために使用され得る。1つ又は複数の実施形態において、膜は、構造110を露出させるためにエッチバックされ得る。しかしながら、先述のように、基板103にわたって不均一なエッチング速度が生じ得る。例えば、1つ又は複数の実施形態において、基板の第1の部分504は、基板の第2の部分506よりも速いエッチング速度をもたらし得る。それゆえ、第1の拡散距離515(例えば、第1の過剰充填高さ516)は、エッチバック後に膜高さが基板103にわたって等しくなるように、第2の拡散距離517(例えば、第2の過剰充填高さ518)よりも大きくなるように構成され得る。次いで、構造110は、露出された時点でエッチングされ、フィーチャの逆の色調パターンが膜内に形成される。有利には、色調パターンの反転は、より小さな線を形成するか又は表面粗さが改善されたフィーチャのパターンを形成するために使用され得る。
図6A及び図6Bは、本出願の実施形態による製造の中間段階における半導体デバイスの断面図を示す。
ある実施形態において、いくつかの(全てではない)位置における拡散距離は、構造110の高さよりも大きい場合がある。そのような実施形態は、処理のための別の領域におけるフィーチャを開放する一方で、基板の1つの領域を保護するために使用され得る。換言すれば、この処理の後に、1つの領域がマスクされる。
図6Aを参照すると、1つ又は複数の実施形態において、グラフト化材料120の溶解度シフト酸は、1つの拡散距離のみが構造110の高さよりも大きい、2つの異なる拡散距離まで拡散され得る。換言すれば、基板の第1の部分604における第1の拡散距離615は、基板の第2の部分606における第2の拡散距離617及び構造110の高さよりも大きい場合がある。1つ又は複数の実施形態において、第2の拡散距離617は、構造110の高さよりも小さい場合がある。
図6Aに示すように、基板の第1の部分604における第1の拡散距離615は、第1の拡散距離615と構造110の高さとの差に相当する第1の過剰充填高さ616を含み得る。1つ又は複数の実施形態において、第2の拡散距離617は、構造110の高さよりも大きく、過剰充填高さを含み得、その一方で、第1の拡散距離615は、構造110の高さよりも小さい。溶解度シフト酸は、図4Aで説明したのと同じ方式で、遊離、拡散、及び制御され得る。
図6Bを参照すると、充填材料の第2の(例えば、未反応)部分130bは、その後の溶剤又は現像液によって除去され得る。1つ又は複数の実施形態では、これによって、基板の第1の部分604の上の構造110の高さよりも大きい第1の高さと、基板の第2の部分606上の構造110の高さよりも小さい第2の高さを有する膜が得られ得る(又はその逆も然りである)。
有利には、基板にわたって拡散距離を制御することによって、基板のある部分に形成された構造は、基板の他の部分に形成された構造の上部分が露出される一方で全体的に保護され得る。その1つの利点は、反応性イオンエッチング又は堆積などの、後続のプロセスが、露出した構造110の上部のみに影響を及ぼすことを可能にすることである。換言すれば、基板の第2の部分606上に形成された構造110は、基板の第1の部分604上に形成された構造110が保護されたままである間に、追加の処理を受け得る。例えば、1つ又は複数の実施形態において、基板の第2の部分606の上に形成された構造110の上部は、完全に除去されて、構造110の「切断」をもたらし、最終的なメタライゼーションパターンを形成し得る。
本発明の実施形態ではまた、ボトムアップ膜が基板のある部分の上にのみ形成され得る状況が考慮され得る。
図7A及び図7Bは、本出願の実施形態による製造の中間段階における半導体デバイスの断面図を示す。
先の実施形態は、ある領域を部分的に開放したが、更なる実施形態では、1つ又は複数の領域の全てが開放され得る。これは、以下で説明する図7A及び図7Bにおいて更に示される。
図7Aを参照すると、1つ又は複数の実施形態において、PAGの溶解度シフト酸は、遊離され、基板の第1の部分704の上にのみ拡散され得る。上記で説明したように、グラフト化材料120はPAGのみを含むので、溶解度シフト酸を遊離させるために使用される化学線のパターンは、基板の第1の部分704のみを露光するようにパターン形成され得る。それゆえ、基板103が高温拡散ベークを受けたときに、基板の第1の部分704から遊離させた溶解度シフト酸は、拡散距離715まで充填材料中に拡散され得る。他の実施形態において、化学線のパターンは、基板の第2の部分706からのみ酸を遊離させるようにパターン形成され得る。
図7Bを参照すると、充填材料の第2の(例えば、未反応)部分130bは、第1の拡散距離715に含まれる充填材料の第1の部分130aが残る一方で、溶剤又は現像液によって除去され得る。これによって、基板の第1の部分704のみを覆う(又はその逆も然りである)膜が得られ得る。様々な実施形態において、充填材料の第2の(例えば、未反応)部分130bを除去した後に、化学線のパターンにさらされなかったグラフト化材料120(例えば、基板の第2の部分706上に配置されたグラフト化材料120)の部分は、選択的に除去され得る。
様々な実施形態において、グラフト化材料120の特定の部分において遊離させた溶解度シフト酸の拡散距離715は、溶解度シフト酸を伴う酸発生剤の特性、充填材料の特性、PAGの露光線量、及び拡散ベーク時間/温度などの、上述したプロセスパラメータを使用して制御され得る。例えば、様々な実施形態において、拡散距離715は、構造110の高さよりも小さく、大きく、又はそれに等しくなるように構成され得る。
続いて、基板103の選択された部分(例えば、基板の第2の部分706)上の構造110は、トリミングされるか、除去されるか、又は官能化され得る。例えば、様々な実施形態において、基板の第2の部分706上の構造110は、基板の第1の部分704が影響を受けないままである一方で、(ウェット又はドライ)エッチングプロセスを使用して、トリミングされるか、除去されるか、又は下地層105に記憶され得る。1つ又は複数の実施形態において、追加の材料は、SAM又はヘキサメチルジシラザン(HMDS)様試薬などの、構造110の湿潤性又は官能化を変化させ得る誘電体膜又は表面処理剤などの基板の第2の部分706の構造110上にのみ堆積され得る。他の実施形態において、スピンオンガラス、SAM含有材料などのスピンオンコーティングは、基板の第2の部分706上に堆積され得る。
図8A及び図8Bは、本出願の実施形態による製造の中間段階における半導体デバイスの断面図を示す。
明示されていないが、本実施形態の実施形態はまた、第2の領域を完全に開放する一方で、第1の領域を部分的に開放し得る。
図8Aを参照すると、1つ又は複数の実施形態において、溶解度シフト酸は、基板の第1の部分804のみにおける構造110の高さよりも小さい拡散距離815まで拡散され得る。
上記で説明したように、溶解度シフト酸は、基板の第1の部分804のみを放射にさらすことによって基板の第1の部分804の上にのみ遊離され得る。次いで、溶解度シフト酸を遊離させた後に、溶解度シフト酸は、高温拡散ベークを使用して、基板の部分804における拡散距離815まで拡散され得る。様々な実施形態において、拡散距離815の高さは、上述したプロセスパラメータに基づいて、構造110の高さよりも小さくなるように構成され得る。
図8Bを参照すると、充填材料の第2の(例えば、未反応)部分130bは、第1の拡散距離815に含まれる充填材料の第1の部分130aが残る一方で、溶剤又は現像液によって除去され得る。これによって、構造110の高さよりも小さい高さで基板の第1の部分804のみを覆う(又はその逆も然りである)膜が得られ得る。1つ又は複数の実施形態において、充填材料の第2の(例えば、未反応)部分130bを除去した後に、基板の第2の部分806におけるグラフト化材料120の一部(例えば、グラフト化材料120の覆われていない部分)が除去され得る。
続いて、基板の第2の部分806上のグラフト化材料120の除去部分は、基板の第2の部分806上の構造110によって形成されたパターンが、フィーチャのパターンを下地層105に転写するためのエッチングマスクとして使用されることを可能にし得る。しかしながら、先述のように、エッチング速度は、基板103にわたって不均一であり得る。例えば、1つ又は複数の実施形態において、エッチングプロセスは、基板の第1の部分804にわたって基板の第2の部分806よりも速いエッチング速度をもたらし得る(又はその逆も然りである)。有利には、エッチングプロセス後に全ての構造110が同じ高さであることを確実にするために、特定の拡散距離まで基板の第1の部分804の上にのみ膜を形成することによって、基板の第1の部分804に特別な保護が提供され得る。
本発明の実施形態ではまた、異なる高さの構造の上に平坦化膜が形成される状況が考慮され得る。
図9A及び図9Bは、本出願の実施形態による製造の様々な段階における半導体デバイスの断面図を示し、図9Aは、グラフト化材料を覆う充填材料を堆積させた後のデバイスを示し、図9Bは、溶解度変化を起こしていない充填材料の部分を、溶剤を使用して除去し、最も背の高い構造の高さに等しい高さを有する平坦化膜が得られた後のデバイスを示す。
図9Aを参照すると、半導体デバイスの一部は、基板103の加工表面にわたって形成された下地層105上に形成された、第1の高さの第1の構造110と、第2の高さの第2の構造913とを有する。様々な実施形態において、第1の構造110は、基板の第1の部分904の上に形成され、第2の構造913は、基板の第2の部分906の上に形成される。1つ又は複数の実施形態において、第1の構造110は、第2の構造913よりも密集し得る(又はその逆も然りである)。様々な実施形態において、第2の構造913は、同じ材料を含み得、第1の構造110と同じ方式で形成され得る。
図9Aは、2つの異なる高さの構造を含む基板の2つの部分を示しているが、これは、基板103の表面にわたって形成され得る構造間の高さ変化の数を示すものではない。
同様に、図9Aは、基板103にわたる2つの異なる充填密度を示しているが、基板にわたって形成される異なる充填密度の数は、本開示によって限定されない。
図9Bを参照すると、グラフト化材料120の溶解度シフト酸は、遊離され、拡散距離922まで充填材料130中に拡散される。1つ又は複数の実施形態において、拡散距離922は、最大の高さを有する構造に等しくなるように構成され得る。それゆえ、図9Bに示すように、拡散距離は、第1の構造110(例えば、最も背の高い構造)の高さにほぼ等しい。拡散距離922は、図1Dで述べた拡散距離115に類似しており、その説明は、繰り返されることなく、参照により援用される。
様々な実施形態において、上述のように、拡散距離922は、上述したプロセスパラメータに基づいて制御され得る。
図9Bを参照すると、充填材料の第2の(例えば、未反応)部分は、その後の溶剤又は現像液によって除去され得る。現像ステップは、図1Eで説明した現像ステップに類似しており、その説明は、参照により援用され、繰り返されない。
図10A及び図10Bは、本出願の実施形態による製造の中間段階における半導体デバイスの断面図を示す。
本発明の実施形態ではまた、ボトムアップ平坦化膜が、不揃いな高さの構造を含む基板にわたる最も背の高い構造を過剰に満たす状況も考慮され得る。先の実施形態と異なり、基板上に形成されたフィーチャは、異なる高さを有する。
図10Aを参照すると、様々な実施形態において、溶解度シフト酸は、第1の構造110(すなわち、より背の高い構造)の高さよりも大きい拡散距離1015まで拡散され得る。1つ又は複数の実施形態において、拡散距離1015は、上述したプロセスパラメータに基づく特定の過剰充填高さ1016だけ、第1の構造110の高さよりも大きい場合がある。
図10Bを参照すると、充填材料の第2の(例えば、未反応)部分は、その後の溶剤又は現像液によって除去され得る。1つ又は複数の実施形態では、これによって、第1の構造110の高さよりも大きい高さを有する、基板103上に形成される膜が得られ得る。
よって、平坦化表面が、構造110の高低差にもかかわらず形成され、ここで、追加の処理を受ける準備が整う。
本発明の実施形態例を、ここに要約する。他の実施形態も、本明細書の全体及び本明細書で出願される特許請求の範囲から理解することができる。
例1.基板を平坦化する方法であって、基板の加工表面のターゲット層上に形成された構造を有する基板を受け入れることであって、前記構造は第1の材料で形成され、前記ターゲット層は、前記第1の材料と異なる第2の材料で形成される、前記受け入れることと、グラフト化材料を前記基板上に堆積させることであって、前記グラフト化材料は、前記構造の表面に付着せずに、前記ターゲット層の覆われていない表面に付着し、前記グラフト化材料は、溶解度シフト剤を含む、前記堆積させることと、前記グラフト化材料を覆う充填材料を前記基板上に堆積させることと、前記溶解度シフト剤を所定の距離だけ前記充填材料中に拡散させることであって、前記溶解度シフト剤は、前記充填材料を所定の溶剤に対して不溶化させる、前記拡散させることと、前記所定の溶剤を使用して前記充填材料の可溶部分を除去することであって、前記充填材料の残り部分は、前記基板の前記加工表面に平行な表面を形成する、前記除去することとを含む、方法。
例2.現像後の前記充填材料の上表面は、前記構造の上表面に対して平面である、例1に記載の方法。
例3.現像後の前記充填材料の上表面は、前記構造の上表面のz高さよりも低いz高さを有する、例1又は2に記載の方法。
例4.前記溶解度シフト剤は、遊離酸である、例1~3のいずれか1つに記載の方法。
例5.前記溶解度シフト剤は、熱酸発生剤である、例1~4のいずれか1つに記載の方法。
例6.前記溶解度シフト剤は、光酸発生剤である、例1~5のいずれか1つに記載の方法。
例7.前記グラフト化材料は、自己組織化単分子膜(SAM)を含む、例1~6のいずれか1つに記載の方法。
例8.前記グラフト化材料は、ポリマーブラシを含む、例1~7のいずれか1つに記載の方法。
例9.基板上にパターンを形成する方法であって、グラフト化材料を基板の上に堆積させることであって、前記基板は、下地層上に位置決めされたマンドレルを有し、前記マンドレルは第1の材料を含み、前記下地層は第2の材料を含み、前記第2の材料は、前記第1の材料と化学的に異なり、前記グラフト化材料は、前記マンドレルの覆われていない表面に付着せずに、前記下地層の覆われていない表面に選択的に付着し、前記下地層に付着する前記グラフト化材料によって均一な厚さの前記グラフト化材料が前記下地層上に得られ、前記グラフト化材料は、活性化エネルギーに応答して酸を放出する酸発生剤を含む、前記堆積させることと、前記マンドレルによって画定された空間を満たす充填材料を前記基板上に堆積させることであって、前記充填材料は前記グラフト化材料に接しており、前記充填材料は前記グラフト化材料に接触し、前記充填材料は、前記グラフト化材料との水平界面を形成し、前記充填材料は第3の材料を含む、前記堆積させることと、前記酸発生剤を活性化させるのに十分な前記活性化エネルギーを前記グラフト化材料に与えて、酸を所定の距離だけ前記充填材料中に拡散させることであって、前記酸は、前記充填材料を特定の溶剤に対して不溶にする、前記拡散させることと、前記特定の溶剤を使用して前記充填材料の可溶部分を除去し、前記所定の距離に等しい充填材料の層が前記下地層上に得られることと、を含む、方法。
例10.前記所定の距離を目標距離と比較することと、前記所定の距離が前記目標距離を満たしていないと判定することと、前記所定の距離と前記目標距離との差に基づいて、前記グラフト化材料に与えられる前記活性化エネルギーを調整することによって、1組のプロセスパラメータを生成することと、下地層上に位置決めされたマンドレルを有する更なる基板を用意し、前記グラフト化材料を前記基板上に堆積させるステップと充填材料を前記基板上に堆積させるステップとを繰り返すことと、前記生成された1組のプロセスパラメータを使用して前記活性化エネルギーを更なる所定の距離まで前記グラフト化材料に与えるステップを実行することと、前記特定の溶剤を使用して前記充填材料の可溶部分を除去し、前記目標距離を満たす前記更なる所定の距離に等しい充填材料の層が前記下地層上に得られることを更に含む、例9に記載の方法。
例11.前記マンドレルは、基板の第1の部分上に形成された、第1の高さを有する第1の複数のマンドレルと、前記基板の第2の部分上に形成された、第2の高さを有する第2の複数のマンドレルとを含み、前記第1の高さは、前記第2の高さよりも大きい、例9又は10に記載の方法。
例12.前記所定の距離は、前記第1の高さよりも大きい、例9~11のいずれか1つに記載の方法。
例13.前記所定の距離は、前記第1の高さに等しい、例9~12のいずれか1つに記載の方法。
例14.基板上にパターンを形成する方法であって、グラフト化材料を基板の上に堆積させることであって、前記基板は、基板の加工表面にわたって形成された下地層の上に形成された複数の構造を含み、前記グラフト化材料は、前記複数の構造の表面を覆わずに前記下地層の露出部分を覆う、前記堆積させることと、前記グラフト化材料を覆う充填材料を前記基板上に堆積させることと、前記基板を放射のパターンにさらすことによって、前記グラフト化材料から溶解度シフト酸を遊離させることと、前記溶解度シフト酸を前記充填材料中に拡散させることによって、前記充填材料の一部を変換された充填材料に変換することと、前記変換された充填材料を除去せずに、前記充填材料の残り部分を選択的に除去することとを含む、方法。
例15.前記残り部分を選択的に除去した後に、前記基板は、前記変換された充填材料を含む主表面を含み、前記主表面は平面である、例14に記載の方法。
例16.前記変換された充填材料は、前記複数の構造の主表面と実質的に同一平面上にある主表面を含む、例14又は15に記載の方法。
例17.前記変換された充填材料は、前記複数の構造を覆う、例14~16のいずれか1つに記載の方法。
例18.前記変換された充填材料は、前記基板の第1の部分における第1の厚さと、前記基板の第2の部分における第2の厚さとを有し、前記第1の厚さは前記第2の厚さと異なる、例14~17のいずれか1つに記載の方法。
例19.前記複数の構造は、前記基板の第1の部分における第1の複数の構造と、前記基板の第2の部分における第2の複数の構造とを更に含み、前記第1の複数の構造は、前記第2の複数の構造と異なる寸法を含み、前記変換された充填材料は、前記第2の複数の構造の主表面を覆わずに前記第1の複数の構造の主表面を覆う、例14~18のいずれか1つに記載の方法。
例20.前記変換された充填材料は、前記基板の第1の部分における第1の厚さと、前記基板の第2の部分における第2の厚さとを有し、前記第1の厚さは、前記第2の厚さよりも大きく、前記複数の構造の高さよりも小さい、例14~19のいずれか1つに記載の方法。
前述の説明では、処理システムの特定の形状並びにそこで使用される様々な構成要素及びプロセスの説明など、具体的な詳細について記載してきた。しかしながら、本明細書における技術は、これらの具体的な詳細から逸脱する他の実施形態で実施されてもよく、そのような詳細は、説明のためのものであり、限定のためのものではないことを理解されたい。本明細書に開示の実施形態について、添付図面を参照して説明してきた。同様に、説明の目的のため、完全な理解をもたらすために特定の数、材料、及び構成を明らかにしてきた。それにもかかわらず、実施形態は、そのような具体的な詳細なしに実施され得る。実質的に同一の機能的構成を有する構成要素は、同様の参照符号によって示され、したがっていかなる冗長な説明も省略される場合がある。
様々な実施形態の理解を助けるために、様々な技術を複数の個別の動作として説明してきた。説明の順序は、これらの動作が必然的に順序依存であることを示唆するものと解釈されるべきではない。実際、これらの動作は提示した順序で実行される必要はない。説明した動作は、説明した実施形態と異なる順序で実行されてもよい。様々な追加の動作が実行されてもよく、且つ/又は説明した動作が追加の実施形態では省略されてもよい。
本明細書で使用される「基板」又は「ターゲット基板」は、本発明に従って処理される物体を総称して指す。基板は、デバイス、特に半導体又は他の電子デバイスの任意の材料部分若しくは構造を含んでもよく、例えば半導体ウェーハ、レチクルなどのベース基板構造、又は薄膜などのベース基板構造上の層若しくはベース基板構造に重なる層であってもよい。したがって、基板は、パターン形成されているか否かに依らず、いかなる特定のベース構造、下地層又は被覆層にも限定されず、むしろ、任意のそのような層又はベース構造、並びに層及び/又はベース構造の任意の組み合わせを含むことが考慮されている。説明では特定の種類の基板に言及する場合があるが、これは単に例示を目的としたものに過ぎない。
明確にするために、異なるステップが具体的な順序で説明されているが、様々な実施形態では、これらのステップのいくつかが、異なる順序で実行されてもよい。加えて、本明細書における異なる特徴、技法、構成などがそれぞれ、本開示の異なる箇所に記述される場合があるが、その概念はそれぞれ、互いに独立して又は互いに組み合わせて実行され得ることが意図されている。したがって、本発明は、多くの異なる方法で具現化及び考察することができる。
当業者であれば、本発明の同じ目的を達成しながらも、上記で説明した技術の動作に対してなされる多くの変形形態が存在し得ることも理解するであろう。そのような変形形態は、本開示の範囲に包含されることが意図される。したがって、本発明の実施形態の上述の説明は、限定することを意図したものではない。むしろ、本発明の実施形態に対する全ての限定は以下の特許請求の範囲に示されている。

Claims (20)

  1. 基板を平坦化する方法であって、
    基板の加工表面のターゲット層上に形成された構造を有する基板を受容するステップであって、前記構造は、第1の材料で形成され、前記ターゲット層は、前記第1の材料とは異なる第2の材料で形成される、ステップと、
    グラフト化材料を前記基板上に堆積させるステップであって、前記グラフト化材料は、前記構造の表面に付着せずに、前記ターゲット層の未被覆表面に付着し、前記グラフト化材料は、溶解度シフト剤を含む、ステップと、
    前記グラフト化材料を覆う充填材料を前記基板上に堆積させるステップと、
    前記溶解度シフト剤を所定の距離だけ前記充填材料中に拡散させるステップであって、前記溶解度シフト剤は、前記充填材料を所定の溶剤に対して不溶化させる、ステップと、
    前記所定の溶剤を使用して、前記充填材料の可溶部分を除去するステップであって、前記充填材料の残り部分は、前記基板の前記加工表面に平行な表面を形成する、ステップと、
    を有する、方法。
  2. 現像後の前記充填材料の上表面は、前記構造の上表面に対して平坦である、請求項1に記載の方法。
  3. 現像後の前記充填材料の上表面は、前記構造の上表面のz高さよりも低いz高さを有する、請求項1に記載の方法。
  4. 前記溶解度シフト剤は、遊離酸である、請求項1に記載の方法。
  5. 前記溶解度シフト剤は、熱酸発生剤である、請求項1に記載の方法。
  6. 前記溶解度シフト剤は、光酸発生剤である、請求項1に記載の方法。
  7. 前記グラフト化材料は、自己組織化単分子膜(SAM)を含む、請求項1に記載の方法。
  8. 前記グラフト化材料は、ポリマーブラシを含む、請求項1に記載の方法。
  9. 基板上にパターンを形成する方法であって、
    基板の上部にグラフト化材料を堆積させるステップであって、前記基板は、下地層上に配置されたマンドレルを有し、前記マンドレルは、第1の材料を含み、前記下地層は、第2の材料を含み、前記第2の材料は、前記第1の材料とは化学的に異なり、前記グラフト化材料は、前記マンドレルの未被覆表面に付着せずに、前記下地層の未被覆表面に選択的に付着し、前記下地層に付着する前記グラフト化材料により、前記下地層上に均一な厚さの前記グラフト化材料が得られ、前記グラフト化材料は、活性化エネルギーに応答して酸を放出する酸発生剤を含む、ステップと、
    前記マンドレルにより画定された空間を満たす充填材料を前記基板上に堆積させるステップであって、前記充填材料は、前記グラフト化材料に接しており、前記充填材料は、前記グラフト化材料に接触し、前記充填材料は、前記グラフト化材料との水平界面を形成し、前記充填材料は、第3の材料を含む、ステップと、
    前記酸発生剤を活性化させるのに十分な前記活性化エネルギーを前記グラフト化材料に印加し、酸を所定の距離だけ前記充填材料中に拡散させるステップであって、前記酸は、前記充填材料を特定の溶剤に対して不溶にする、ステップと、
    前記特定の溶剤を使用して前記充填材料の可溶部分を除去するステップであって、前記下地層上に前記所定の距離に等しい充填材料の層が得られる、ステップと、
    を有する、方法。
  10. さらに、
    前記所定の距離を目標距離と比較するステップと、
    前記所定の距離が前記目標距離を満たしていないと判定するステップと、
    前記所定の距離と前記目標距離との間の差に基づいて、前記グラフト化材料に印加される前記活性化エネルギーを調整することにより、1組のプロセスパラメータを生成するステップと、
    下地層上に配置されたマンドレルを有する別の基板を提供し、前記基板上に前記グラフト化材料を堆積させるステップ、および充填材料を前記基板上に堆積させるステップを繰り返すステップと、
    前記生成された1組のプロセスパラメータを使用して、別の所定の距離まで、前記活性化エネルギーを前記グラフト化材料に印加するステップを実施するステップと、
    前記特定の溶剤を使用して前記充填材料の可溶部分を除去するステップであって、前記目標距離を満たす前記別の所定の距離に等しい充填材料の層が前記下地層上に得られる、ステップと、
    を有する、請求項9に記載の方法。
  11. 前記マンドレルは、
    前記基板の第1の部分上に形成された、第1の高さを有する第1の複数のマンドレルと、前記基板の第2の部分上に形成された、第2の高さを有する第2の複数のマンドレルと、を有し、
    前記第1の高さは、前記第2の高さよりも大きい、請求項9に記載の方法。
  12. 前記所定の距離は、前記第1の高さよりも大きい、請求項11に記載の方法。
  13. 前記所定の距離は、前記第1の高さに等しい、請求項11に記載の方法。
  14. パターンを基板上に形成する方法であって、
    グラフト化材料を基板の上部に堆積させるステップであって、前記基板は、基板の加工表面にわたって形成された下地層の上に形成された複数の構造を含み、前記グラフト化材料は、前記複数の構造の表面を覆わず、前記下地層の露出部分を覆う、ステップと、
    前記グラフト化材料を覆う充填材料を前記基板上に堆積させるステップと、
    前記基板を放射のパターンに暴露することにより、溶解度シフト酸を前記グラフト化材料から遊離させるステップと、
    前記溶解度シフト酸を前記充填材料中に拡散させることにより、前記充填材料の一部を変換された充填材料に変換するステップと、
    前記変換された充填材料を除去せずに、前記充填材料の残り部分を選択的に除去するステップと、
    を有する、方法。
  15. 前記残り部分を選択的に除去するステップの後、前記基板は、前記変換された充填材料を含む主表面を含み、前記主表面は、平坦である、請求項14に記載の方法。
  16. 前記変換された充填材料は、前記複数の構造の主表面と実質的に同一平面上にある主表面を含む、請求項15に記載の方法。
  17. 前記変換された充填材料は、前記複数の構造を覆う、請求項15に記載の方法。
  18. 前記変換された充填材料は、前記基板の第1の部分における第1の厚さと、前記基板の第2の部分における第2の厚さとを有し、
    前記第1の厚さは、前記第2の厚さとは異なる、請求項15に記載の方法。
  19. 前記複数の構造は、さらに、前記基板の第1の部分における第1の複数の構造と、前記基板の第2の部分における第2の複数の構造と、を有し、
    前記第1の複数の構造は、前記第2の複数の構造とは異なる寸法を有し、
    前記変換された充填材料は、前記第2の複数の構造の主表面を被覆せずに、前記第1の複数の構造の主表面を被覆する、請求項14に記載の方法。
  20. 前記変換された充填材料は、前記基板の第1の部分における第1の厚さと、前記基板の第2の部分における第2の厚さとを有し、
    前記第1の厚さは、前記第2の厚さよりも大きく、前記複数の構造の高さよりも小さい、請求項14に記載の方法。
JP2022555940A 2020-03-17 2021-03-11 平坦化有機膜 Pending JP2023517748A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202062990715P 2020-03-17 2020-03-17
US62/990,715 2020-03-17
US17/183,039 2021-02-23
US17/183,039 US20210294148A1 (en) 2020-03-17 2021-02-23 Planarizing Organic Films
PCT/US2021/021877 WO2021188352A1 (en) 2020-03-17 2021-03-11 Planarizing organic films

Publications (1)

Publication Number Publication Date
JP2023517748A true JP2023517748A (ja) 2023-04-26

Family

ID=77746712

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022555940A Pending JP2023517748A (ja) 2020-03-17 2021-03-11 平坦化有機膜

Country Status (6)

Country Link
US (1) US20210294148A1 (ja)
JP (1) JP2023517748A (ja)
KR (1) KR20220154697A (ja)
CN (1) CN115298806A (ja)
TW (1) TW202201122A (ja)
WO (1) WO2021188352A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11776808B2 (en) * 2020-03-17 2023-10-03 Tokyo Electron Limited Planarization of spin-on films
US11656550B2 (en) * 2020-09-01 2023-05-23 Tokyo Electron Limited Controlling semiconductor film thickness

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7160665B2 (en) * 2002-12-30 2007-01-09 International Business Machines Corporation Method for employing vertical acid transport for lithographic imaging applications
US20080076058A1 (en) * 2006-08-11 2008-03-27 Leeson Michael J Luminescent photoresist
KR20130015429A (ko) * 2011-08-03 2013-02-14 삼성전자주식회사 에치-백 공정을 이용한 패턴 형성 방법
KR20130031401A (ko) * 2011-09-21 2013-03-29 삼성디스플레이 주식회사 나노 구조체의 제조 방법, 이를 이용한 패턴의 제조 방법 및 이를 수행하는 제조 장치
US9653319B2 (en) * 2013-11-08 2017-05-16 Tokyo Electron Limited Method for using post-processing methods for accelerating EUV lithography
KR20160083080A (ko) * 2013-11-08 2016-07-11 도쿄엘렉트론가부시키가이샤 화학적 폴리싱 및 평탄화를 위한 방법
WO2016106092A1 (en) * 2014-12-22 2016-06-30 Tokyo Electron Limited Patterning a substrate using grafting polymer material
US9633847B2 (en) * 2015-04-10 2017-04-25 Tokyo Electron Limited Using sub-resolution openings to aid in image reversal, directed self-assembly, and selective deposition
WO2016168079A1 (en) * 2015-04-13 2016-10-20 Tokyo Electron Limited System and method for planarizing a substrate
US10061199B2 (en) * 2015-06-24 2018-08-28 Tokyo Electron Limited Methods of forming a mask for substrate patterning
US9869933B2 (en) * 2016-03-07 2018-01-16 Rohm And Haas Electronic Materials Llc Pattern trimming methods

Also Published As

Publication number Publication date
KR20220154697A (ko) 2022-11-22
US20210294148A1 (en) 2021-09-23
TW202201122A (zh) 2022-01-01
WO2021188352A1 (en) 2021-09-23
CN115298806A (zh) 2022-11-04

Similar Documents

Publication Publication Date Title
US8158335B2 (en) High etch resistant material for double patterning
US8153350B2 (en) Method and material for forming high etch resistant double exposure patterns
TWI721125B (zh) 預圖案化微影模版、基於使用該模版的輻射圖案化之方法及形成該模版之方法
US7435074B2 (en) Method for fabricating dual damascence structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascence patterning
TWI449084B (zh) 形成電子裝置之方法
JP4852360B2 (ja) 多層リソグラフィプロセスにおいて用いられる複素環芳香族構造物を含む基層組成物、リソグラフィ構造物、材料層または材料要素を基板上に形成させる方法
TWI452419B (zh) 細微圖案光罩及其製造方法、及使用其之細微圖案形成方法
JP6316788B2 (ja) レリーフ画像形成方法
TWI579918B (zh) 開放式特徵部中用以建立介電隔離結構之消去法
JP2023517748A (ja) 平坦化有機膜
US8084185B2 (en) Substrate planarization with imprint materials and processes
US6946390B2 (en) Photolithographic production of trenches in a substrate
TW201719720A (zh) 使用次解析度開口以幫助影像反轉、定向自組裝及選擇性沉積
KR20220034830A (ko) 기판 상의 막의 응력 전이를 조정하기 위한 방법
US5356758A (en) Method and apparatus for positively patterning a surface-sensitive resist on a semiconductor wafer
CN108231550B (zh) 半导体装置的制作方法
KR20010037049A (ko) 실리레이션을 이용한 리소그라피 방법
US11682559B2 (en) Method to form narrow slot contacts
US20240168384A1 (en) Patterning Semiconductor Features
WO2022256224A1 (en) Method for removing material overburden via enhanced freeze-less anti-spacer formation using a bilayer system
JPH08328265A (ja) 微細パターン形成方法