TW202201122A - 平坦化有機膜 - Google Patents

平坦化有機膜 Download PDF

Info

Publication number
TW202201122A
TW202201122A TW110109469A TW110109469A TW202201122A TW 202201122 A TW202201122 A TW 202201122A TW 110109469 A TW110109469 A TW 110109469A TW 110109469 A TW110109469 A TW 110109469A TW 202201122 A TW202201122 A TW 202201122A
Authority
TW
Taiwan
Prior art keywords
substrate
filler material
solubility
grafted
height
Prior art date
Application number
TW110109469A
Other languages
English (en)
Inventor
約迪 I 格熱希科維亞克
羅伯特 勃蘭特
丹尼爾 富爾福德
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202201122A publication Critical patent/TW202201122A/zh

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/1333Constructional arrangements; Manufacturing methods
    • G02F1/133357Planarisation layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/165Monolayers, e.g. Langmuir-Blodgett

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Nonlinear Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Mathematical Physics (AREA)
  • Optics & Photonics (AREA)
  • Formation Of Insulating Films (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

一種平坦化基板的方法,包含:接收基板,其具有被形成在基板之工作表面之目標層上之結構,其中該結構與該目標層係以不同材料所形成。沉積包含溶解度改變劑的一接枝材料在該基板之上,該接枝材料黏附於該目標層之未覆蓋表面且不黏附於該等結構之表面,沉積一填充材料在該基板之上將該接枝材料覆蓋,使溶解度改變劑擴散進入該填充材料一預定距離,其中該溶解度改變劑使得該填充材料變成對預定溶劑為不可溶的,並且使用該預定溶劑以移除該填充材料之可溶部份,而該填充材料之剩餘部份形成與該基板之工作表面平行的一表面。

Description

平坦化有機膜
[相關申請案的交互參照] 此申請案主張以下優先權:美國臨時專利申請案第62/990,715號,申請於西元2020年3月17日;以及美國非臨時專利申請案第17/183,039號,申請於西元2021年2月23日;在此藉由引用將該等申請案的全部內容納入。
本發明大致關聯於半導體製造,並且在特定實施例之中關聯於平坦化技術。
在材料處理方法學 (如光微影術)之中,產生圖案化層之步驟包含將輻射敏感材料(如光阻)之薄層塗佈於基板之上表面。此輻射敏感材料被轉化為浮雕圖案,其可用作蝕刻遮罩以將圖案轉印至基板上之下伏層之中。輻射敏感材料之圖案化通常涉及使用例如光微影系統將光化輻射經由倍縮光罩(reticle)(及相關光學元件)曝光至輻射敏感材料之上。此曝光步驟之後可接著使用顯影溶劑將輻射敏感材料之照射過區域(如在正性光阻的例子中)或未照射過區域(如在負性光阻的例子中)移除。此遮罩層可包含複數的子層。
在微影製程之中,從焦深(DOF)的角度來看,會希望待由光阻系統(包含任何抗反射、下層、及旋塗碳膜)成像的該層係盡可能地平坦或平面的。由下伏基板中的形貌或塗佈製程所產生的此表面之平坦度中的變化將降低成像效能,導致臨界尺度(CD)不均勻、劣化的線邊緣粗糙度(LER)、及不預期的輪廓減縮。在極端的例子中,這會造成二個結構合併、線斷裂、或接處孔之缺少,進而影響產出。
根據本發明之實施例,一種平坦化基板方法,包含:接收具有被形成在基板之工作表面之目標層上之結構的基板,該結構係以第一材料所形成,該目標層係以不同於該第一材料的第二材料所形成;將一接枝材料沉積於該基板之上,該接枝材料在不黏附於該結構之表面的情況下黏附於該目標層之未覆蓋表面,該接枝材料包含一溶解度改變劑;將一填充材料沉積於該基板之上,該填充材料將該接枝材料覆蓋;使該溶解度改變劑朝進入該填充材料的方向擴散一預定距離,該溶解度改變劑使該填充材料變得對一預定溶劑為不可溶的;以及使用該預定溶劑將該填充材料之可溶解部分移除,該填充材料之剩餘部份形成與該基板之該工作表面平行的一表面。
根據本發明之另一實施例,一種在基板上形成圖案的方法包含:將一接枝材料沉積於一基板上方,該基板具有置於一下伏層之上的心軸,該等心軸包含一第一材料並且該下伏層包含一第二材料,該第二材料在化學上不同於該第一材料,該接枝材料選擇性地黏附於該下伏層之未覆蓋表面且不黏附於該等心軸之為覆蓋表面,黏附於該下伏層的該接枝材料導致在該下伏層之上的該接枝材料之均勻厚度,該接枝材料包含響應一酸產生劑,該酸產生器響應於一活化能而釋放酸;在該基板之上沉積一填充材料以填充由該等心軸所界定的空間,該填充材料與該接枝材料接觸,該填充材料接觸該接枝材料,該填充材料形成與該接枝材料的水平介面,該填充材料包含一第三材料;以及對該接枝材料施加足夠的該活化能以活化該酸產生劑,令酸擴散進入該填充材料一預定距離,該酸使得該填充材料對一特定溶劑為不可溶的;以及使用該特定溶劑移除該填充材料之可溶部份,在該下伏層之上產生與該預定距離相等的一層填充材料。
根據本發明之另一實施例,一種在基板上形成圖案的方法包含:在一基板上方沉積一接枝材料,該基板包含複數結構形成在被形成為橫跨該基板之一工作表面的一下伏層上方,該接枝材料在不覆蓋該等複數結構之表面的情況下將該下伏層之暴露部份覆蓋;在該基板之上沉積一填充材料以覆蓋該接枝材料;藉由將該基板暴露於一輻射圖案而自該接枝材料釋放一溶解度改變酸;藉由將該溶解度改變酸擴散進入該填充材料而將一部份的該填充材料轉化為一轉化填充材料;以及在不移除該轉化填充材料的情況下選擇性移除該填充材料之剩餘部份。
各種不同實施例的製作及使用詳細敘述於下文。然而,應理解到,本文敘述的各種不同實施例可應用於多種特定背景之中。所討論的特定實施例僅說明製作及使用各種不同實施例的特定方式,並且不應被理解為限制性的。
平坦化通常藉由數種方法達成。平坦化方法包含化學機械平坦化(CMP)、以及沈積或旋塗有機平面化膜等技術。然而,來自這些類型之技術的平坦化度對不斷進步的圖案化方案而言可能係不足的。當特徵解析度減少,盡可能對造成散焦的因素進行控制的需求甚至更多。此需求造成對旋塗膜之較嚴格平坦化控制的需求增加。這些技術的平坦度大大地受到圖案密度所影響。此外,CMP係一種昂貴的平坦化方法,而軌道基礎(track-based)方法(例如旋塗)基本上較為經濟實惠且有著較高的產出。
本發明之實施例使用共種不同的軌道基礎平坦化方法。在各種不同實施例之中,一種在基板之上形成平坦化膜的方法包含:在一基板之上形成包含酸產生劑的一接枝材料,並且接著在該基板上方沈積一填充材料。一溶解度改變酸接著自該接枝材料被釋放,並且以一預定距離之內擴散進入該填充材料之部份並與其交互作用。接著,藉由使用一溶劑或一顯影劑將該預定距離之外未受該溶解度改變酸影響的該填充材料之部份(亦即,未與該溶解度改變酸反應的該填充材料之部份)移除而形成一平坦化膜。
此處在各種不同實施例之中敘述的技術有利地係一種由下而上的平坦化製程。舉例而言,該接枝材料可能係一自組裝單分子層(SAM)或高分子刷,其選擇性黏附於未被結構之圖案覆蓋的一下伏層之部份。以此方式,可使用旋塗沈積法將該填充材料沉積,並且可能以非平坦方式沈積。
再者,有優勢地,所述技術可係選擇性的。舉例而言,溶解度改變酸可能在施加輻射或熱之後被釋放。該輻射或熱可使用如微影技術、雷射技術等等侷域性技術選擇性地施加。作為結果,接枝材料之所選擇區域可能被釋放,以基於形成在基板之上的結構之高度而形成平坦化表面。
進一步,本文敘述的技術可能有優勢地促進軌道基礎的平坦化。亦即,平坦化膜之自對準方法可能相容於半導體處理的軌道系統。軌道系統相容性之可能益處可能包含:減少成本、改善效率、以及減少複雜度。
以下提供的實施例敘述用於形成平坦化膜的各種不同方法,而更具體而言,敘述用於形成平坦化膜的由下而上方法,其包含將溶解度改變酸擴散進入一填充材料之中。
圖1A-1D繪示根據本申請案之實施例的在製造之各種不同階段期間的半導體裝置之橫剖面圖,其中圖1A繪示一半導體裝置,該半導體裝置有著被形成於一下伏層上方的結構而造成一非平坦表面,圖1B繪示在沉積包含酸產生劑的一接枝材料在該下伏層之未覆蓋部分之上之後的該裝置,圖1C繪示在將填充材料沉積於基板上方之後的裝置,圖1D繪示在酸被擴散進入該填充材料並且改變該填充材料某些部分的溶解度之後的裝置,並且圖1E繪示在將填充材料之未經受溶解度改變的部份移除之後的裝置。圖2係繪示一例示製程流程的流程圖,該例示製程流程用於平坦化及控制橫跨基板之表面的造成形貌的圖案內的膜之高度。
此處理之階段可能在前端產線處理之若干或全部製程步驟已完成之後執行。替代地,討論的製程可能係在通常半導體製造流程中之中端或後端產線處理之部份。
參考圖1A,半導體裝置之一部份具有形成於下伏層105之上的結構110之圖案,該下伏層橫跨於基板103之工作表面而形成(區塊202)。結構110僅覆蓋下伏層105之某些部份並且形成橫跨基板103的非平坦表面。結構110可能係任何垂直的半導體特徵部或心軸。雖然在圖1A之中該非平坦形貌係由三個結構所形成,但這並不代表可被形成橫跨該基板的結構數量。結構110可能係任何待平坦化材料,例如在一實施例之中為氮化矽或氧化矽。在各種不同實施例之中,結構110可能具有5 nm至100 nm之間的厚度,例如在一實施例之中為20 nm至40 nm之間。
結構103可包含一半導體基板,包含有著含鍺化矽層的磊晶層之基板。在各種不實施例之中,基板103可包含大塊矽基板、絕緣體上矽基板、或其他半導體基板,如砷化鎵、碳化矽、鍺、以及其他如矽上氮化鎵(gallium nitride on silicon)的異質結構。
舉例而言,下伏層105可能係金屬間介電質(IMD),包含低k介電材料。在一以上實施例之中,結構110之材料被選擇為化學上不同於下伏層105的材料。換言之,如果下伏層105被當作「地板」,則在該地板之上的結構110具有不同的化學成分,使得與下伏層105相比,某些材料與結構110不同地反應。結構110可使用任何習用微影術或多重圖案化製程加以形成,並且可能包含氧化物、氮化物、金屬、氧化物覆蓋的光阻、硬化的光阻、矽等等。
為了在基板103之上形成結構之後續層,後續的微影製程需要使用旋塗沈積或旋塗製程將基板103塗佈一層材料。當基板103包含緊密堆疊結構之非平坦形貌時,在旋塗製程期間該緊密堆疊結構可能將旋塗材料向上推並且操控填充進入下伏層105之未覆蓋部分之中的旋塗材料之質量分量之多寡。這造成待沉積材料在下伏層105上方有著不同的膜厚度。換言之,材料之沉積層將與由結構110造成的形貌反應且可能以非平坦方式沉積。
圖1B-1E繪示用於形成平坦化膜於基板103之上的製程之階段,其中在選擇性沉積包含酸產生劑的接枝材料至下伏層105之未覆蓋表面之後,填充材料被沉積於基板103上方。接著,溶解度改變酸自接枝材料釋放並且擴散進入填充材料以相對於溶劑或顯影劑改變填充材料之部份的溶解度。
參考圖1B,如自對準單分子層(SAM)的接枝材料120在不黏附於結構110的情況下沉積於下伏層之未覆蓋部份上方(區塊204)。接枝材料120可以任何合適的方式塗佈。在某些實施例之中,接枝材料120係藉由旋塗技術或低溫化學氣相沉積(CVD)製程加以沉積。有優勢地,接枝材料120之形成可能係選擇性的。在各種不同實施例之中,該沉積可藉由選擇性沉積加以化學地控制。舉例而言,接枝材料120可包含對結構110為選擇性的材料,使得接枝材料120僅塗佈於下伏層105之未覆蓋部分上方。用於沉積接枝材料120的具體製程步驟及化學性質可能取決於下伏層105之化學性質、結構110之化學性質、以及沉積技術而改變。
接枝材料120可進一步包含酸產生劑。該酸產生劑可能係在接收若干刺激之後將酸釋放進入周圍系統的物種。舉例而言,酸產生劑可能係遇熱即釋放酸的熱酸產生劑(TAG)。酸產生劑亦可能係光酸產生劑(PAG),響應於特定波長之輻射及/或能量之範圍而釋放酸。在一以上實施例之中,酸產生劑可係游離酸。
接枝材料120可進一步包含如以下者的溶劑:乙醇、異丙醇(IPA)、丙二醇甲醚乙酸酯(PGMEA)、甲基異丁基甲醇(MIBC)、2-庚酮、癸烷、或在若干實施例之中的相似者。
在一以上實施例之中,如上所載,接枝材料120可包含SAM,該SAM可包含三個廣義的官能性基團:頭部基、官能基、及主體。在SAM之構成的選擇步驟之中,可對這些廣義的官能性基團每一者的角色加以考量,以做出適當的選擇。
頭部基,又稱為配位基群,係用於黏附於下伏層105。廣義而言,頭部基可被耦合或化學吸附於下伏層105。如上所解釋,結構110包含與下伏層不同的材料。因此,將頭部基之化學性質係選擇為使得SAM僅受化學吸引至包含下伏層105的材料。在一以上實施例之中,頭部基可包含硫醇(-SH)、醇(-OH)、或矽烷(例如-SiCl3 或-SiRx Cl,其中R是取代基)。其他可能的頭部基包含磷酸鹽、胺基、及其他者。
官能基,亦被稱為末端基,用於最佳化SAM之表面條件,允許後續的旋塗層黏附於接枝材料120(例如,提供浸濕條件)。官能基可包含例如甲基(CH3 )、氟化甲基(CF3 )、胺(NH2 ) 或硝基(NO2 ),但亦可使用其他合適的官能基。在若干例子之中,官能基可在交聯反應期間被轉化為不同的官能基。舉例而言,可藉由將SAM暴露於極紫外光(EUV)輻射以造成交聯反應,而將硝基官能基轉化為胺基。
主體將頭部基耦合至官能基,並且在頭部基與官能基之間提供理想的間隔。在某些實施例之中,主體係分子鏈,如脂肪鏈(例如 R1 –CH2 –R2 )、碳氟鏈(例如 R1 –CF2 –R2 )或芳族鏈(例如 R1 –CF2 –R2 )。
替代或額外地,接枝材料120可包含聚合物基礎選擇刷,亦即高分子刷,如聚硝基苯酯(PNPE)、聚(丁二烯)-b-聚(苯乙烯)(PB-b-PS)或聚(甲基丙烯酸甲酯)-g-聚(苯乙烯)(PMMA-g-PS)、聚(2-甲基丙烯醯氧基乙基磷酸膽鹼)(PMPC)。就使用相似的附接(「頭部」)基的意義而言,選擇刷係與SAM相似的,但就選擇刷有著聚合物骨幹(如瓶刷結構)而使其為較大的分子團的意義而言,兩者係不同的。
包含酸產生劑之額外的官能基(亦即接合至活性基的附接基)被附接於接枝材料120。舉例而言,在一以上實施例之中,酸產生劑係使用選擇製程(如「速配(click)」化學)附接於SAM或高分子刷。速配化學係指用於以高度特定性附接分子的化學反應。
作為一示例,附接基可係酸酐。酸酐可附接於胺官能基,該胺官能基被包含在接枝材料120之中以形成醯亞胺(例如,(–C(O))2 O + –NH2 → (–C(O))2 NH)。如光酸產生劑(PAG)的酸產生劑可接著附接於醯亞胺。PAG活性基之一示例係RSO2 ,其中R係官能基。在一實施例之中,PAG活性基係RSO2 ,其中R係二氟芐基(–(C6 H3 )F2 )。在另一實施例之中,PAG活性基係RSO2 ,其中R係硝基三甲基芐基(–(C6 H3 )(NO2 )(CF3 ))。R亦可能係三甲基(–CF3 )、全氟丁基(–C4 F9 )、及其他者。
在一以上實施例之中,部份的接枝材料120可不附接或弱鍵結於部份的下伏層105與結構110。接枝材料120之不附接或弱鍵結部分可使用溶劑剝離製程加以移除。用於溶劑剝離製程之中的溶劑可能包含丙二醇甲醚(PGME)、丙二醇甲醚乙酸酯(PGMEA)、乙酸正丁酯(nBA)、甲苯或苯甲醚。
在各種不同實施例之中,接枝材料120之厚度係約2 nm至20 nm,例如在一實施例之中為2 nm至 5nm。在一以上實施例之中,儘管接枝材料120具有平坦頂面,但接枝材料120之厚度顯著地小於結構110。因此,接枝材料120自身無法被用作平坦化層。
在一以上實施例之中,在沉積之後,接枝材料120經受塗佈後烘烤。舉例而言,軟烘烤製程可用於將接枝材料120之材料蒸發並且將其緻密化,例如將若干的溶劑蒸發。軟烘烤製程包含在低於100°C的狹窄溫度範圍內(例如在60°C與90°C之間)加熱接枝材料120。
參考圖1C,填充材料130被沉積於基板103上方(區塊206)。可使用旋塗沉積將填充材料130沉積,使其將基板103之工作表面及結構110覆蓋。在一以上實施例之中,不同於如光阻的旋塗材料,填充材料130之頂面可具有非平坦表面。舉例而言,填充材料130之頂面可包含非平坦部份,如結構上方的升高部份。
在一以上實施例之中,在沉積之後,填充材料130經受塗佈後烘烤。舉例而言,軟烘烤製程可用於將填充材料130之材料蒸發,並且將其緻密化。軟烘烤製程包含在低於150°C的狹窄溫度範圍內(例如在60°C與90°C之間)加熱填充材料130。
在一以上實施例之中,填充材料130可被選擇為具有相容於藉由與擴散的酸交聯而改變其溶解度的化學性質。因此,填充材料130可包含酸敏感樹脂(如環氧樹脂或羥基樹脂)、塗佈添加物、以及溶劑以協助旋塗沉積。舉例而言,樹脂可係雙官能雙酚A/環氧氯丙烷液態環氧樹脂或有著低可水解氯化物含量的環氧酚醛樹脂,等等。舉例而言,塗佈添加物可包含四(甲氧基甲基)甘脲(TMMG)或二(三羥甲基丙烷)(DTMP)。
在其他實施例之中,填充材料130可被選擇為具有相容於藉由去保護反應而與擴散的酸反應而改變其溶解度的化學性質。在各種不同實施例之中,填充材料130可包含叔丁氧羰基 (t-BOC)保護的聚合物。在一以上實施例之中,填充材料130可係包含丙烯酸叔丁酯(TBA) 和另一種單體的共聚合物。在其他實施例之中,填充材料130可係包含TBA和另外兩種單體的三元共聚物。單體之示例可包含但不限於:羥基苯乙烯(HS)、甲基丙烯酸甲酯(MMA)、甲基丙烯酸甲基金剛烷基酯 (MAMA)、甲基丙烯酸羥基金剛烷基酯 (HAdMA) 或甲基丙烯酸(MA)。填充材料130之性質(如溶解度、顯影率、及相似者)可藉由改變單體之組合及/或聚合物之分子量加以調整。
參考圖1D,如上所解釋,接枝材料120之溶解度改變酸被釋放(區塊208)並且自接枝材料120之頂面擴散進入填充材料130至擴散距離115(區塊210)。
如以上所解釋,溶解度改變酸被釋放的方式(亦即熱或輻射)取決於酸產生劑之類型。在酸產生劑係光酸產生劑(PAG)的實施例之中,基板103被暴露於輻射圖案,其可能係對光化輻射(如紫外輻射)的毯覆曝光或局部曝光。在毯覆曝光的例子之中,基板之全部頂面接收均勻強度的輻射,然而,僅接枝材料120在接收此輻射之後經受轉換。毯覆曝光可使用習用微影工具及其他掃描/列印工具加以實施。
在某些實施例之中,輻射圖案可侷限於由接枝材料120所覆蓋的部份下伏層105上方。舉例而言,局部曝光可在接枝材料120具有圖案相依不均勻表面(例如在緻密特徵部周圍較厚或較薄)時加以使用。在所述例子之中,待釋放酸的量可被調整以補償接枝材料120之不均勻厚度。換言之,為了改變所釋放酸的量,輻射之強度有著圖案相依性。在另一實施例之中,中心至邊緣曝光可加以變化以對應圖案化特徵部之跨晶圓的中心至邊緣變化以及蝕刻不均勻性。
在局部曝光的例子之中,在曝光之前,基板103被對準於將該基板暴露於輻射的工具。在各種不同實施例之中,使用對準標記的光學對準可被使用。在另一實施例之中,橫跨基板103而形成的結構110可用於對準基板103。
在一以上實施例之中,可使用無遮罩微影工具(如直寫微影工具)將基板局部地曝光。在一以上實施例之中,可使用直寫微影方法(如數位光投影(DLP)、柵狀光閥微影、電子束微影、電漿微影、聚焦離子束(FIB)微影、或奈米壓印)以形成輻射之曝光圖案。舉例而言,輻射圖案可使用專用直寫機器中之直寫微影製程加以形成及投影。在其他實施例之中,輻射圖案可使用專用工具中之傳統遮罩加以侷限。
在一以上實施例之中,在光酸產生劑係熱酸產生劑(TAG)的例子中,溶解度改變酸可使用在退火工具(如熱板)或爐(如快速熱退火器)上之聚合烘烤加以釋放。在一以上實施例之中,聚合烘烤溫度可在90°C及250°C之間的範圍之內並且可執行於10s及120s之間。
接著,在釋放溶解度改變酸之後,溶解度改變酸可自接枝材料120之頂面擴散進入填充材料130一段擴散距離115(區塊210)。
如上所解釋,藉由將擴散距離115之內的填充材料130交聯或去保護,溶解度改變酸與填充材料130反應以相對應於溶劑或顯影劑改變其溶解度。在各種不同實施例之中,溶解度改變酸之擴散距離115係設計為大致地等於填充材料130之交聯或去保護部份相對於橫跨基板103的水平平面(例如,下伏層105)之高度。換言之,擴散距離115可能等於填充材料之交聯或去保護部份之高度(圖1D中之填充材料之第一部份130a)。在一以上實施例之中,擴散距離115可在10 nm至150 nm的範圍之內。
應注意到,在各種不同實施例之中,儘管溶解度改變酸可能擴散超過擴散距離115,但擴散距離115之外的溶解度改變酸之濃度可能不足以與填充材料130反應。
在釋放溶解度改變酸之後,溶解度改變酸可能使用高溫擴散烘烤製程加以擴散。在一以上實施例之中,擴散烘烤溫度可在90°C及250°C的溫度範圍之內。在各種不同實施例之中,用於烘烤的擴散時間可被選擇為使得擴散距離115約為在填充材料130中的溶解度改變酸之擴散率與擴散時間之乘積的平方根。在一以上實施例之中,烘烤步驟可實施於10s與120s之間。在進一步實施例之中,烘烤步驟可實施於1 min至10 min之間。
在各種不同實施例之中,溶解度改變酸之理想的擴散距離115可能被定義為與結構110之高度匹配。舉例而言,如果擴散距離115過高或過低,則所產生的平坦化膜可能形成缺少結構110的不理想表面或者非平坦表面。在其他實施例之中,理想擴散距離115可定義為大於或小於結構110之高度的高度。舉例而言,在一以上實施例之中,平坦化膜可用作犧牲保護層。有優勢地,擴散距離115可基於擴散烘烤製程參數(如擴散烘烤時間或溫度)加以調整。再者,擴散距離115可藉由改變酸產生劑性質(如分子量及酸的類型)以及改變填充材料130的性質(如組成、分子量等)加以調整。
在一以上實施例之中,在酸產生劑係PAG的例子中,擴散距離115亦可藉由被遮罩或無遮罩微影工具界定的輻射之暴露劑量(藉由如上所述的控制局部曝光)加以調整。換言之,在接枝材料120之部份之每一者上方的輻射之暴露劑量可被調整,以控制釋放自PAG的酸之量,並且允許橫跨基板的不同擴散距離。
參考圖1E,接著執行顯影步驟(區塊212)。以溶劑或顯影劑淋洗填充材料,俾以移除任何未反應的填充材料130b。在一以上實施例之中,該淋洗可能包含澆鑄溶劑、水性顯影劑、乙酸正丁酯(NBA)、丙二醇甲醚(PGME)、基於苯酚的剝離劑、丙酮、三氯乙烯等。這提供了準備好接受額外處理(如塗佈用於額外圖案化的微影膜、硬遮罩等)的平坦化表面。
參考回到圖1D,在釋放及擴散酸之後,包含在擴散距離115之中的填充材料130a之第一部份現在對給定的溶劑或顯影劑係不可溶的。這可能係因為在與來自接枝材料120的酸反應之後,填充材料130現在交聯於填充材料130a之第一部份之中。另一方面,填充材料130b之第二部份係可溶於溶劑或顯影劑之中。因此,僅填充材料130b之第二部份在顯影期間被剝離,而留下基本上平坦的表面。
然而,本發明之實施例亦考量在擴散距離115可能不正好匹配於結構110之高度時的額外定時蝕刻製程或化學平坦化製程。在所述實施例之中,結構110或填充材料130a / 結構110之第一部份可被選擇性地移除以達成平坦表面。
平坦化控制迴路之更多步驟現在將加以敘述,並且可能被實施於測試晶圓,或者在使用區塊214-222處理一批次的晶圓之後加以實施。
在各種不同實施例之中,在顯影步驟之後,擴散距離115可被測量(區塊214)。可使用光學技術或電子顯微鏡技術測量擴散距離115。
所測量的擴散距離115可與目標擴散距離進行比較(區塊216)。舉例而言,目標擴散距離可係基於結構110之厚度及所塗佈的接枝材料120之厚度。如果所測量的擴散距離115未達目標擴散距離,則擴散距離115可加以調整,亦即,增加或減少(區塊218)。通常而言,如上所解釋,擴散距離115可藉由改變例如以下的製程參數加以調整:酸產生劑與溶解度改變酸之性質、填充材料之性質、TAG之分解烘烤時間/溫度或PAG之暴露劑量、以及擴散烘烤時間/溫度。再者,因為接枝材料120之厚度改變了可能被釋放的酸的總量,亦可增加或減少接枝材料120之厚度。此調整步驟可藉由實驗性地形成查詢表加以實施,該查詢表可用於辨識對擴散距離115與目標距離的給定偏差而言之適當參數。
後續的晶圓可使用調整過的參數加以處理。舉例而言,在調整製程參數之後,具有形成於處理之相同階段的結構110的新基板係使用上述製程加以平坦化(區塊222)。
響應於確定所量測的擴散距離達目標擴散距離,未對製程參數進行改變(區塊220)。無論任一決定,後續的晶圓可被平坦化。
在一以上實施例之中,如上所解釋,擴散距離可配置為大於結構110之高度。
本申請案之實施例亦考量在所處理晶圓每一者之中的中心至邊緣的跨晶圓變化及/或跨晶元變化的存在。舉例而言,在基板之上的不同區域可能有著在尺寸或間隔(節距)上有所不同而會造成圖案相依變化的結構。有優勢地,本申請案之實施例可用於減少這些變化。再者,本申請案之實施例可用於揭露(開啟)在一區域之中的某些特徵部。相似地,在某些實施例之中,在某些位置之中的特徵部可被阻隔(保護)。因此,本申請案之實施例可用於開啟某些特徵部,同時在另一位置之中阻隔特徵部。以下更詳細敘述各種不同的實施例。
圖3A-3B繪示根據本申請案之實施例的在製造之中間階段期間的半導體裝置之橫剖面圖。
在圖3A之圖示之中,與基板之第二部份306相比,結構110可能更為緊密的堆積在基板之第一部份304上方(較緊的節距)(或相反)。在一以上實施例之中,在基板103之兩個部份之上的結構110可具有相同的臨界尺度或不同的臨界尺度。在其他實施例之中,在基板103之兩個部份之上的結構110可能具有相同的堆積密度(相同節距)。
儘管圖3A繪示有著不同堆積密度的基板103之兩個部份,但可能橫跨基板103而形成的不同堆積密度的數量不受本揭露所限制。
在各種不同實施例之中,如圖3A所繪示,溶解度改變酸可能被釋放並且擴散進入填充材料130至擴散距離315,該擴散距離315可能配置為大於結構110之高度。
在各種不同實施例之中,擴散距離315可能配置為較結構110之高度大了一特定超填高度316。包含特定超填高度316的擴散距離315可能基於製程參數(如酸產生劑以及溶解溶解度改變酸之性質、填充材料之性質、TAG之聚合化烘烤時間/溫度或PAG之暴露劑量、以及擴散烘烤時間/溫度)加以配置。
參考圖3B,在溶解度改變酸擴散進入被包含在擴散距離315(現在包含特定超填高度316)之中的填充材料130a之第一部份之後,填充材料130b之第二(例如未反應)部份可能被後續的溶劑或顯影劑移除。有優勢地,剩餘填充材料130a之頂面現在係平坦的並且不需要進一步的平坦化製程。
本發明之實施例可亦考量以下情況:當接枝材料120包含光酸產生劑(PAG)時,溶解度改變酸之擴散距離可橫跨基板103之不同部份加以控制。有優勢地,如上所述,擴散距離之改變之任何合適的量(例如,膜之高度)可藉由將接枝材料(包含PAG)之不同部份暴露於不同劑量的輻射而橫跨基板地控制。以下討論各種不同的實施例。
圖4A-4B繪示根據本申請案之實施例的在製造之中間階段期間的半導體裝置之橫剖面圖。
如先前所解釋,本申請案之實施例亦可用於處理出現在後續處理步驟之中的非均勻性。
參考圖4A,在結構110每一者之間的擴散距離可藉由用於自PAG釋放溶解度改變酸的輻射圖案而獨立地控制。換言之,光化輻射圖案可被控制為將接枝材料120之不同部份暴露於不同劑量的輻射,以釋放較多/較少的溶解度改變酸。在圖4A之中的繪示示例之中,光化輻射之劑量可配置為與基板之第二部份406相比在基板之第一部份404之中釋放較大量的酸,以允許較大的擴散距離(或相反)。
在各種不同實施例之中,光化輻射圖案可使用遮罩或無遮罩微影方法以圖1D之中敘述的相同方式加以控制。
如圖4A之中所繪示,釋放酸之不同量可能導致與結構110之高度相等的在基板之第一部份404上方的第一擴散距離415,以及小於結構110之高度的在基板之第二部份406上方的第二擴散距離417(或相反)。
儘管在圖4A之中的繪示示例僅顯示擴散距離的兩個改變,但橫跨基板的擴散距離的改變數量並不受本揭露所限制。擴散距離的任何合適的改變數量可藉由光化輻射之暴露圖案加以配置。
參考圖4B,填充材料130b之第二(例如,未反應)部份可藉由後續的溶劑或顯影劑加以移除。在一以上實施例之中,這可能造成形成於基板103之上的膜有著第一高度與第二高度,該第一高度相對應於在基板之第一部份404上方的第一擴散距離415,該第二高度相對應於在基板之第二部份406上方的第二擴散距離417。
有優勢地,如先前所提及,在第一擴散距離415與第二擴散距離417之間的差異可被控制以處理在後續處理步驟之中的非均勻性。舉例而言,用於橫跨基板103移除結構110之蝕刻製程可具有非均勻蝕刻率(例如,與在邊緣之上相比,在基板之中心具有較快的蝕刻率)。因此,橫跨基板的擴散距離可配置為對基板之中心之中的下伏層105提供額外保護(例如,較厚的膜),以避免下伏層105之開槽。
後續地,蝕刻製程(如用於移除結構110的心軸拉出蝕刻)可具有非均勻中心對邊緣蝕刻率(例如,與在邊緣之上相比,在基板之中心的蝕刻率較快)。換言之,在一以上實施例之中,與基板之第二部份406相比,蝕刻製程可能在基板之第一部份404上方有著較快的蝕刻率(或相反)。有優勢地,第一擴散距離415可配置為大於第二擴散距離417,以處理蝕刻率的不同。如此的一項優勢係在基板之第一部份404上方可能形成較厚的膜,以進一步保護在基板之第一部份404之上的下伏層105,使其免於因較快蝕刻率而起的開槽。
圖5A-5B繪示根據本申請案之實施例的在製造之中間階段期間的半導體裝置之橫剖面圖。
在以圖5A-5B繪示的各種不同實施例之中,可能形成橫跨基板103具有不同高度的一膜,其中不同的膜高度每一者大於結構110之高度。
參考圖5A,在一以上實施例之中,接枝材料120之溶解度改變酸可擴散至第一擴散距離515以及第二擴散距離517,此二擴散距離大於結構110之高度。換言之,一膜可能橫跨基板103而形成且具有第一高度(如第一擴散距離515)及第二高度(如第二擴散距離517),該第一高度在基板之第一部份504上方,該第二高度在基板之第二部份506上方。
如圖5A所繪示,在基板之第一部份504上方的第一擴散距離515可包含第一超填高度516,該第一超填高度516對應於在第一擴散距離515與結構110之高度之間的差。第二擴散距離517可包含第二超填高度518,其可能小於第一超填高度516並且可能對應於在第二擴散距離517之高度與結構110之高度之間的差。在其他實施例之中,第二超填高度518(以及第二擴散距離517)可能大於第一超填高度516(以及第一擴散距離515)。以圖4A敘述的相同方式將溶解度改變酸釋放、擴散、及控制。
參考圖5B,在將溶解度改變酸擴散進入填充材料130a之第一部份(包含於第一擴散距離515與第二擴散距離517之中)之後,填充材料130b之第二(如未反應的)部份可能被後續的溶劑或顯影劑移除。這可能導致膜具有不同的高度,其中不同的膜高度每一者大於結構110之高度。
後續的處理可能用於實施調性圖案反轉。在一以上實施例之中,膜可能被回蝕以顯露結構110。然而,如先前提及,在基板103之上可能有著非均勻蝕刻率。舉例而言,在一以上實施例之中,基板之第一部份504可能具有大於基板之第二部份506的蝕刻率。因此,第一擴散距離515(例如,第一超填高度516)可配置為大於第二擴散距離517(例如,第二超填高度518),使得在回蝕之後基板103之上的膜高度相等。接著,一旦結構110顯露,它們可能被蝕掉,導致特徵部之相反調性圖案形成於膜之中。有優勢地,調性圖案反轉可用於形成較小的線或形成有著改善表面粗糙度的特徵部之圖案。
圖6A-6B繪示根據本申請案之實施例的在製造之中間階段期間的半導體裝置之橫剖面圖。
在某些實施例之中,在若干(但不是全部)位置中的擴散距離可能大於結構110之高度。所述實施例可能用於保護基板之一區域,同時在用於處理的另一區域之中開啟特徵部。換言之,在此製程之後,一區域被遮蓋。
參考圖6A,在一以上實施例之中,接枝材料120之溶解度改變酸可擴散至二個不同的擴散距離,其中僅一個擴散距離大於結構110之高度。換言之,在基板之第一部份604上方的第一擴散距離615可能大於在基板之第二部份606上方的第二擴散距離617及結構110之高度。在一以上實施例之中,第二擴散距離617可小於結構110之高度。
如圖6A之中繪示,在基板之第一部份604上方的第一擴散距離615可能包含第一超填高度616,該第一超填高度616對應於在第一擴散距離615及結構110之高度之間的差。在一以上實施例之中,第二擴散距離617可大於結構110之高度,並且包含一超填高度,而第一擴散距離615小於結構110之高度。可以圖4A之中敘述的相同方式將溶解度擴散酸釋放、擴散、及控制。
參考圖6B,填充材料130b之第二(未反應)部份可被後續的溶劑或顯影劑移除。在一以上實施例之中,這可能導致一膜具有第一高度以及第二高度,該第一高度大於基板之第一部份604上方的結構110之高度,該第二高度小於基板之第二部份606之上的結構110之高度(或相反)。
有優勢地,藉由橫跨該基板而控制擴散距離,形成於該基板之某些部份之上的結構可能被完全地保護,而形成於該基板之其他部份的結構之頂部份被顯露。如此的一項優勢係這允許後續製程(例如活性離子蝕刻或沉積)僅對顯露的結構110之頂部造成影響。換言之,形成在基板之第二部份606之上的結構110可能經受額外處理,而形成於基板之第一部份604之上的結構110維持受到保護的。舉例而言,在一以上實施例之中,形成於基板之第二部份606上方的結構110之頂部可能被完全地移除,導致結構110之「切割」以形成最終金屬化圖案。
本發明之實施例亦考量以下情況:由下而上的膜可能僅形成於基板之某些部份上方。
圖7A-7B繪示根據本申請案之實施例的在製造之中間階段期間的半導體裝置之橫剖面圖。
儘管先前實施例部份地開啟某些區域,但在進一步實施例之中,一以上區域之全部者可被開啟。這進一步繪示於以下敘述的圖7A-7B之中。
參考圖7A,在一以上實施例之中,PAG之溶解度改變酸僅可能被釋放並且擴散於基板之第一部份704上方。如上所述,因為接枝材料120僅包含PAG,所以用於釋放溶解度改變酸的光化輻射圖案可被圖案化以僅將基板之第一部份704曝光。因此,當基板103經受高溫擴散烘烤時,來自基板之第一部份704的被釋放的溶解度改變酸可擴散進入填充材料至擴散距離715。在其他實施例之中,光化輻射圖案可被圖案化為僅自基板之第二部份706釋放酸。
參考圖7B,填充材料130b之第二(例如,未反應的)部份可藉由溶劑或顯影劑加以移除,而包含在第一擴散距離715之中的填充材料130a之第一部份留下。這可產生一膜,該膜僅覆蓋基板之第一部份704(或相反)。在各種不同實施例之中,在將填充材料130b之第二(例如,未反應的)部份移除之後,接枝材料120未暴露於光化輻射圖案的部份(例如,沉積於基板之第二部份706之上的接枝材料120)可能被選擇性移除。
在各種不同實施例之中,釋放於接枝材料120之特定部份之中的溶解度改變酸之擴散距離715可能使用如上討論的製程參數(例如,酸產生劑以及溶解度改變酸之性質、填充材料之性質、PAG之暴露劑量、以及擴散烘烤時間/溫度)加以控制。舉例而言,在各種不同實施例之中,擴散距離715可配置為小於、大於、或等於結構110之高度。
之後,在基板103之選擇部份之上的結構110(例如,基板之第二部份706)可被修整、移除、或功能化。舉例而言,在若干實施例之中,在基板之第二部份706之上的結構110可使用蝕刻製程(乾式或濕式)加以修整、移除、或記憶進入下伏層105之中而基板之第一部份704維持不受影響。在一以上實施例之中,額外的材料可僅沉積於基板之第二部份706之結構110之上,例如介電膜或表面處理劑,其可改變結構110之可濕性或功能性,例如SAM或與六甲基二矽氮烷(HDMS)相似的試劑。在其他實施例之中,旋塗塗層(如旋塗玻璃、含SAM材料或相似者)可沉積於基板之第二部份706之上。
圖8A-8B繪示根據本申請案之實施例的在製造之中間階段期間的半導體裝置之橫剖面圖。
儘管未明確地顯示,但本申請案之實施例亦可部份地開啟第一區域,且完全開啟第二區域。
參考圖8A,在一以上實施例之中,溶解度改變酸可被擴散至擴散距離815,該擴散距離815小於僅在基板之第一部份804上方的結構110之高度。
如以上所解釋,藉由僅將基板之第一部份804暴露於輻射,僅溶解度改變酸可僅釋放於基板之第一部份804上方。接著,在釋放溶解度改變酸之後,可使用高溫擴散烘烤將溶解度改變酸擴散至在基板之部份804上方的擴散距離815。在各種不同實施例之中,基於以上討論的製程參數,擴散距離815之高度可配置為小於結構110之高度。
參考圖8B,填充材料130b之第二(例如,未反應的)部份可藉由溶劑或顯影劑加以移除,而包含在第一擴散距離815之中的填充材料130a之第一部份留下。這可產生一膜,該膜以小於結構110之高度的高度僅覆蓋基板之第一部份804(或相反)。在一以上實施例之中,在移除填充材料130b之第二(例如,未反應的)部份之後,在基板之第二部份806之內的接枝材料120之部份(例如,接枝材料120之未覆蓋部份)可被移除。
後續,在基板之第二部份806之上的接枝材料120之移除部分可允許被結構110形成於基板之第二部份806之上的圖案用作蝕刻遮罩以將特徵部之圖案轉印至下伏層105之中。然而,如先前提及,蝕刻率橫跨基板103可能係非均勻的。舉例而言,在一以上實施例之中,與基板之第二部份806相比,蝕刻製程可能在基板之第一部份804上方有著較快的蝕刻率(或相反)。有優勢地,僅在基板之第一部份804上方將膜形成至特定擴散距離之步驟可對基板之第一部份804提供額外保護,以確保所有的結構110在蝕刻製程之後係高度相同。
本發明之實施例亦考慮在不同高度之結構上方形成平坦化膜的情形。
圖9A-9B繪示根據本申請案之實施例的在製造之各種不同階段期間的半導體裝置之橫剖面圖,其中圖9A繪示在沉積將接枝材料覆蓋的填充材料之後的裝置,並且圖9B繪示在使用溶劑以將填充材料之未經歷溶解度改變的部份移除而產生具有與最高結構之高度相同的高度的平坦化膜之後的裝置。
參考圖9A,半導體裝置之一部份具有第一高度之第一結構110及第二高度之第二結構913,此二結構形成於下伏層105之上,該下伏層105係橫跨基板103之工作表面而形成。在各種不同實施例之中,第一結構110被形成於基板之第一部份904上方,並且第二結構913被形成於基板之第二部份906上方。在一以上實施例之中,與第二結構913相比,第一結構110可較緻密地堆積(或相反)。在各種不同實施例之中,與第一結構110相比,第二結構913可包含相同的材料且可能以相同的方式形成。
儘管圖9A繪示包含二個不同高度的結構之基板的二個部份,但這不代表可橫跨基板103之表面而形成的在結構之間的高度改變之數量。
相似地,儘管圖9A繪示橫跨基板103的兩種不同堆積密度,但橫跨該基板而形成的不同堆積密度之數量不受本揭露所限制。
參考圖9B,接枝材料120之溶解度改變酸被釋放並且擴散進入填充材料130至擴散距離922。在一以上實施例之中,擴散距離922可配置為相等於有著最大高度的結構。因此,如圖9B所繪示,該擴散距離大約相等於第一結構110之高度(例如,最高的結構)。擴散距離922類似於圖1D所討論的擴散距離115,並且藉由引用將其敘述納入而不再重述。
在各種不同實施例之中,如以上所討論,擴散距離922可基於以上討論的製程參數加以控制。
參考圖9B,填充材料之第二(例如,未反應的)部份可藉由後續溶劑或顯影劑加以移除。該顯影步驟相似於在圖1E之中敘述的顯影步驟,並且藉由引用將其敘述納入而不再重述。
圖10A-10B繪示根據本申請案之實施例的在製造之中間階段期間的半導體裝置之橫剖面圖。
本發明之實施例亦考量以下的情況:由下而上平坦化膜填充超過在包含不同高度之結構的基板的最高結構。不同於先前實施例,形成於該基板之上的特徵部具有不同高度。
參考圖10A,在各種不同實施例之中,溶解度改變酸可擴散至擴散距離1015,該擴散距離1015大於第一結構110之高度(亦即,較高的結構)。在一以上實施例之中,基於以上討論之製程參數,擴散距離1015可能大於第一結構110之高度一段特定超填高度1016。
參考圖10B,填充材料之第二(例如,未反應的)部份可藉由後續的溶劑或顯影劑加以移除。在一以上實施例之中,這可能導致一膜形成於基板103之上且有著大於第一結構110之高度的高度。
因此,無論結構110在高度上的不同,平坦化表面被形成並且現在準備好接受額外處理。
本發明之例示實施例整理於此。其他實施例亦可藉由發明專利說明書之全部內容以及在此提出的發明專利請求向加以理解。
示例1。一種平坦化基板方法,該方法包含:接收具有被形成在一基板之一工作表面之一目標層上之結構的該基板,該結構係以第一材料所形成,該目標層係以不同於該第一材料的第二材料所形成;將一接枝材料沉積於該基板之上,該接枝材料在不黏附於該結構之表面的情況下黏附於該目標層之未覆蓋表面,該接枝材料包含一溶解度改變劑;將一填充材料沉積於該基板之上,該填充材料將該接枝材料覆蓋;使該溶解度改變劑擴散進入該填充材料達一預定距離,該溶解度改變劑使該填充材料變得對一預定溶劑為不可溶的;以及使用該預定溶劑將該填充材料之可溶解部分移除,該填充材料之剩餘部份形成與該基板之該工作表面平行的一表面。
示例2。如示例1之平坦化基板方法,其中,在顯影之後,該填充材料之一頂面與該結構之一頂面齊平。
示例3。如示例1或示例2之平坦化基板方法,其中,在顯影之後,該填充材料之一頂面有著比該結構之一頂面之一z高度低的一z高度。
示例4。如示例1至3之平坦化基板方法,其中該溶解度改變劑係一游離酸。
示例5。如示例1至4之平坦化基板方法,其中該溶解度改變劑係一熱酸產生劑。
示例6。如示例1至5之平坦化基板方法,其中該溶解度改變劑係一光酸產生劑。
示例7。如示例1至6之平坦化基板方法,其中該接枝材料包含一自組裝單分子層(SAM)。
示例8。如示例1至7之平坦化基板方法,其中該接枝材料包含一高分子刷(polymer brush)。
示例9。一種在基板上形成圖案的方法,該方法包含:將一接枝材料沉積於一基板上方,該基板具有置於一下伏層之上的心軸,該等心軸包含一第一材料並且該下伏層包含一第二材料,該第二材料在化學上不同於該第一材料,該接枝材料選擇性地黏附於該下伏層之未覆蓋表面且不黏附於該等心軸之未覆蓋表面,黏附於該下伏層的該接枝材料導致在該下伏層之上的該接枝材料之均勻厚度,該接枝材料包含一酸產生劑,該酸產生劑響應於一活化能而釋放酸;在該基板之上沉積一填充材料以填充由該等心軸所界定的空間,該填充材料與該接枝材料接觸,該填充材料接觸該接枝材料,該填充材料形成與該接枝材料的水平介面,該填充材料包含一第三材料;以及對該接枝材料施加足夠的活化能以活化該酸產生劑,令酸擴散進入該填充材料一預定距離,該酸使得該填充材料對一特定溶劑為不可溶的;以及使用該特定溶劑移除該填充材料之可溶部份,在該下伏層之上產生與該預定距離相等的一層填充材料。
示例10。如示例9之在基板上形成圖案的方法,進一步包含比較該預定距離與一目標距離;決定該預定距離未達該目標距離;藉由基於該預定距離與該目標距離之間的差異對施加於該接枝材料的該活化能進行調整而產生一組製程參數;提供另一基板,其具有置於一下伏層之上的心軸,並且重複將該接枝材料沉積於該基板之上及在該基板之上沉積一填充材料的該等步驟;實施使用所產生的該組製程參數對該接枝材料施加該活化能至另一預定距離之該等步驟;以及使用該特定溶劑移除該填充材料之可溶解部份,而在該下伏層之上產生與達到該目標距離的該另一預定距離相等的一層填充材料。
示例11。如示例9或10之在基板上形成圖案的方法,其中該等心軸包含第一複數的心軸以及第二複數的心軸,該第一複數的心軸具有形成在該基板之第一部份之上的第一高度,該第二複數的心軸具有形成在該基板之第二部份之上的第二高度,其中該第一高度大於該第二高度。
示例12。如示例9至11之在基板上形成圖案的方法,其中該預定距離大於該第一高度。
示例13。如示例9至12之在基板上形成圖案的方法,其中該預定距離等於該第一高度。
示例14。一種在基板上形成圖案的方法,該方法包含:在一基板上方沉積一接枝材料,該基板包含複數結構形成在一下伏層上方,其係橫跨該基板之一工作表面而形成,該接枝材料在不覆蓋該複數結構之表面的情況下將該下伏層之暴露部份覆蓋;在該基板之上沉積一填充材料以覆蓋該接枝材料;藉由將該基板暴露於一輻射圖案而自該接枝材料釋放一溶解度改變酸;藉由將該溶解度改變酸擴散進入該填充材料而將一部份的該填充材料轉化為一轉化填充材料;以及在不移除該轉化填充材料的情況下選擇性移除該填充材料之剩餘部份。
示例15。如示例14之在基板上形成圖案的方法,其中在選擇性移除該剩餘部份之後,該基板包含一主要表面,該主要表面包含該轉化的填充材料,該主要表面係平坦的。
示例16。如示例14或15之在基板上形成圖案的方法,其中該轉化的填充材料包含一主要表面,其大致上與該複數結構之主要表面共平面。
示例17。如示例14至16之在基板上形成圖案的方法,其中該轉化的填充材料將該複數結構覆蓋。
示例18。如示例14至17之在基板上形成圖案的方法,其中該轉化的填充材料具有在該基板之該第一部份的第一厚度及在該基板之該第二部份的第二厚度,該第一厚度不同於該第二厚度。
示例19。如示例14至18之在基板上形成圖案的方法,其中該複數結構進一步包含在該基板之第一部份之第一複數結構、及在該基板之第二部份之第二複數結構,該第一複數結構包含與該第二複數結構不同的尺度,並且其中該轉化的填充材料在不覆蓋該第二複數結構之主要表面的情況下將該第一複數結構之主要表面覆蓋。
示例20。如示例14至19之在基板上形成圖案的方法,其中該轉化的填充材料具有在該基板之第一部份之第一厚度與在該基板之第二部份之第二厚度,該第一厚度大於該第二厚度並且小於該複數結構之高度。
在前文說明之中,特定細節已被闡明,例如一處理系統的特定幾何形狀及在此使用的各種不同構件及製程的說明。然而,應理解到,本文的技術可以在脫離這些具體細節的其他實施例中實踐,並且這樣的細節係出於解釋而非限制之目的。本文揭露的實施例已參考附隨圖式加以敘述。相同地,為了解釋之目的,特定數字、材料、及配置已被闡明以提供透徹理解。除此之外,實施例可能在沒有如此特定細節的情況下被實踐。有著本質上相同功能結構的構件以相似參考字元所標記,並且因此可能省略任何的冗贅說明。
各種不同技術已作為複數的獨立作業加以描述,以協助對各種不同實施例的理解。描述的順序不應被認為意味著這些作業必然係依附順序的。確實,這些作業不需要以呈現順序加以實施。所述作業可能以不同於所述實施例的順序加以實施。各種不同額外作業可能被實施,且/或所述作業可能在額外實施例之中被省略。
本文使用的「基板」或「目標基板」普遍地指涉根據本發明加以處理的一物體。該基板可能包含一裝置的任何材料部份或結構,特別是半導體或其他電子裝置,且可能例如係一基底基板結構,諸如一半導體晶圓、倍縮光罩、或在一基底基板結構之上或覆蓋一基底基板結構的一層,例如一薄膜。因此,基板不侷限於任何特定基底結構、下覆層或覆蓋層、圖案化或非圖案化,毋寧是,基板係被認為包含任何的如此的層或基底結構,以及層及/或基底結構的任何組合。本實施方法章節可能參考特定類型的基板,但這僅係為了說明之目的。
儘管為了清晰之目的而以特定的順序敘述不同的步驟,但在各種不同實施例之中,這些步驟之若干者可以不同的順序實施。再者,儘管本文中不同的特徵、技術、配置等每一者可能在本揭露之不同位置處討論,但這係旨在令這些觀念之每一者可獨立於彼此討論或者與彼此結合討論。因此,本發明以許多不同的方式實施及審視。
本領域中通常知識者亦將理解到可對以上解釋的技術之運作做出許多改變而仍維持本發明之相同目標。如此的改變係旨在由本揭露之範圍所涵蓋。如此,本發明之實施例之前述敘述不旨在為限制性的。毋寧是,任何對本發明之實施例的限制係呈現於隨附的發明專利申請範圍之中。
103:基板 105:下伏層 110:結構 115:擴散距離 120:接枝材料 130、130a、130b:填充材料 304:基板之第一部份 306:基板之第二部份 315:擴散距離 316:超填高度 404:基板之第一部份 406:基板之第二部份 415:第一擴散距離 417:第二擴散距離 504:基板之第一部份 506:基板之第二部份 515:第一擴散距離 516:第一超填高度 517:第二擴散距離 518:第二超填高度 604:基板之第一部份 606:基板之第二部份 615:第一擴散距離 617:第二擴散距離 704:基板之第一部份 706:基板之第二部份 715:擴散距離 804:基板之第一部份 806:基板之第二部份 815:擴散距離 904:基板之第一部份 906:基板之第二部份 913:第二結構 922:擴散距離 1015:擴散距離 1016:超填高度
為了本發明及其優勢之更完整理解,現在參考與附隨圖示相連的附隨敘述,其中:
圖1A-1D繪示根據本申請案之實施例的在製造之各種不同階段期間的半導體裝置之橫剖面圖,其中圖1A繪示一半導體裝置,該半導體裝置有著非平坦形貌,圖1B繪示在沉積包含酸產生劑的一接枝材料在該基板之未覆蓋部份之上之後的該裝置,圖1C繪示在將覆蓋該接枝材料的填充材料沉積之後的裝置;圖1D繪示在自接枝材料釋放酸並且將其擴散進入填充材料之後的裝置;以及圖1E繪示在使用溶劑以移除該填充材料之未經受溶解度改變的部份之後的裝置;
圖2係繪示根據一實施例的用於在圖案之內平坦化及控制膜之高度而產生橫跨基板之表面的形貌的例示製程流程之流程圖;
圖3A-3B繪示根據本申請案之實施例的在製造之中間階段期間的半導體裝置之橫剖面圖;
圖4A-4B繪示根據本申請案之實施例的在製造之中間階段期間的半導體裝置之橫剖面圖;
圖5A-5B繪示根據本申請案之實施例的在製造之中間階段期間的半導體裝置之橫剖面圖;
圖6A-6B繪示根據本申請案之實施例的在製造之中間階段期間的半導體裝置之橫剖面圖;
圖7A-7B繪示根據本申請案之實施例的在製造之中間階段期間的半導體裝置之橫剖面圖;
圖8A-8B繪示根據本申請案之實施例的在製造之中間階段期間的半導體裝置之橫剖面圖;
圖9A-9B繪示根據本申請案之實施例的在製造之各種不同階段期間的半導體裝置之橫剖面圖,其中圖9A繪示在將覆蓋該接枝材料的填充材料沉積之後的裝置,並且圖9B繪示在使用溶劑以將填充材料之未經受溶解度改變的部份移除而產生具有與最高結構之高度相同的高度的平坦化膜之後的裝置;及
圖10A-10B繪示根據本申請案之實施例的在製造之中間階段期間的半導體裝置之橫剖面圖。
103:基板
105:下伏層
110:結構
115:擴散距離
120:接枝材料
130a、130b:填充材料

Claims (20)

  1. 一種平坦化基板的方法,該方法包含: 接收一基板,具有被形成在該基板之一工作表面之一目標層上之結構,該等結構係以第一材料所形成,該目標層係以不同於該第一材料的第二材料所形成; 將一接枝材料沉積於該基板之上,該接枝材料在不黏附於該等結構之表面的情況下黏附於該目標層之未覆蓋表面,該接枝材料包含一溶解度改變劑; 將一填充材料沉積於該基板之上,該填充材料將該接枝材料覆蓋; 使該溶解度改變劑擴散進入該填充材料一預定距離,該溶解度改變劑使該填充材料變得對一預定溶劑為不可溶的;以及 使用該預定溶劑將該填充材料之可溶解部分移除,該填充材料之剩餘部份形成與該基板之該工作表面平行的一表面。
  2. 如請求項1之平坦化基板的方法,其中,在顯影之後,該填充材料之一頂面與該結構之一頂面齊平。
  3. 如請求項1之平坦化基板的方法,其中,在顯影之後,該填充材料之一頂面有著比該結構之一頂面之一z高度低的一z高度。
  4. 如請求項1之平坦化基板的方法,其中該溶解度改變劑係一游離酸。
  5. 如請求項1之平坦化基板的方法,其中該溶解度改變劑係一熱酸產生劑。
  6. 如請求項1之平坦化基板的方法,其中該溶解度改變劑係一光酸產生劑。
  7. 如請求項1之平坦化基板的方法,其中該接枝材料包含一自組裝單分 子層(SAM)。
  8. 如請求項1之平坦化基板的方法,其中該接枝材料包含一高分子刷(polymer brush)。
  9. 一種在基板上形成圖案的方法,該方法包含: 將一接枝材料沉積於一基板上方,該基板具有置於一下伏層之上的心軸,該等心軸包含一第一材料並且該下伏層包含一第二材料,該第二材料在化學上不同於該第一材料,該接枝材料選擇性地黏附於該下伏層之未覆蓋表面且不黏附於該等心軸之未覆蓋表面,黏附於該下伏層的該接枝材料導致在該下伏層之上的該接枝材料之均勻厚度,該接枝材料包含一酸產生劑,該酸產生劑響應於一活化能而釋放酸; 在該基板之上沉積一填充材料以填充由該等心軸所界定的空間,該填充材料與該接枝材料接觸,該填充材料接觸該接枝材料,該填充材料形成與該接枝材料的水平介面,該填充材料包含一第三材料;以及 對該接枝材料施加足夠的該活化能以活化該酸產生劑,令該酸擴散進入該填充材料一預定距離,該酸使得該填充材料對一特定溶劑為不可溶的;以及 使用該特定溶劑移除該填充材料之可溶部份,在該下伏層之上產生與該預定距離相等的一層填充材料。
  10. 如請求項9之在基板上形成圖案的方法,進一步包含比較該預定距離與一目標距離; 決定該預定距離未達該目標距離; 藉由基於該預定距離與該目標距離之間的差異而對施加於該接枝材料的該活化能進行調整,而產生一組製程參數; 提供另一基板,其具有置於一下伏層之上的心軸,並且重複將該接枝材料沉積於該基板之上以及在該基板之上沉積一填充材料的該等步驟; 使用所產生的該組製程參數實施對該接枝材料施加該活化能至另一預定距離之該步驟;以及 使用該特定溶劑移除該填充材料之可溶部份,而在該下伏層之上產生與達到該目標距離的該另一預定距離相等的一層填充材料。
  11. 如請求項9之在基板上形成圖案的方法,其中該等心軸包含第一複數的心軸以及第二複數的心軸,該第一複數的心軸具有形成在該基板之第一部份之上的第一高度,該第二複數的心軸具有形成在該基板之第二部份之上的第二高度,其中該第一高度大於該第二高度。
  12. 如請求項11之在基板上形成圖案的方法,其中該預定距離大於該第一高度。
  13. 如請求項11之在基板上形成圖案的方法,其中該預定距離等於該第一高度。
  14. 一種在基板上形成圖案的方法,該方法包含: 在一基板上方沉積一接枝材料,該基板包含複數結構形成在橫跨該基板之一工作表面而形成的一下伏層上方,該接枝材料在不覆蓋該複數結構之表面的情況下將該下伏層之暴露部份覆蓋; 在該基板之上沉積一填充材料以覆蓋該接枝材料; 藉由將該基板暴露於一輻射圖案而自該接枝材料釋放一溶解度改變酸; 藉由將該溶解度改變酸擴散進入該填充材料而將一部份的該填充材料轉化為一轉化的填充材料;以及 在不移除該轉化的填充材料的情況下選擇性移除該填充材料之剩餘部份。
  15. 如請求項14之在基板上形成圖案的方法,其中在選擇性移除該剩餘部份之後,該基板包含一主要表面,該主要表面包含該轉化的填充材料,該主要表面係平坦的。
  16. 如請求項15之在基板上形成圖案的方法,其中該轉化的填充材料包含一主要表面,其大致上與該複數結構之主要表面共平面。
  17. 如請求項15之在基板上形成圖案的方法,其中該轉化的填充材料將該複數結構覆蓋。
  18. 如請求項15之在基板上形成圖案的方法,其中該轉化的填充材料具有在該基板之第一部份的第一厚度、及在該基板之第二部份的第二厚度,該第一厚度不同於該第二厚度。
  19. 如請求項14之在基板上形成圖案的方法,其中該複數結構進一步包含在該基板之第一部份之中的第一複數結構、及在該基板之第二部份之中第二複數結構,該第一複數結構包含與該第二複數結構不同的尺度,並且其中該轉化的填充材料在不覆蓋該第二複數結構之主要表面的情況下將該第一複數結構之主要表面覆蓋。
  20. 如請求項14之在基板上形成圖案的方法,其中該轉化的填充材料具有在該基板之第一部份之第一厚度與在該基板之第二部份之第二厚度,該第一厚度大於該第二厚度並且小於該複數結構之高度。
TW110109469A 2020-03-17 2021-03-17 平坦化有機膜 TW202201122A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062990715P 2020-03-17 2020-03-17
US62/990,715 2020-03-17
US17/183,039 2021-02-23
US17/183,039 US20210294148A1 (en) 2020-03-17 2021-02-23 Planarizing Organic Films

Publications (1)

Publication Number Publication Date
TW202201122A true TW202201122A (zh) 2022-01-01

Family

ID=77746712

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110109469A TW202201122A (zh) 2020-03-17 2021-03-17 平坦化有機膜

Country Status (6)

Country Link
US (1) US20210294148A1 (zh)
JP (1) JP2023517748A (zh)
KR (1) KR20220154697A (zh)
CN (1) CN115298806A (zh)
TW (1) TW202201122A (zh)
WO (1) WO2021188352A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11776808B2 (en) * 2020-03-17 2023-10-03 Tokyo Electron Limited Planarization of spin-on films
US11656550B2 (en) * 2020-09-01 2023-05-23 Tokyo Electron Limited Controlling semiconductor film thickness

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7160665B2 (en) * 2002-12-30 2007-01-09 International Business Machines Corporation Method for employing vertical acid transport for lithographic imaging applications
US20080076058A1 (en) * 2006-08-11 2008-03-27 Leeson Michael J Luminescent photoresist
KR20130015429A (ko) * 2011-08-03 2013-02-14 삼성전자주식회사 에치-백 공정을 이용한 패턴 형성 방법
KR20130031401A (ko) * 2011-09-21 2013-03-29 삼성디스플레이 주식회사 나노 구조체의 제조 방법, 이를 이용한 패턴의 제조 방법 및 이를 수행하는 제조 장치
US9653319B2 (en) * 2013-11-08 2017-05-16 Tokyo Electron Limited Method for using post-processing methods for accelerating EUV lithography
KR20160083080A (ko) * 2013-11-08 2016-07-11 도쿄엘렉트론가부시키가이샤 화학적 폴리싱 및 평탄화를 위한 방법
WO2016106092A1 (en) * 2014-12-22 2016-06-30 Tokyo Electron Limited Patterning a substrate using grafting polymer material
US9633847B2 (en) * 2015-04-10 2017-04-25 Tokyo Electron Limited Using sub-resolution openings to aid in image reversal, directed self-assembly, and selective deposition
WO2016168079A1 (en) * 2015-04-13 2016-10-20 Tokyo Electron Limited System and method for planarizing a substrate
US10061199B2 (en) * 2015-06-24 2018-08-28 Tokyo Electron Limited Methods of forming a mask for substrate patterning
US9869933B2 (en) * 2016-03-07 2018-01-16 Rohm And Haas Electronic Materials Llc Pattern trimming methods

Also Published As

Publication number Publication date
KR20220154697A (ko) 2022-11-22
JP2023517748A (ja) 2023-04-26
US20210294148A1 (en) 2021-09-23
WO2021188352A1 (en) 2021-09-23
CN115298806A (zh) 2022-11-04

Similar Documents

Publication Publication Date Title
US8158335B2 (en) High etch resistant material for double patterning
US9595441B2 (en) Patterning a substrate using grafting polymer material
US11841617B2 (en) Method of forming a narrow trench
US8153350B2 (en) Method and material for forming high etch resistant double exposure patterns
TWI449084B (zh) 形成電子裝置之方法
KR101791725B1 (ko) 측벽 화상 전사 피치 더블링 및 인라인 임계 치수 슬리밍
US20050202350A1 (en) Method for fabricating dual damascene structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascene patterning
JP4852360B2 (ja) 多層リソグラフィプロセスにおいて用いられる複素環芳香族構造物を含む基層組成物、リソグラフィ構造物、材料層または材料要素を基板上に形成させる方法
TWI585822B (zh) 基板上之接觸窗開口的圖案化方法
TW202201122A (zh) 平坦化有機膜
JP2016092423A (ja) レリーフ画像形成方法
US20150214070A1 (en) Method For Self-Aligned Double Patterning Without Atomic Layer Deposition
JP3506248B2 (ja) 微小構造の製造方法
US20210020435A1 (en) Method for tuning stress transitions of films on a substrate
US20090117360A1 (en) Self-assembled material pattern transfer contrast enhancement
CN108231550B (zh) 半导体装置的制作方法
US20240168384A1 (en) Patterning Semiconductor Features
US20220388232A1 (en) Method for removing material overburden via enhanced freeze-less anti-spacer formation using a bilayer system
US20230274940A1 (en) Method to form narrow slot contacts
US11295961B2 (en) Method of manufacturing a semiconductor device
JP2024524853A (ja) 二層系を用いた向上したフリージングレスアンチスペーサ形成による材料被覆膜を除去するための方法
JP2022549067A (ja) 基板のパターン化処理
TW202417972A (zh) 使用二次光阻表面功能化進行遮罩形成的圖案化方法
JPH08328265A (ja) 微細パターン形成方法