TWI579918B - 開放式特徵部中用以建立介電隔離結構之消去法 - Google Patents

開放式特徵部中用以建立介電隔離結構之消去法 Download PDF

Info

Publication number
TWI579918B
TWI579918B TW105111311A TW105111311A TWI579918B TW I579918 B TWI579918 B TW I579918B TW 105111311 A TW105111311 A TW 105111311A TW 105111311 A TW105111311 A TW 105111311A TW I579918 B TWI579918 B TW I579918B
Authority
TW
Taiwan
Prior art keywords
open feature
coating layer
organic coating
substrate
filling
Prior art date
Application number
TW105111311A
Other languages
English (en)
Other versions
TW201703138A (zh
Inventor
馬克 H 桑末薇拉
班傑明 M 盧斯薩克
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201703138A publication Critical patent/TW201703138A/zh
Application granted granted Critical
Publication of TWI579918B publication Critical patent/TWI579918B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means

Description

開放式特徵部中用以建立介電隔離結構之消去法
本發明相關於部分地填充基板上之開放式特徵部的方法,並且特別相關於在半導體裝置中開放式特徵部的底部處形成介電栓塞的方法。 [相關申請案之交互參考]
本申請案係相關於2015年4月12日申請之美國臨時專利申請案第62/146,386號,並主張其優先權,該美國臨時專利申請案第62/146,386號的整體內容係併入於此,以供參考。
半導體裝置的生產中,在成本及性能方面保持競爭力的需求提高了對持續增加積體電路之裝置密度的要求。以及,為在半導體積體電路小型化的情況下達成更高程度的整合,需要強而有力的方法來減小半導體基板上所形成之電路圖案的尺度。該等趨勢及需求對於在電路圖案製造期間製備電性結構隔離的能力造成了不斷增長的挑戰性。
光微影係藉由將遮罩上之幾何形狀及圖案轉移至半導體晶圓之表面而用來製造半導體積體電路的主要技術。原理上,使光敏性材料曝露於圖案化光,以改變該光敏性材料在顯影溶液中的溶解度。一旦進行成像及顯影,光敏性材料之可溶於顯影化學成分的部分被移除,且電路圖案保留下來。更進一步講,為使光學微影進步,並考量其不足,因此正在進行不斷的躍步,以建立替代的圖案化策略,從而為次30-nm技術世代的半導體加工產業提供設備。
一種類型的電路圖案包含蝕刻進入基板(例如,矽基板)的凹槽,使得由基板材料組成的複數鰭從凹槽的底部延伸。習知地,該等凹槽係透過各種方法利用介電材料而進行填充,以使相鄰鰭之間不樂見的電流轉移最小化。此電流轉移透過例如洩露的過程進行。介電材料意在隔絕相鄰鰭之間的空間,從而降低相鄰鰭之間電子及電洞的流動。鰭典型地具有均勻的高度。
當添加介電材料時,使用各種方法來確保介電材料填充該凹槽至相鄰鰭的頂部,並且在半導體的晶片面之上維持相對平坦的表面。然而,在各方法中,介電材料係直接地施加於表面。複數的金屬閘極然後可被定位於該表面之上,使得當處於「ON」狀態中時,在矽區域中(亦即,鰭的頂部)形成傳導通道。
然而,當積體電路的尺寸變得更小時,金屬閘極與矽區域的平坦接合導致晶片不令人滿意的性能。針對該不令人滿意的性能,發展三維電晶體,其中介電材料不延伸至凹槽的頂部,從而露出矽鰭的三個表面。然後金屬閘極係定位於該鰭之上,與所有三個曝露的表面相接觸,使得傳導通道形成於該鰭的所有三個側面上。
然而,利用典型的介電材料(例如,氧化物材料)部分地填充開放式特徵部(例如,上述凹槽)係困難的。因此,需要利用介電材料選擇性地僅填充半導體裝置之開放式特徵部之一部分的方法。
本發明之實施例相關於部分地填充基板上之開放式特徵部的方法,且特別相關於在該開放式特徵部之底部處形成介電栓塞的方法。
根據一實施例,部分地填充基板上之開放式特徵部的方法包含:接收具有覆層的基板,該覆層具有形成於其中的至少一開放式特徵部,其中該開放式特徵部從上表面穿透進入該覆層,並且包含延伸至該開放式特徵部之底部的側壁。利用有機塗佈層過度填充該開放式特徵部,該有機塗佈層覆蓋該覆層的上表面,並且延伸至該開放式特徵部的底部。該方法更包含:移除該有機塗佈層的一部分,以露出該覆層的上表面;以及使該有機塗佈層從該上表面下凹至一預定深度,以在該開放式特徵部的底部處建立具有預定厚度的有機塗佈層栓塞;以及轉換該有機塗佈層栓塞的化學組成,以建立無機栓塞。
在以下說明內容中,為解釋且非限制之目的,提出例如處理系統或系統集合之特定處理流程的特定細節。然而,吾人應理解,本發明可在背離該等特定細節的其他實施例中實施。
類似地,為解釋之目的,提出特定的數字、材料、及配置,以提供對本發明的透徹理解。然而,本發明可在沒有該等特定細節的情況下實施。更進一步講,吾人理解,圖示中所示的各種實施例係說明性的代表,且不必依比例繪製。
各種操作將作為複數的、離散的操作以最有助於理解本發明的方式依序進行描述。然而,描述內容的順序不應被解釋為暗示該等操作必需順序相依。特別地,該等操作不必以呈現的順序執行,除非以其他方式加以聲明。所述操作可以不同於所述實施例的順序加以執行。可執行各種額外的操作,以及/或者在額外的實施例中可省略所述操作。
如此處所使用之「基板」一般是指根據本發明正受處理的物體。該基板可包含任何的材料部分、或裝置之結構,尤其半導體或其他電子裝置,並且舉例而言,該基板可為基礎基板結構,例如半導體晶圓、或覆層(在基礎基板結構上、或覆蓋於基礎基板機構上,例如薄膜)。該基板可為習知的矽基板、或包含一層半傳導材料的其他塊體基板。如此處所使用,用語「塊體基板」不僅包含矽晶圓,也包含矽絕緣體 (「SOI」, silicon-on-insulator)基板(例如矽藍寶石(「SOS」, silicon-on-sapphire)基板、及矽玻璃(「SOG」, silicon-on-glass)基板)、基礎半導體基底上的矽磊晶層、以及其他半導體或光電材料(例如,矽-鍺、鍺、砷化鎵、氮化鎵、及磷化銦)。基板可為參雜的或非參雜的。因此,該基板並非意圖受限於任何特定的基礎機構、下方層或上方層、圖案化或非圖案化,而是被考量為包含任何如此覆層或基礎結構、以及覆層及/或基礎結構的任何組合。以下描述內容可參考特定類型的基板,但這僅係為了說明性而非限制的目的。
如以上所提及,需要先進的方法來利用介電材料部分地填充開放式特徵部,例如凹槽、或穿孔/孔洞。介電質可填充凹槽或穿孔/孔洞的底部,且可形成為接觸由限制結構所設置的側壁,例如達到至少該介電質本身的頂部。介電材料可選擇成耐熱型,且符合預定的電性要求,例如提供一電性結構相對另一者的電性隔離。更進一步講,此處所述方法可尤其地藉由介電材料的引入而降低對開放式特徵部及周圍結構的損傷、或使該損傷最小化。
因此,根據各種實施例,消去法係基於利用有機材料填充開放式特徵部而描述,該有機材料能夠:在沒有空隙或實質上沒有空隙的情況下填充具有相對小之臨界尺寸(CD, critical dimension)、及/或高縱橫比的特徵部;從該開放式特徵部移除該有機材料的一部分;及隨後透過各種反應機制,將殘留的有機材料轉換為介電材料。圖1為藉由本發明性方法所形成之例示性半導體裝置之一部分的圖例。半導體裝置10包含基板12、及形成於具有上表面18之材料層16中的開放性特徵部(特別地,凹槽14)。凹槽14係由隔開寬度W、且延伸至凹槽14之底部22的側壁20所界定。介電栓塞24係形成於凹槽14的底部22處。
若干實施例描述可在開放式特徵部(例如,凹槽或穿孔)內產生期望介電結構的處理流程。例示性處理流程的簡明摘要如下概述,且包含以下步驟:(i)塗佈(過度填充)該特徵部;(ii)使材料下凹至特徵部中;以及(iii)在該特徵部內,轉換為介電材料。
方法 A a)         利用含有羰官能基的聚合物材料進行塗佈 b)         使材料曝露於UV光,以使化學鍵斷裂 c)         利用溶劑沖走分解的有機材料 d)         引入鋁原子至介質中 e)         移除殘留的有機材料,以及產生鋁氧化物介電質
方法 B a)         利用含有低酸性-OH官能基的聚合物材料進行塗佈 b)         利用標準顯影劑沖走有機材料的一部分 c)         使-OH官能基矽烷化 d)         移除殘留的有機材料,以及使矽氧化,以產生SiO2 介電質
方法 C a)    利用旋塗碳膜進行塗佈 b)    使用UV臭氧製程,以回蝕聚合物 c)    利用金屬原子源進行滲透
方法 D a)    利用光活化膜對下凹半導體進行塗佈 b)    泛光曝露,其中光的波長防止穿透進入凹槽 c)    利用含酸膜塗佈於上方 d)    擴散烘烤,以驅使酸進入材料 e)    以時控顯影步驟進行顯影
如圖1中所示,若干實施例描述在凹槽之底部處形成介電栓塞的方法。在各實施例中,構建凹槽之底部的材料可相同或不同,且形成栓塞的介電材料可旨在填充該凹槽的僅一小部分。如之前所提及,該介電材料可電性地隔離兩個電性活化結構,同時能夠抵抗相當程度的熱輪廓。當此介電材料形成時,處理流程可選擇成使對於既存結構之側壁或底部的損傷最小化。
在若干實施例中,軌道系統可用來於其中執行消去法。軌道系統(包含塗佈機及顯影劑系統)包含能夠將材料旋轉塗佈至基板上、熱處理基板上之材料、以及化學處理基板上之材料的模組。軌道系統商業上可自Tokyo Electron Limited獲得。一種建立介電栓塞的技術為利用旋塗介電質填充開放式特徵部,及隨後使用回蝕製程(濕式,或乾式),可使介電材料下凹至特徵部中。然而,該製程實際上係困難的。
因此,根據本文所述實施例,製程序列包含:利用有機材料過度填充凹槽;回蝕,以使該材料下凹至開放式特徵部中;及隨後將該有機材料轉換為介電材料。
現在轉向圖式,圖2A-2E提供根據一實施例之例示性製程的示意圖。如圖2A中所示,有機塗佈層26係以過度填充凹槽14的如此方式而沉積於基板12上。舉例而言,如聚甲基丙烯酸甲酯(PMMA)的聚合物可用作有機材料。作為有機塗佈層26的PMMA呈現了較佳的間隙填充特性,且因此可用來填充具有小寬度W、及/或高縱橫比的開放式特徵部,使得PMMA完全地延伸至凹槽14的底部22,且覆蓋上表面18。進一步講,PMMA可配製成具有廣泛範圍的分子量,且分子量降低時,間隙填充性能有所改善。
有機塗佈層26形成之後,如圖2B中所示,使其曝露於如紫外(UV)光的電磁輻射28,以使有機塗佈層26的一部分改質,該部分包含覆蓋上表面18的部分、以及延伸至凹槽14中預定深度的部分,從而形成改質部分30。舉例而言,當針對有機塗佈層26使用低分子量的(或者,寡聚的)PMMA時,UV曝露可為較佳的。UV光可使PMMA主幹的鍵結斷裂,使改質部分30成為可溶於有機溶劑的分解產物。
取決於開放式特徵部的尺寸、及所使用之光的波長,有機塗佈層26的光學特性可與材料層16之周圍結構(例如,凹槽或穿孔側壁20)的光學特性進行匹配,使得低波長的光可穿透該結構。舉例而言,具有從約170nm至約180nm範圍內之波長的UV光(例如,172nm光)可用來有效地穿透具有四分之一波長(43nm)或更大之寬度W的開口。然而,若透過少量(亦即,低濃度)染料的添加使有機塗佈層26的光學特性與材料層16之周圍結構的光學特性相匹配,則已塗佈的結構將對於曝露波長呈現為光學上更均勻的材料,且更深地穿透進入開放式特徵部。因此,適當染料的添加可用來調整光穿透的深度,以及因此而調整半導體裝置10之開放式特徵部內介電栓塞24(圖1)的高度。
一旦進行曝露,如圖2C中所示,透過利用有機溶劑(例如,乙醇或有機酸)之濕式顯影使有機塗佈層26下凹至凹槽14中,以移除改質部分30。在某些實施例中,可使用異丙醇(IPA, isopropyl alcohol)或乙酸。在相同或不同實施例中,可使用通常與正型光阻相關的顯影劑。或者,亦可使用通常與負型光阻相關的顯影劑。改質部分30的蝕刻速率可取決於所使用之UV曝露的量。又,UV曝露的量可控制殘留在開放式特徵部中之PMMA聚合物(亦即,凹槽14之底部22處有機塗佈層26之未改質的部分)的分子量。因此,殘留之有機塗佈層26的未改質部分的分子量及量可藉由特別訂定蝕刻速率、及仔細監控UV曝露的時間而加以控制。
在有機塗佈層26的回蝕之後,如圖2D中所示,將殘留的材料轉換為無機材料(尤其是介電質),以形成介電栓塞24。舉例而言,已知PMMA透過丙烯酸甲酯部分中的羰基經受鋁連續滲透合成(SIS, sequential infiltration synthesis),因此容許將鋁原子結合至有機材料中。SIS製程係自傳播性,亦即,SIS處理的後續循環導致鋁後續結合至該膜中。SIS步驟之後,可移除有機材料,且可使鋁轉換成可用的鋁氧化物介電材料,作為介電栓塞24。
轉換過程可透過許多已知的氧化機制而實現。在一範例中,可藉由高溫下的熱烘烤而執行氧化作用,以「燃燒」有機物。在另一範例中,可藉由產生原位臭氧作為氧化劑而執行氧化作用。在另一範例中,可藉由利用如臭氧水或過氧化物混合物(如過氧化氫)的濕式氧化處理而執行氧化作用。可使用去離子水、氫氧化銨水、及過氧化氫的混合物,例如SC-1溶液。在又另一實施例中,可使用電漿蝕刻處理而執行氧化作用,其中使用氧來使膜中的有機物氧化,同時將鋁轉換為鋁氧化物。此機制的電漿不需要氧作為形成電漿之氣體中的成分,因為PMMA含有原子氧。能夠在低損傷(例如,降低的能量、電子溫度、或偏壓功率)情況下產生高密度電漿的電漿系統可減少氧化期間對基板的損傷。
圖2D中之氧化作用後,如圖2E中所示,可在高溫烘烤製程中施加熱32,以移除任何殘留的有機材料。
處理流程係參考PMMA作為有機塗佈層26而於以上進行描述,但本發明不受限於此。事實上,可選來代替PMMA的有許多可能的材料。然而,該材料應擁有以下性狀的至少一者:(i)於旋轉塗佈或替代性塗佈機構(如,噴墨)之適當溶劑中的可溶性;(ii)較佳的間隙填充特性;(iii)透過濕式或乾式方式的可移除性;以及(iv)存在羰官能基,以使鋁SIS可達成。
在替代的  實施例中,如以下將參考圖3A-3E所述,矽烷化機制可用來將有機材料轉換為介電材料。
此序列類似於利用SIS製程以上所示之序列,但具有一些重要的差異。此方法的一代表係利用聚羥基苯乙烯(PHOST)聚合物作為有機塗佈材料。此聚合物含有可輕易矽烷化的酚部分。該等聚合物也已經被用作底部抗反射塗佈層(BARC, bottom anti-reflective coatings),且亦擁有較佳的塗佈及間隙填充特性。如圖3A中所示,於基板12上沉積PHOST材料之有機塗佈層26’之後,如圖3B中所示,PHOST材料係透過標準顯影溶液的使用而輕易地受到回蝕。舉例而言,該顯影溶液可含有氫氧化四甲銨(TMAH)、氫氧化四丁銨(TBAH)、甲基異丁基甲醇(MIBC)、2-庚酮(2-heptanone)、乙酸正丁酯(n-butyl acetate)、異丙醇(isopropyl alcohol)、苯甲醚(anisole)、丙二醇單甲基醚乙酸酯(PGMEA, propylene glycol monomethyl ether acetate)、乳酸乙酯(ethyl lactate)、甲基戊基酮(methyl amyl ketone)、γ丁內酯(gamma butyrolactone)、丙二醇單甲醚(PGME, propylene glycol monomethyl ether)、甲基異丁基酮(MIBK, methyl isobutyl ketone)、環己酮(cyclohexanone)、或以上二或更多者的組合。如此材料可在移除用來填充開放式特徵部(亦即,凹槽14)之有機材料的至少一部分時使用。
濕式顯影劑可容許有機塗佈層26’的PHOST材料下凹至凹槽14內。然而,PHOST在標準顯影劑中具有高顯影速率,所以控制如此製程可能係困難的。提供更佳控制的一技術係使用稀釋顯影劑(標準顯影劑的1/10濃度),以使該材料以更易管理的速率顯影。另一方法將為使用由PHOST及受保護PHOST所組成的共聚物,該受保護PHOST為具有保護基(附接至懸掛酚基團)的聚羥基苯乙烯。舉例而言,保護基可包含碳酸三級丁酯(TBOC, tertbutoxycarbonyloxy)及四氫哌喃(THP, tetrahydropyran)。該等共聚物在如TMAH顯影劑之顯影劑中的顯影過程可藉由各種共單體的共聚物比率而控制,其中當共聚物中PHOST的比例降低時,顯影速率降低。此外,酚醛樹脂聚合物(novolac polymer),亦即,酚甲醛樹脂(phenol-formaldehyde resin)亦可用來代替PHOST。該等聚合物具有相同的化學官能性,但具有支鏈,而非直鏈,且可在如此顯影劑中具有較低的顯影速率。舉例而言,PHOST及丙烯酸三級丁酯(t-butyl acrylate)的共聚物(ESCAP)亦可用來塗佈該基板,且同樣地,顯影速率可藉由改變共聚物比率而控制,且當共聚物中PHOST的比例降低時,顯影速率降低。如此共聚物包含可經受矽烷化的區域(亦即,PHOST部分)、及可經受SIS的區域(亦即,丙烯酸三級丁酯(t-butyl acrylate)部分)。包含以下者的其他共聚物可用於顯影速率控制:具有易於經受矽烷化之官能基的一聚合物,而另一聚合物含有經受SIS的羰官能基。可用作有機塗佈層26’的進一步替代性材料包含羥基萘基聚合物,其包含結合至芳香萘基的羥基,並且期望該羥基萘基聚合物類似於PHOST的酚基進行反應。
一旦有機塗佈層26’的PHOST材料已下凹至開放式特徵部(例如,凹槽14)內,則如圖3C中所示,殘留的PHOST材料可利用許多矽烷劑的任何者進行矽烷化,以產生栓塞34。矽烷劑可為於附接至胺的基團中含有矽的二級或三級胺。舉例而言,可能的矽烷劑可包含六甲基二矽氮烷(HMDS, hexamethyldisilazane)、三甲基矽基二甲基胺(TMSDMA, trimethylsilyldimethylamine)、二甲基矽基二甲基胺(DMSDMA, dimethylsilyldimethylamine)、二甲基二矽基二甲基胺(DMDSDMA, dimethyldisilyldimethylamine)、及其混合物。該等劑可以氣相進行給劑。或者,該等劑可以液相進行給劑,例如用在光阻線之化學增強(CARL,  Chemical Amplification of Resist Lines)製程中的劑。例示性液體矽烷劑為雙胺基丙基-寡二甲基矽氧烷(bisaminopropyl-oligodimethylsiloxane)。
有機塗佈層26’之PHOST材料中的酚基具有適當的化學反應性,以供合理時間內、相對低溫度下的矽烷化。此酚基團具有對有機官能基而言中等的酸性(pKa =9)。脂肪醇可被矽烷化(pKa =12),但進行矽烷化可能花費長時間,且羥酸對易於經受矽烷化而言更具抵抗性,這是因為其增加的酸性(pKa =5)迫使矽烷化反應平衡存在於未矽烷化狀態的一側。據此,熟悉該領域技術者將瞭解,填充材料中將經受矽烷化之成分的pKa 可有所不同。pKa 的例示性範圍係從約7-10。此外,具有兩個三氟基(附接於同一碳)的醇在低溫及低次數下係可輕易矽烷化的,因為其具有類似於酚的pKa
在以上給出的範例中,每一酚位置都可經受矽烷化,從而在每一個酚位置結合一矽原子。因此,假設膜的完全矽烷化,結合至膜中之矽原子的數目等於膜中酚位置的數目乘以附接至胺之官能基中矽原子的數目。在後續步驟中,原子矽可用於將膜轉換為二氧化矽。因此,可期望將大量的矽結合至膜中。若使用100%的PHOST,則每一單體單元具有可進行矽烷化的酚位置。然而,若使用含有保護基的共聚物代替純的PHOST,則受保護的共單體可能需要進行去保護,該去保護可在高於所述保護基之熱穩定性的溫度下由熱方式達成。或者,可將熱酸產生劑(TAG, thermal acid generator)設置於膜中,以在施加熱的情況下產生酸,且該酸因此而可移除保護基。更進一步講,使聚合物去保護的另一方法將為使光酸產生劑(PAG, photo-acid generator)結合至材料中、將PAG曝露於適當波長的光、及烘烤半導體裝置10,從而移除保護基。
舉例而言,若使用PHOST及丙烯酸三級丁酯(t-butyl acrylate)的共聚物作為有機塗佈層26’,則可執行矽烷化及SIS之序列(以任何順序),以產生具有透過矽烷化所引入之矽原子及透過SIS所引入之鋁原子的栓塞34。更廣泛地講,若共聚物含有能夠經受矽烷化(而非SIS)之具有約7至約10之pKa 的官能基、以及能夠經受SIS(而非矽烷化)的羰官能基,則矽原子及鋁原子二者皆可藉由執行矽烷化、以及在矽烷化之前或之後執行SIS而引入。若任一共單體(或二者)含有保護基,則如上所述,該共單體可在矽烷化及SIS之前進行去保護,以移除保護基。
一旦完成矽烷化,所引入之矽可被氧化,以產生二氧化矽介電材料,作為如圖3D中所示之凹槽14之底部22處的介電栓塞24 ,且如圖3E中所示,可施加熱32來移除任何殘留的有機材料。這可透過類似上述針對鋁轉換之機制而完成。在一實施例中,電漿處理係尤其有利的。若矽及鋁原子二者皆係透過矽烷化及SIS的組合而引入,則該二者都被氧化,以建立二氧化矽及鋁氧化物介電栓塞24。
另一消去法涉及旋塗碳(SOC, spin-on-carbon)膜(非晶碳的一類型)的使用。SOC膜擁有較佳的間隙填充特性,且可用來使表面形貌平坦化。
圖4提供過度填充有SOC之基板上之UV輔助回蝕製程的結果。周圍環境中172nm的UV光係用來產生臭氧。臭氧可以相當均勻的方式回蝕SOC膜。圖4顯示UV曝露時間的影響。如圖4中所示,UV臭氧製程以非破壞的方式均勻地回蝕SOC膜。最初,塗佈層在100nm深的凹槽之內及之上係145nm厚。UV蝕刻80秒之後,塗佈層係99nm厚,且基本上填充凹槽至鰭的頂部。在UV蝕刻120秒之後,塗佈層係66nm厚,且現在降低於鰭的頂部邊緣以下。最後,在UV蝕刻180秒之後,塗佈層係35nm厚,且僅覆蓋凹槽的底部。
如圖5A-5D中所示,整個製程類似於圖2A-2E及3A-3E中所述的製程,但在圖5A中所施加之作為有機塗佈層26’’的材料係SOC膜,且如圖5B中所示,UV臭氧製程36係用於對該膜進行回蝕。為轉換有機塗佈層26’’的SOC膜,可使用液體滲透製程來將鈦原子結合至SOC膜中,以產生如圖5C中所示的栓塞38。此結合作用之後可為使用以下一或更多者使鈦氧化:高溫熱處理、乾式臭氧氧化作用、濕式臭氧氧化作用、使用氫氧化銨及過氧化物的濕式製程、或涉及氧物種的電漿製程,以產生鈦氧化物介電材料作為如圖5D中所示的介電栓塞24。該等氧化方法係已知的,且將不作進一步討論。
建立栓塞的又另一消去法係顯示於圖6A-6G中。在此實施例中,光活化膜係用作有機塗佈層26’’’,以使如圖6A中所示之例如凹槽14的開放式特徵部過度填充。在此範例中,顯示3:1的工作循環,但本發明並非如此受限。如以下將進一步討論,適當的光活化膜將為可藉由電磁輻射而改變、 及可在與酸洗劑相接觸時改變。舉例而言,除其他者外,光活化膜可包含酚或丙烯酸部分。
利用有機塗佈層26’’’進行過度填充之後,且如圖6B中所示,利用電磁輻射28’ (例如,UV輻射)的泛光曝露係利用波長大於凹槽寬度W之四倍的光(亦即,四分之一波長大於寬度W)而執行。波長λ的光無法穿透具有小於λ之1/4之寬度W的開口。據此,當適當尺寸的凹槽或凹槽圖案利用光活化材料而填充或過度填充時,凹槽內之光活化材料的部分不會藉由光曝露而發生化學改變,例如,不會被致使可溶於給定濕式顯影化學成分中。然而,凹槽外側及上方的光活化材料可由於光曝露而發生化學改變,例如可被致使可溶於給定濕式顯影化學成分中。以此方式,光活化材料的一部分可被選擇性地改變,使得已改變及未改變部分可對後續處理以不同方式進行回應。因此,當使半導體裝置10’曝露於電磁輻射28’時,凹槽14中的光敏感性光活化材料可不受影響,或者有減少的影響。因此,凹槽14內之光活化材料之未改變部分中的光酸沒有曝露於圖6B中所示的泛光曝露,且因此凹槽14內的材料在曝露之後保持為非酸性。換句話說,凹槽14內之光活化材料的未改變部分(亦即,填充部分)可保留未曝露光活化材料的特性,而凹槽14外之光活化材料的已改變部分(亦即,過度填充部分)可具有已曝露光活化材料的特性。如圖6C中所示,然後可執行濕式顯影製程,以移除被曝露於泛光曝露之有機塗佈層26’’’之已改變的過度填充部分。殘留於凹槽14中的有機塗佈層26’’’及材料層16的上表面18形成平坦化表面。
接下來,如圖6D中所示,半導體裝置10’係塗佈有酸洗劑40。或者,儘管未顯示,但可將含有酸的供給膜塗佈於結構的頂部上(類似於在頂部塗佈酸)。若使用此供給膜,則該供給膜可選擇成可溶於將在製程的最後步驟中完成光活化材料之顯影的溶劑中。
在酸洗之後,如圖6E中所示,執行時控擴散烘烤,以產生去保護膜42。該烘烤驅使酸向下進入該膜中,且導致該光活化材料的去保護作用。如以上所提及,最初在凹槽14中的光酸沒有曝露,且因此其在製程中的此時仍為非酸性。因此,凹槽內去保護的深度係藉由擴散烘烤的時間長度及/或溫度而控制。當時間期間變長及/或溫度升高時,更多酸可穿透進膜內。舉例而言,擴散烘烤的例示性溫度為70-200℃。穿透亦可藉由在酸洗步驟期間所沉積之酸的量而控制。因此,穿透可藉由洗劑本身中的酸濃度而控制。
此後,如圖6F中所示,時控顯影步驟使得將去保護膜42移除至設定深度,於凹槽14之底部22處留下有機塗佈層26’’’ 的少量光活化材料。如圖6G中所示,此後可執行滲透方法,以引入期望的原子物種,從而將殘留的有機塗佈層26’’’轉換為用於介電栓塞24的介電材料。原子物種可部分地取決於最初所塗佈之光活化膜的性質。
依此製程序列消除了可能由於作為有機塗佈層26’’’之光活化膜的不均勻性而出現的潛在問題。考量由具有與圖6A中顯示之凹槽相同尺寸、但為5:1的工作循環(未顯示)之凹槽14所組成的一陣列,對比圖6A中顯示的較密集凹槽14,光活化膜將更加地過度填充該等凹槽。泛光曝露便容許跨不同凹槽密度的膜高度為相等或平坦的。凹槽14用來過濾光,使得足夠的曝露可用來從較不密集陣列的凹槽移除多餘的膜,而不影響較密集的凹槽。如圖6C中顯示,當有機塗佈層26’’’之光活化膜的過度填充部分被移除時,光活化膜在整個材料層16的範圍內可能係不連續的。進一步講,如圖6C中所示,上表面18及光活化膜可形成連續的表面。
在一範例中,用於有機塗佈層26’’’的光活化膜可為丙烯酸三級丁酯(t-butyl acrylate)及甲基丙烯酸甲酯(methylmethacrylate)配置成具有3%全氟丁基磺酸三苯基 (triphenylsulfonium nonaflate) 光酸產生劑的共聚物。丙烯酸酯共單體上的三級丁基具有酸不穩定性。在塗佈及泛光曝露之後,過度填充部分中的三級丁基將被去保護或被分裂,且膜可在標準TMAH顯影劑中進行顯影。接下來,由適當溶劑中之全氟丁基磺酸(nonaflatic acid)所組成的酸洗劑40被施加於材料。膜受到烘烤,以使酸擴散進入凹槽中,其中額外的去保護作用進行至期望的深度,且凹槽內之去保護膜42然後可利用顯影劑移除。時控擴散烘烤控制酸穿透的深度、及後續殘留材料(亦即,丙烯酸三級丁酯(t-butyl acrylate)/甲基丙烯酸甲酯(methylmethacrylate)栓塞)的高度。
此丙烯酸三級丁酯(t-butyl acrylate)/甲基丙烯酸甲酯(methylmethacrylate)栓塞的產生之後,殘留的三級丁基係在去保護烘烤(未顯示)中被熱分裂。因此,嵌段共聚物的共單體二者皆可經受鋁SIS。在滲透之後,以上所述的氧化方法可用來建立鋁氧化物栓塞作為介電栓塞24。
儘管以上已進行詳述的僅僅是本發明的某些實施例,但是熟悉本技術領域者將輕易瞭解,在實質上不背離本發明之新穎教示及優點的情況下,實施例中許多變化皆有可能。舉例而言,本文所包含的概念不僅適用於半導體裝置中的凹槽,亦適用於穿孔/孔洞。據此,意在將所有如此的修正包含於本發明的範疇內。
10‧‧‧半導體裝置
10'‧‧‧半導體裝置
12‧‧‧基板
14‧‧‧開放式特徵部(凹槽)
16‧‧‧層
18‧‧‧上表面
20‧‧‧側壁
22‧‧‧底部
24‧‧‧栓塞
26‧‧‧有機塗佈層
26'‧‧‧有機塗佈層
26''‧‧‧有機塗佈層
26'''‧‧‧有機塗佈層
28‧‧‧電磁輻射
28'‧‧‧電磁輻射
30‧‧‧改質部分
32‧‧‧熱
34‧‧‧栓塞
36‧‧‧UV臭氧製程
38‧‧‧栓塞
40‧‧‧酸洗劑
42‧‧‧去保護膜
W‧‧‧寬度
在隨附圖式中:
圖1提供開放式特徵部的示意代表圖,其中材料係形成為部分地填充該開放式特徵部;
圖2A-2E說明根據一實施例的例示性方法;
圖3A-3E說明根據另一實施例的例示性方法;
圖4提供根據一實施例所獲得的例示性資料;以及
圖5A-5D說明根據另一實施例的例示性方法。
圖6A-6G說明根據又另一實施例的例示性方法。
10’‧‧‧半導體裝置
12‧‧‧基板
14‧‧‧開放式特徵部(凹槽)
16‧‧‧層
18‧‧‧上表面
20‧‧‧側壁
22‧‧‧底部
26'''‧‧‧有機塗佈層
32‧‧‧熱
42‧‧‧去保護膜

Claims (22)

  1. 一種部分地填充基板上之開放式特徵部的方法,包含:         接收具有一覆層的一基板,該覆層具有形成於其中的至少一開放式特徵部,該開放式特徵部從一上表面穿透進入該覆層,並且包含延伸至該開放式特徵部之底部的側壁;         利用一有機塗佈層過度填充該開放式特徵部,該有機塗佈層覆蓋該覆層的該上表面,且延伸至該開放式特徵部的該底部;         移除該有機塗佈層的一部分,以露出該覆層的該上表面、並使該有機塗佈層從該上表面下凹至一預定深度,以在該開放式特徵部的該底部處建立具有預定厚度的一有機塗佈層栓塞;以及         轉換該有機塗佈層栓塞的化學組成,以建立一無機栓塞。
  2. 如申請專利範圍第1項之部分地填充基板上之開放式特徵部的方法,其中過度填充該開放式特徵部包含利用含有一羰官能基的一聚合材料或共聚材料塗佈該開放式特徵部。
  3. 如申請專利範圍第2項之部分地填充基板上之開放式特徵部的方法,其中轉換該有機塗佈層栓塞的化學組成包含執行一金屬滲透合成製程,及隨後執行一氧化製程。
  4. 如申請專利範圍第2項之部分地填充基板上之開放式特徵部的方法,其中轉換該有機塗佈層栓塞的化學組成包含使該有機塗佈層栓塞曝露於三甲鋁(TMA , trimethylaluminum)及隨後曝露於一氧環境,以建立由鋁氧化物介電材料組成的一無機栓塞。
  5. 如申請專利範圍第2項之部分地填充基板上之開放式特徵部的方法,其中移除該有機塗佈層的該部分包含執行一濕式蝕刻製程,該濕式蝕刻製程包含: 使該有機塗佈層曝露於紫外(UV, ultraviolet)輻射,以改變甫形成之有機塗佈層在一顯影溶液中的溶解度;以及 藉由將該有機塗佈層曝露於該顯影溶液,可控制地蝕刻該有機塗佈層。
  6. 如申請專利範圍第5項之部分地填充基板上之開放式特徵部的方法,其中該UV輻射之曝露係在約170nm至約180nm之範圍內的一UV波長下進行。
  7. 如申請專利範圍第5項之部分地填充基板上之開放式特徵部的方法,其中該UV輻射之曝露係在四分之一波長小於或等於該開放式特徵部之開口尺寸的一UV波長下進行,該開放式特徵部之開口尺寸係量測為該開放式特徵部之側壁之間的距離。
  8. 如申請專利範圍第5項之部分地填充基板上之開放式特徵部的方法,其中該有機塗佈層含有染料,該染料具有選擇成增加該UV輻射至該開放式特徵部中之穿透性之濃度。
  9. 如申請專利範圍第5項之部分地填充基板上之開放式特徵部的方法,其中該顯影溶液含有氫氧化四甲銨(TMAH)、氫氧化四丁銨(TBAH)、甲基異丁基甲醇(MIBC)、2-庚酮(2-heptanone)、乙酸正丁酯(n-butyl acetate)、異丙醇(isopropyl alcohol)、苯甲醚(anisole)、丙二醇單甲基醚乙酸酯(PGMEA, propylene glycol monomethyl ether acetate)、乳酸乙酯(ethyl lactate)、甲基戊基酮(methyl amyl ketone)、γ丁內酯(gamma butyrolactone)、丙二醇單甲醚(PGME, propylene glycol monomethyl ether)、甲基異丁基酮(MIBK, methyl isobutyl ketone)、或環己酮(cyclohexanone)、或以上二或更多者的組合。
  10. 如申請專利範圍第1項之部分地填充基板上之開放式特徵部的方法,其中過度填充該開放式特徵部包含利用一聚合材料塗佈該開放式特徵部,該聚合材料含有具有約7至約10之pKa 的一官能基。
  11. 如申請專利範圍第10項之部分地填充基板上之開放式特徵部的方法,其中該聚合材料包含聚羥基苯乙烯(PHOST, poly(hydroxystyrene)),且可選地包含一受保護PHOST。
  12. 如申請專利範圍第11項之部分地填充基板上之開放式特徵部的方法,其中該受保護PHOST含有選自由碳酸三級丁酯(TBOC, tert-butoxycarbonyl)及四氫哌喃(THP, tetrahydropyran)組成之群組的一保護基。
  13. 如申請專利範圍第12項之部分地填充基板上之開放式特徵部的方法,其中轉換該有機塗佈層栓塞的化學組成包含使該有機塗佈層栓塞去保護、執行一矽烷化製程、執行一氧化製程、以及從該無機栓塞移除殘留的碳。
  14. 如申請專利範圍第13項之部分地填充基板上之開放式特徵部的方法,其中執行該矽烷化製程包含使該有機塗佈層栓塞曝露於一矽烷化劑,該矽烷化劑係選自由六甲基二矽氮烷(HMDS, hexamethyldisilazane)、三甲基矽基二甲基胺(TMSDMA, trimethylsilyldimethylamine)、二甲基矽基二甲基胺(DMSDMA, dimethylsilyldimethylamine)、二甲基二矽基二甲基胺(DMDSDMA, dimethyldisilyldimethylamine)、及雙胺基丙基-寡二甲基矽氧烷(bisaminopropyl-oligodimethylsiloxane)組成的群組。
  15. 如申請專利範圍第10項之部分地填充基板上之開放式特徵部的方法,其中該聚合材料更含有一羰官能基,且轉換該有機塗佈層栓塞的化學組成包含:以任一順序執行一矽烷化製程及一金屬滲透合成製程、執行一氧化製程、以及從該無機栓塞移除殘留的碳。
  16. 如申請專利範圍第15項之部分地填充基板上之開放式特徵部的方法,其中該聚合材料係聚羥基苯乙烯(PHOST)及丙烯酸三級丁酯(t-butyl acrylate)的共聚物。
  17. 如申請專利範圍第1項之部分地填充基板上之開放式特徵部的方法,其中過度填充該開放式特徵部包含利用非晶碳塗佈該開放式特徵部。
  18. 如申請專利範圍第17項之部分地填充基板上之開放式特徵部的方法,其中移除該有機塗佈層的該部分包含執行一紫外(UV)輻射輔助臭氧製程,且轉換該有機塗佈層栓塞的化學組成包含執行一金屬滲透合成製程、及隨後執行一氧化製程,該金屬滲透合成製程包含將鈦注入該有機塗佈層栓塞內。
  19. 如申請專利範圍第1項之部分地填充基板上之開放式特徵部的方法,其中過度填充該開放式特徵部包含利用一光活化材料塗佈該開放式特徵部。
  20. 如申請專利範圍第19項之部分地填充基板上之開放式特徵部的方法,其中移除該有機塗佈層的該部分包含:使該有機塗佈層與該覆層的該上表面平坦化、對該有機塗佈層進行去保護至該開放式特徵部內的該預定深度、以及移除經去保護的有機塗佈層。
  21. 如申請專利範圍第20部分地填充基板上之開放式特徵部的方法,其中使該有機塗佈層平坦化包含:使該有機塗佈層曝露於紫外(UV)輻射;且然後使該有機塗佈層曝露於一顯影溶液,以移除該有機塗佈層的曝露部分,該UV輻射之曝露係在具有四分之一波長大於該開放式特徵部之開口尺寸的一UV波長下所進行的一泛光曝露,該開放式特徵部之該開口尺寸係量測為該開放式特徵部之側壁之間的距離。
  22. 如申請專利範圍第20部分地填充基板上之開放式特徵部的方法,其中對該有機塗佈層進行去保護至該預定深度包含: 使該有機塗佈層曝露於一酸溶液;以及 使酸擴散透過該有機塗佈層至該開放式特徵部中該有機塗佈層的該預定深度。
TW105111311A 2015-04-12 2016-04-12 開放式特徵部中用以建立介電隔離結構之消去法 TWI579918B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201562146386P 2015-04-12 2015-04-12

Publications (2)

Publication Number Publication Date
TW201703138A TW201703138A (zh) 2017-01-16
TWI579918B true TWI579918B (zh) 2017-04-21

Family

ID=57112790

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105111311A TWI579918B (zh) 2015-04-12 2016-04-12 開放式特徵部中用以建立介電隔離結構之消去法

Country Status (4)

Country Link
US (1) US10170354B2 (zh)
JP (1) JP6737991B2 (zh)
KR (1) KR102344900B1 (zh)
TW (1) TWI579918B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6928745B2 (ja) * 2015-06-02 2021-09-01 東京エレクトロン株式会社 スピンオン・カーボンの平坦化のための技術
US9916980B1 (en) * 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN108227412A (zh) * 2016-12-15 2018-06-29 Imec 非营利协会 光刻掩模层
JP6568127B2 (ja) 2017-03-02 2019-08-28 株式会社Kokusai Electric 半導体装置の製造方法、プログラム及び記録媒体
US20200090980A1 (en) * 2018-09-13 2020-03-19 Nanya Technology Corporation Method for preparing semiconductor structures
EP3787006A1 (en) * 2019-08-30 2021-03-03 Imec VZW Contact isolation in semiconductor devices
US11656550B2 (en) * 2020-09-01 2023-05-23 Tokyo Electron Limited Controlling semiconductor film thickness
US11862461B2 (en) * 2021-12-28 2024-01-02 Changxin Memory Technologies, Inc. Method of forming oxide layer on a doped substrate using nitridation and oxidation process

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW461108B (en) * 2000-01-13 2001-10-21 Mitsubishi Electric Corp Semiconductor device
TW493234B (en) * 2000-01-13 2002-07-01 Mitsubishi Electric Corp Method of manufacturing semiconductor device
TW578211B (en) * 2001-07-19 2004-03-01 Trikon Holdings Ltd Semiconductor structure
TW200416951A (en) * 2003-02-21 2004-09-01 Renesas Tech Corp Electronic device manufacturing method
TW201322349A (zh) * 2011-10-19 2013-06-01 Panasonic Corp 半導體封裝的製造方法、半導體封裝、以及半導體裝置

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1184683A (ja) * 1997-09-08 1999-03-26 Matsushita Electron Corp パターン形成方法及び半導体装置の製造方法
JP4675534B2 (ja) * 1999-06-30 2011-04-27 インテル・コーポレーション デュアル・ダマシン処理中に下層の配線層を保護する方法
TW543093B (en) * 2001-04-12 2003-07-21 Cabot Microelectronics Corp Method of reducing in-trench smearing during polishing
US20050272220A1 (en) * 2004-06-07 2005-12-08 Carlo Waldfried Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
JP4533304B2 (ja) * 2005-11-29 2010-09-01 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4706544B2 (ja) * 2006-04-14 2011-06-22 Jsr株式会社 アルミナ膜形成方法
US7892942B2 (en) * 2007-07-09 2011-02-22 Micron Technology Inc. Methods of forming semiconductor constructions, and methods of forming isolation regions
JP5069982B2 (ja) * 2007-09-06 2012-11-07 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置
JP2011525887A (ja) * 2008-06-26 2011-09-29 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 光起電力電池用導体に用いるガラス組成物
JP2010080709A (ja) * 2008-09-26 2010-04-08 Toshiba Corp シリコン酸化膜の形成方法および不揮発性半導体記憶装置の製造方法
US8492282B2 (en) * 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
JP5293168B2 (ja) * 2008-12-25 2013-09-18 富士通株式会社 レジスト組成物及びそれを用いた半導体装置の製造方法
US20120276714A1 (en) * 2011-04-28 2012-11-01 Nanya Technology Corporation Method of oxidizing polysilazane
US8736055B2 (en) * 2012-03-01 2014-05-27 Lam Research Corporation Methods and layers for metallization
US9416447B2 (en) * 2014-02-07 2016-08-16 HGST Netherlands B.V. Method for line density multiplication using block copolymers and sequential infiltration synthesis
KR20150112288A (ko) * 2014-03-27 2015-10-07 삼성전자주식회사 스트레처블 소자와 그 제조방법 및 스트레처블 소자를 포함하는 전자장치
US9245903B2 (en) * 2014-04-11 2016-01-26 International Business Machines Corporation High voltage metal oxide semiconductor field effect transistor integrated into extremely thin semiconductor on insulator process
US20160133497A1 (en) * 2014-11-07 2016-05-12 International Business Machines Corporation Multi-layer laser debonding structure with tunable absorption

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW461108B (en) * 2000-01-13 2001-10-21 Mitsubishi Electric Corp Semiconductor device
TW493234B (en) * 2000-01-13 2002-07-01 Mitsubishi Electric Corp Method of manufacturing semiconductor device
TW578211B (en) * 2001-07-19 2004-03-01 Trikon Holdings Ltd Semiconductor structure
TW200416951A (en) * 2003-02-21 2004-09-01 Renesas Tech Corp Electronic device manufacturing method
TW201322349A (zh) * 2011-10-19 2013-06-01 Panasonic Corp 半導體封裝的製造方法、半導體封裝、以及半導體裝置

Also Published As

Publication number Publication date
JP6737991B2 (ja) 2020-08-12
KR102344900B1 (ko) 2021-12-28
JP2016201542A (ja) 2016-12-01
KR20160121784A (ko) 2016-10-20
US20160300756A1 (en) 2016-10-13
TW201703138A (zh) 2017-01-16
US10170354B2 (en) 2019-01-01

Similar Documents

Publication Publication Date Title
TWI579918B (zh) 開放式特徵部中用以建立介電隔離結構之消去法
US7419771B2 (en) Method for forming a finely patterned resist
US7855154B2 (en) Methods of forming intermediate semiconductor device structures using spin-on, photopatternable, interlayer dielectric materials
JP4042981B2 (ja) リソグラフィ用反射防止ハードマスク組成物およびそれを用いた半導体デバイスの製造方法
US8877430B2 (en) Methods of producing structures using a developer-soluble layer with multilayer technology
US7947432B2 (en) Pattern formation method
US8084185B2 (en) Substrate planarization with imprint materials and processes
TW201604932A (zh) 基板上之接觸窗開口的圖案化方法
US20120266810A1 (en) Planarization system for high wafer topography
JP2014507795A (ja) 高度なパターン形成に必要な小型フィーチャのパターン形成プロセス
US9058997B2 (en) Process of multiple exposures with spin castable films
JP2023517748A (ja) 平坦化有機膜
CN102770807B (zh) 抗反射硬掩模组合物以及使用其制备图案化材料的方法
JP2002329781A (ja) 微細ホールの埋込方法
US7387969B2 (en) Top patterned hardmask and method for patterning
US7642184B2 (en) Method for dual damascene process
US6183938B1 (en) Conformal organic coatings for sidewall patterning of sublithographic structures
KR102527983B1 (ko) 반도체 장치의 미세 패턴 형성방법
JP2009094146A (ja) パターン形成方法
JP2005158951A (ja) 半導体装置の製造方法