KR101393134B1 - FinFET 소자를 위한 전위 SMT - Google Patents

FinFET 소자를 위한 전위 SMT Download PDF

Info

Publication number
KR101393134B1
KR101393134B1 KR1020120081251A KR20120081251A KR101393134B1 KR 101393134 B1 KR101393134 B1 KR 101393134B1 KR 1020120081251 A KR1020120081251 A KR 1020120081251A KR 20120081251 A KR20120081251 A KR 20120081251A KR 101393134 B1 KR101393134 B1 KR 101393134B1
Authority
KR
South Korea
Prior art keywords
fin structure
region
substrate
source
potential
Prior art date
Application number
KR1020120081251A
Other languages
English (en)
Other versions
KR20130091620A (ko
Inventor
웬-쳉 로
선-제이 창
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20130091620A publication Critical patent/KR20130091620A/ko
Application granted granted Critical
Publication of KR101393134B1 publication Critical patent/KR101393134B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7847Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate using a memorization technique, e.g. re-crystallization under strain, bonding on a substrate having a thermal expansion coefficient different from the one of the region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection

Abstract

FinFET에 응력 기억 기술(SMT: stress memorization technique)을 수행하기 위한 방법과, 다중-평면 전위를 포함하는 기억되는 응력 효과를 갖는 FinFET가 개시된다. 예시적 실시예는 기판과, 기판상의 핀 구조와, 핀 구조들 사이에 위치한 분리 영역과, 핀 구조의 일부분 위에 위치한 게이트 스택을 포함하는 FinFET 전구체를 수용하는 단계를 포함한다. 게이트 스택은 핀 구조의 소스 영역을 핀 구조의 드레인 영역으로부터 분리시키고, 이 두 개의 영역들 사이에 게이트 영역을 생성한다. 실시예는 핀 구조, 분리 영역과 게이트 스택 각각의 적어도 일부분 위에 응력-기억 기술(SMT) 캡핑층을 형성하는 단계와, 에너지가 많은 도핑종을 주입함으로써 FinFET 전구체에 사전-비정질화 주입을 수행하는 단계와, FinFET 전구체에 어닐링 공정을 수행하는 단계와, SMT 캡핑층을 제거하는 단계를 또한 포함한다.

Description

FinFET 소자를 위한 전위 SMT{DISLOCATION SMT FOR FINFET DEVICE}
본 발명은 FinFET 소자를 위한 전위 SMT에 대한 것이다.
반도체 산업이 더 높은 소자 밀도, 더 나은 성능, 및 더 낮은 비용을 추구해서 나노미터 기술 공정 노드로 진행함에 따라, 제조 및 설계 이슈로부터의 도전이 핀-유사(fin-like) 전계 효과 트랜지스터(fin-like field effect transistor; FinFET)와 같은 3차원 설계의 개발을 초래하였다. 통상적인 FinFET는 예를 들면 기판의 실리콘층 안으로 에칭되는 것과 같이, 기판으로부터 연장하는 얇은 "핀"(또는 핀 구조)를 사용해서 제조된다. FET의 채널은 이러한 수직 핀에서 형성된다. 게이트는 핀 위에(예, 둘러싸서) 제공된다. 채널의 양쪽 상에 게이트를 가져서, 양쪽으로부터 채널의 게이트 제어를 허용하게 하는 것은 이롭다. FinFET 소자의 이점은 짧은 채널 효과의 감소와 더 높은 전류 흐름을 포함한다.
FinFET 소자와 같은 비평면 소자에 내재된 복잡성 때문에, 평면 트랜지스터를 제조시에 사용된 다수의 기술은 비평면 소자를 제조시에는 이용가능하지 않다. 예를 들면, 응력-기억 기술(SMT: stress-memorization technique)은 nMOS 소자를 향상시키기 위해 고성능 환경에서 적용된다. 평면 소자 채널의 비정질화 및 재결정화를 주의 깊게 제어함에 따라, 소자에 적용되는 응력 효과는 스트레서(stressor)가 제거된 후에 조차 남게될 것이다. 응력 효과는 채널을 통해 전하 이동도를 향상시키며, 따라서 소자 성능을 향상시킨다. 소자 성능에 있어서 유사한 향상을 얻도록 SMT를 3차원 소자에 적용하는 방법이 필요하다.
본 발명은 반도체 소자를 제조하는 방법을 제공하며, 이 방법은 기판; 상기 기판 상에 형성된 핀(fin) 구조; 상기 기판상에 형성되고, 상기 핀 구조를 분리시키는 분리 영역; 및 상기 핀 구조의 일부분 위에 형성된 게이트 스택을 포함하는, FinFET 전구체를 수용하여 상기 핀 구조의 소스 영역을 상기 핀 구조의 드레인 영역으로부터 분리시켜서 상기 소스 영역과 상기 드레인 영역 사이에 상기 핀 구조의 게이트 영역을 생성하는 단계; 상기 핀구조, 상기 분리 영역과, 상기 게이트 스택 각각의 적어도 일부분 위에 응력-기억 기술(stress-memorization technique; SMT) 캡핑층을 형성하는 단계; 에너지가 많은(energetic) 도핑종(doping species)을 주입함으로써 상기 FinFET 전구체에 사전-비정질화(pre-amorphization) 주입을 수행하는 단계; 상기 FinFET 전구체에 어닐링 공정을 수행하는 단계와; 상기 SMT 캡핑층을 제거하는 단계를 포함한다.
또한, 본 발명은 반도체 소자를 제공하며, 이 소자는 표면을 갖는 기판; 상기 기판의 표면 위에 형성된 핀 구조 - 상기 핀 구조는 긴(elongated) 본체, 종축과, 상기 기판의 표면에 평행한 횡축을 가지며, 상기 핀 구조는 전위(dislocation)를 가짐 -; 상기 기판의 표면상에 형성되고, 상기 핀 구조를 분리시키는 분리 영역과; 상기 핀 구조의 일부분 위에 형성되어, 상기 핀 구조의 소스 영역을 상기 핀 구조의 드레인 영역으로부터 분리시켜서 상기 소스 영역과 상기 드레인 영역 사이에 상기 핀 구조의 게이트 영역을 생성하게 하는 게이트 스택을 포함한다.
또한, 본 발명은 반도체 소자를 제공하며, 이 소자는 표면을 갖는 기판; 상기 기판의 표면 위에 형성된 융기된 소자 본체 - 상기 융기된 소자 본체는 드레인 영역, 소스 영역, 및 상기 드레인 영역과 상기 소스 영역 사이에 위치한 게이트 영역을 포함하고, 상기 융기된 소자 본체는 종축과, 상기 기판의 표면에 평행한 횡축을 가짐 -; 상기 융기된 소자 본체 내에 형성된 전위; 상기 기판의 표면상에 형성되고, 상기 융기된 소자 본체를 분리시키는 분리 영역과; 상기 융기된 소자 본체의 게이트 영역의 일부분 위에 형성된 게이트 스택을 포함한다.
본 발명 개시는 첨부된 도면들과 함게 읽을 때 이하의 상세한 설명으로부터 가장 잘 이해된다. 업계의 표준 실행에 따라, 다양한 특징은 실제 크기에 따라 그려지 않고 단지 예증적인 목적을 위해 사용된다는 것이 강조된다. 사실상, 다양한 특징부의 크기는 논의의 명확성을 위해 임의로 증가되거나 감소될 수 있다.
도 1은 본 발명 개시의 양상에 따라 FinFET 전구체에 응력-기억 기술을 수행하기 위한 방법의 흐름도이다.
도 2a와 2b는 본 발명 개시의 실시예에 따른 공정을 거치는 FinFET 전구체의 개략적인 사시도이다.
도 3a와 3b는 본 발명 개시의 실시예에 따른 공정을 거치는 FinFET 전구체의 개략적인 단면도이다.
도 4는 본 발명 개시의 실시예에 따른 공정을 거치는 FinFET 전구체의 개략적인 단면도이다.
도 5a와 5b 각각은 본 발명 개시의 실시예에 따른 공정을 거치는 FinFET 전구체의 개략적 사시도 및 개략적 단면도이다.
도 6a와 6b 각각은 본 발명 개시의 실시예에 따른 공정을 거치는 FinFET 전구체의 개략적 사시도 및 개략적 단면도이다.
도 7a와 7b 각각은 본 발명 개시의 실시예에 따른 공정을 거치는 FinFET 전구체의 개략적 사시도 및 개략적 단면도이다.
도 8은 본 발명 개시의 실시예에 따른 공정을 거치는 FinFET 전구체의 개략적인 사시도이다.
도 9는 본 발명 개시의 실시예에 따른 공정을 거치는 FinFET 전구체의 개략적인 사시도이다.
도 10은 본 발명 개시의 실시예에 따른 공정을 거치는 FinFET 전구체의 개략적인 단면도이다.
본 발명 개시는 일반적으로 IC 소자 제조에 대한 것이고, 보다 구체적으로는 FinFET에 응력 기억 기술(SMT)을 수행하기 위한 방법 및 생성된 소자에 대한 것이다.
하기의 개시는 본 발명의 상이한 특징을 구현하기 위한 다수의 상이한 실시예 또는 예시를 제공한다. 본 발명의 개시를 간략화하기 위해, 컴포넌트 및 배열의 특정 예시들이 이하에서 설명된다. 물론, 이런 것들은 단지 예시일 뿐이고, 제한하는 것으로 의도되지는 않는다. 예를 들면, 이하 설명에서, 제2 특징부 위 또는 상에 제1 특징부의 형성은 제1 및 제2 특징부가 직접 접촉해서 형성되는 실시예를 포함할 수 있고, 추가적인 특징부가 제1 및 제2 특징부 사이에서 형성될 수 있어 그 결과 제1 및 제2 특징부가 직접 접촉할 수 없는 실시예를 또한 포함할 수 있다. 또한, 본 발명의 개시는 다양한 예시들에서 참조 번호 및/또는 문자를 반복할 수 있다. 이러한 반복은 간략화와 명료함의 목적을 위한 것이고, 논의된 다양한 실시예들 및/또는 구성 사이의 관계를 그 자체가 명시하지는 않는다.
또한, "밑에", "아래에", "더 낮은", "위에", "상부의" 등과 같은 공간적 관계 용어들은 도면들에 예증된 하나의 요소 또는 특징부의 다른 요소(들) 또는 특징부(들)에 대한 관계를 쉽게 설명하기 위해 본 명세서에서 사용될 수 있다. 공간적 관계 용어들은 도면들에 묘사된 방위에 추가해서 사용 또는 동작 중인 소자의 상이한 방위를 포괄하도록 의도된다. 예를 들면, 만약 도면들에 도시된 소자가 뒤집히면, 다른 요소 또는 특징부의 "아래에" 또는 "밑에" 있는 것으로 설명된 요소는 다른 요소 또는 특징부의 "위로" 배향될 것이다. 따라서, 예시적인 용어 "아래에"는 "위에"와 '아래에" 모두를 포괄할 수 있다. 그렇치 않다면, 소자는 배향될(90도 또는 다른 방위로 회전됨) 수 있고, 본 명세서에서 사용된 공간적인 관계 서술자는 마찬가지로 그에 부합되게 해석될 수 있다.
도 1은 본 발명 개시의 양상에 따라 FinFET에 응력-기억 기술을 수행하기 위한 방법의 흐름도이다. 도 2a, 2b, 5a, 6a, 7a, 8, 및 9는 본 발명 개시의 실시예에 따른 FinFET 전구체의 개략적 사시도이다. 도 3a, 3b, 4, 5b, 6b, 7b, 및 10은 본 발명 개시의 실시예에 따른 FinFET 전구체의 단면도이다. 방법(100)과 FinFET 전구체(200)는 도 1 내지 도 10을 참조해서 집합적으로 설명된다. 추가적인 단계들이 방법(100)의 이전, 동안, 및 이후에 제공될 수 있고, 설명된 단계들 중 일부가 방법의 다른 실시예를 위해 대체되거나 제거될 수 있다.
방법(100)은 응력-기억 기술(SMT)을 위해 적절한 FinFET 전구체(200)가 수용되는 블록(102)에서 시작한다. 도 2a에서 예증되는 바와 같이, 전구체(200)는 기판(202)을 포함한다. 기판(202)은 벌크 실리콘 기판일 수 있다. 대안적으로, 기판(202)은 결정 구조의 실리콘 또는 게르마늄과 같은 원소 반도체와; 실리콘 게르마늄, 실리콘탄화물, 갈륨비화물, 갈륨인화물, 인듐인화물, 인듐비화물, 및/또는 안티몬인화물; 또는 이것들의 조합과 같은 화합물 반도체를 포함할 수 있다. 가능한 기판(202)은 SOI(silicon-on-insulator) 기판을 또한 포함한다. SOI 기판은 산소 주입(SIMOX), 웨이퍼 본딩, 및/또는 다른 적절한 방법에 의한 분리를 사용해서 제조된다.
일부 예시적인 기판(202)은 절연층을 포함한다. 절연층은 실리콘산화물, 사파이어, 다른 적절한 절연 물질, 및/또는 이것들의 조합을 포함하는 임의의 적절한 물질을 포함한다. 예시적인 절연층은 매립된 산화물층(BOX: buried oxide layer)일 수 있다. 절연체는 주입(예, SIMOX), 산화, 증착, 및/또는 다른 적절한 공정과 같은 임의의 적절한 공정에 의해 형성된다. 일부 예시적인 FinFET 전구체(200)에서, 절연층은 SOI(silicon-on-insulator) 기판의 컴포넌트(예, 층)이다.
기판(202)은 종래 기술에서 알려진 바와 같은 설계 요구사항에 따라 다양한 도핑된 영역(예, p형 웰 또는 n형 웰)을 포함할 수 있다. 도핑된 영역은 붕소 또는 BF2 와 같은 p형 도펀트와, 인 또는 비소와 같은 n형 도펀트 또는 이것들의 조합을 사용해서 도핑된다. 도핑된 영역은 P-웰 구조에서, N-웰 구조에서, 이중-웰 구조에서, 또는 융기된 구조를 이용해서 기판(202) 상에 직접적으로 형성될 수 있다. 반도체 기판(202)은 N형 금속-산화-반도체 트랜지스터 소자를 위해 구성된 영역과, P형 금속-산화-반도체 트랜지스터 소자를 위해 구성된 영역과 같은 다양한 능동 영역을 더 포함할 수 있다.
핀 구조(204)는 기판(202) 위에 형성된다. 일부 실시예에서, 전구체(200)는 하나보다 많은 핀 구조(204)를 포함한다. 핀 구조(204)는 다양한 증착, 포토리소그래피, 및/또는 에칭 공정을 포함하는 임의의 적절한 공정에 의해 형성된다. 예시적인 포토리소그래피 공정은 기판 위에(예, 실리콘층상에) 배치되는 포토레지스트층(레지스트)을 형성하는 단계, 레지스트를 패턴에 노출시키는 단계, 사후-노출 베이크 공정을 수행하는 단계와, 레지스트를 포함하는 마스킹 요소를 형성하도록 레지스트를 현상하는 단계를 포함한다. 그런 다음, 마스킹 요소는 핀 구조를 실리콘층 안으로 에칭하기 위해 사용된다. 마스킹 요소에 의해 보호되지 않는 영역은 반응 이온 에칭(RIE: reactive ion etching) 공정 및/또는 다른 적절한 공정을 사용해서 에칭된다. 하나의 예시에서, 실리콘 핀(204)은 실리콘 기판(202)의 일부분을 패터닝하고 에칭함으로써 형성된다. 다른 예시에서, 핀 구조(204)는 절연층 위에 증착된 실리콘층(예, SOI 기판의 실리콘-절연체-실리콘 스택의 상부 실리콘층)을 패터닝하고 에칭함으로써 형성된다. 종래의 포토리소그래피에 대한 대안으로서, 핀 구조(204)는 이중-패터닝 리소그래피(DPL: double-patterning lithography) 공정에 의해 형성될 수 있다. DPL은 패턴을 두 개의 인터리빙된 패턴으로 분리시킴으로써 기판상에 패턴을 구축하는 방법이다. DPL은 강화된 특징부(예, 핀) 밀도를 허용한다. 다양한 DPL 방법론은 스페이서의 패턴을 제공하도록 특징부에 인접한 스페이서를 형성하고 특징부를 제거하는 이중 노출(예, 두 개의 마스크 세트를 사용함)과, 레지스트 냉각, 및/또는 다른 적절한 공정을 포함한다. 다수이 평행 핀 구조(204)가 유사한 방식으로 형성될 수 있다는 것이 이해된다.
핀 구조(204)를 형성하기 위해 적절한 물질은 실리콘과 실리콘 게르마늄을 포함한다. 일부 실시예에서, 핀 구조(204)는 실리콘 캡핑층과 같이, 핀상에 배치된 캡핑층을 포함한다. 핀 구조(204)는 다양한 도핑된 영역을 또한 포함할 수 있다. 예를 들면, 다양한 도핑된 영역은 저농도 도핑된 소스/드레인(lightly doped source/drain; LDD) 영역과, 소스/드레인(source/drain; S/D) 영역(고농도 도핑된 S/D 영역이라고 또한 지칭됨)을 포함할 수 있다. 주입 공정(즉, 접합 주입)은 S/D 영역을 형성하기 위해 수행된다. 주입 공정은 임의의 적절한 도핑종(doping species)을 사용한다. 도핑종은 NMOS 또는 PMOS 소자와 같은, 제조되고 있는 소자 유형에 따를 수 있다. 예를 들면, S/D 영역은 붕소 또는 BF2와 같은 p형 도펀트와, 인 또는 비소와 같은 n형 도펀트 및/또는 이것들의 조합을 사용해서 도핑된다. S/D 영역은 다양한 도핑 프로파일을 포함할 수 있다. 하나 이상의 어닐링 공정은 S/D 영역을 활성화시키기 위해 수행될 수 있다. 어닐링 공정은 급속 열 어닐링(rapid thermal annealing; RTA) 및/또는 레이저 어닐링 공정을 포함한다.
예시적인 분리 영역(206)은 기판(202)의 능동 영역을 분리시키기 위해 기판(202)상에 형성된다. 분리 영역(206)은 다양한 영역들을 한정하고 전기적으로 분리시키기 위해 얕은 트렌치 분리(shallow trench isolation; STI)과 같은 분리 기술을 사용한다. 분리 영역(206)는 실리콘산화물, 실리콘질화물, 실리콘산화질화물, 에어 갭, 다른 적절한 물질, 또는 이러한 것들의 조합을 포함한다. 분리 영역(206)은 임의의 적합한 공정에 의해 형성된다. 하나의 예시로서, STI의 형성은 포토리소그래피 공정과, 기판 내에 트렌치를 에칭하는 동작(예, 건식 에칭 및/또는 습식 에칭을 사용함)과, 하나 이상의 유전 물질을 사용해서 트렌치를 채우는(filling) 단계(예, 화학적 증기 증착 공정을 사용함)를 포함한다. 트렌치들은 트렌치들 사이에 남아 있는 기판이 핀 구조를 형성하는 본 발명 실시예에서처럼 부분적으로 채워질 수 있다. 일부 예시에서, 채워진 트렌치는 실리콘질화물 또는 실리콘산화물을 사용해 채워진 열 산화 라이너층과 같은 다중층 구조를 가질 수 있다.
하나 이상의 게이트 구조(208)는 핀 구조(204)의 일부분 위를 포함해서, 기판(202) 위에 형성된다. 게이트 구조(208)는 게이트 스택을 포함하고, 밀봉층과 다른 적절한 구조를 포함할 수 있다. 게이트 스택은 계면층(210), 게이트 유전층(212), 게이트 전극층(214), 및 하드 마스크층(216)을 가진다. 게이트 스택은 계면층, 캡핑층, 확산/장벽층, 유전층, 전도층, 다른 적절한 층, 및/또는 이것드의 조합과 같은 추가적인 층들을 포함할 수 있다는 것이 이해된다. 게이트 구조(208)의 계면층(210)은 기판(202)과 핀 구조(204)의 위에 형성된다. 계면층(210)은 임의의 적절한 공정에 의해 임의의 적절한 두께로 형성된다. 예시적인 계면층(210)은 실리콘산화물(예, 열 산화물 또는 화학적 산화물) 및/또는 실리콘산화질화물(SiON)을 포함한다.
게이트 유전층(212)은 임의의 적절한 공정에 의해 계면층(210) 위에 형성된다. 게이트 유전체(212)는 실리콘산화물, 실리콘질화물, 실리콘산화질화물, 고(high)-k 유전물질, 다른 적절한 유전 물질, 및/또는 이것들의 조합과 같은 유전물질을 포함한다. 고-k 유전물질의 예시는 HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, 지르코늄산화물, 알루미늄산화물, 하프늄산화물-알루미나(HfO2-Al2O3) 합금, 다른 적절한 고-k 유전물질, 및/또는 이것들의 조합을 포함한다.
게이트 전극층(214)은 임의의 적절한 공정에 의해 게이트 유전층(212) 위에 형성된다. 게이트 전극층(214)은 폴리실리콘, 알루미늄 구리, 티타늄, 탄탈륨, 텅스텐, 몰리브덴, 탄탈륨질화물, 니켈규화물, 코발트규화물, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, 금속 합금, 다른 적절한 물질, 및/또는 이것들의 조합과 같은 임의의 적절한 물질을 포함한다.
게이트 유전층(216)은 임의의 적절한 공정에 의해 게이트 전극층(214) 위에 형성된다. 하드 마스크층(216)은 예를 들면, 실리콘질화물, SiON, SiC, SiOC, 스핀-온 글라스(spin-on glass; SOG), 저(low)-k 막, TEOS(tetraethylorthosilicate), 플라즈마 강화된 CVD 산화물(PE-oxide), HARP(high-aspect-ratio-process)로 형성된 산화물, 및/또는 다른 적절한 물질과 같은 임의의 적절한 물질을 포함한다.
게이트 구조(208)의 게이트 스택은 임의의 적절한 공정 또는 공정들에 의해 형성된다. 예를 들면, 게이트 스택은 증착, 포토리소그래피 패터닝, 및 에칭 공정을 포함하는 방법에 의해 형성될 수 있다. 증착 공정은 화학적 증기 증착(chemical vapor deposition; CVD), 물리적 증기 증착(physical vapor deposition; PVD), 원자층 증착(atomic layer deposition; ALD), 고밀도 플라즈마 CVD(high density plasma CVD; HDPCVD), 금속 유기 CVD(metal organic CVD; MOCVD), 원격 플라즈마 CVD(remote plasma CVD; RPCVD), 플라즈마 강화 CVD(plasma enhanced CVD; PECVD), 도금, 다른 적절한 방법, 및/또는 이것들의 조합을 포함한다. 포토리소그래피 패터닝 공정은 포토레지스트 코팅(예, 스핀-온 코팅), 소프트 베이킹, 마스크 정렬, 노출, 사후-노출 베이킹, 포토레지스트의 현상, 세정, 건조(예, 하드 베이킹), 다른 적절한 공정, 및/또는 이것들의 조합을 포함한다. 대안적으로, 포토리소그래피 노출 공정은 마스크리스(maskless) 리소그래피, 전자-빔 기록, 또는 이온-빔 기록과 같은 다른 적절한 방법에 의해 구현되거나 대체된다. 에칭 공정은 건식 에칭, 습식 에칭, 및/또는 다른 에칭 방법(예, 반응 이온 에칭)을 포함한다.
게이트 구조(208)는 게이트 스페이서(218)를 또한 포함할 수 있다. 게이트 스택의 양쪽에(게이트 스택의 측벽들상에) 위치한 게이트 스페이서(218)는 실리콘질화물, 실리콘탄화물, 실리콘산화질화물, 다른 적절한 물질, 및/또는 이것들의 조합과 같은 유전물질을 포함할 수 있다. 일부 실시예에서, 게이트 스페이서(218)는 소스/드레인 영역과 같은 후속적으로 형성된 도핑된 영역을 오프셋하기 위해 사용된다. 게이트 스페이서(218)는 소스/드레인 영역(접합) 프로파일을 설계하거나 변경시키기 위해 추가적으로 사용될 수 있다.
도 2b를 참조하면, 일부 실시예에서, FinFET 전구체(200)는 기판위 표면 위에 형성된 융기된 소자 본체(220)를 구비한 기판(202)을 포함한다. 융기된 소자 본체는 소스/드레인 영역(222)을 갖는다. 일부 실시예에서, 소스/드레인 영역(222)은 소스 영역이고, 다른 소스/드레인 영역(222)은 드레인 영역이다. 게이트 영역(224)은 소스/드레인 영역(222) 사이에 위치한다. 게이트 구조(208)는 융기된 소자 본체(220)의 게이트 영역(224) 위에 형성된다. 도 2b에서, 하나의 게이트 구조(208)는 하부 게이트 영역(224)을 더 잘 보여주기 위해 도시되지 않는다. 분리 영역(206)은 융기된 소자 본체(220)를 서로 분리시킨다.
일단 FinFET 전구체(200)가 수용되면, 이 전구체는 블록(104 내지 110)에서 응력-기억 기술(SMT)을 거치게 된다. SMT는 채널을 통해 전하 이동도를 증가시킨다. 이것은 소자 성능의 큰 향상을 초래한다. SMT를 거친 FinFET는 주어진 채널 크기 및 공급 전압을 위해 더 높은 구동 강도를 보인다. 간단히 말하면, 방법은 FinFET 전구체(200)상에 SMT 캡핑층을 형성하는 단계를 포함한다. FinFET(200)는 원자를 핀 구조(204) 안으로 주입하고, 핀 구조(204) 내의 반도체 격자를 교란시키는 사전-비정질화 주입(pre-amorphization implantation; PAI)을 거치게 된다. 열 어닐링은 재결정질화를 위해 수행된다. SMT 캡핑층은 제거되지만, 핀 구조(204)는 SMT에 의해 야기되는 응력 효과를 유지한다. 이러한 유지된 효과는 응력-기억으로서 지칭될 수 있고, 따라서, SMT라는 명칭은 이러한 특징에 유래한다. SMT가 종료된 후에, 추가적인 FinFET 제조 단계가 수행될 수 있다.
SMT 기술을 더 자세히 조사하고, 블록(104)과 도 3a 및 3b를 참조하면, SMT 캡핑층(300)이 FinFET 전구체(200)상에 형성되고, 이 캡핑층은 핀 구조(204), 게이트 구조(208)와, 일부 실시예에서, 분리 영역(206)의 노출된 부분을 덮는다. 캡핑층(300)은 실리콘질화물 또는, 실리콘산화물과 같은 다른 적절한 물질을 포함한다. 캡핑층(300)은 저압 CVD(low pressure CVD; LPCVD)에 의해 형성된 실리콘질화물, 플라즈마 강화된 CVD(plasma enhanced CVD; PECVD:)에 의해 형성된 실리콘질화물, CVD 공정에 의해 형성되는 테트라에틸 오소실리케이트(tetraethyl orthosilicate), 또는 다른 적절한 물질을 포함할 수 있다. 일 실시예에서, 캡핑층(300)은 약 230 옹스트롬의 두께를 포함한다. 다른 실시예에서, 캡핑층(300)은 약 200 옹스트롬에서 약 2000 옹스트롬 사이의 범위에 있는 두께를 갖는다.
블록(106)과 도 4를 참조하면, 일단 캡핑층(300)이 적용되면, 사전-비정질화 주입(PAI)이 수행된다. PAI는 원자를 소스/드레인(S/D) 영역 내의 핀 구조(204) 안으로 주입시킨다. SI, Ge, Ar, Xe, BF2, As, 및/또는 In과 같은 에너지가 풍부한(energetic) 도핑종을 S/D 영역안으로 도입함으로써, 주입은 분자 격자를 손상시킨다. 이런 동작은 핀 구조(204)의 반도체 물질 내에 깊이(402)까지 비정질 영역(400)을 생성한다. 깊이(402)는 설계 규격에 따라 결정되고, PAI 공정 주입 에너지, 주입종(implant species), 주입각 및/또는 주입 선량에 의해 제어될 수 있다. 핀 구조(204)는 다양한 에너지, 종, 각도 및 선량을 사용하는 다수의 주입을 거칠 수 있다. 하나의 주어진 실시예에서, 게르마늄(Ge)이 주입되는 종이고, 주입 에너지는 약 25 KeV에서 약 30 KeV 사이의 범위이다.
일부 실시예에서, 패터닝된 포토레지스트층은 어디에 비정질 영역(400)이 형성되는 지를 한정하고, 주입 손상으로부터 FinFET(200)의 다른 영역을 보호하기 위해 사용될 수 있다. 예를 들면, 패터팅된 포토레지스트층은 핀 구조(204)를 노출시켜서, 소스/드레인 영역이 PAI 공정{비정질 영역(400)을 형성함}에 노출되는 한편, 게이트 구조(208){및 FinFET(200)의 다른 부분}는 PAI 공정으로부터 보호된다. 대안적으로, SiN 또는 SiON층과 같은 패터닝된 하드 마스크층은 비정질 영역(400)을 한정하기 위해 이용된다. 패터닝된 포토레지스트층 또는 패터닝된 하드 마스크층은 하드 마스크층(216)일 수 있다. 이미 배치되어 있는 하드 마스크층(216)을 재사용하는 것은 비용 및 제조 시간을 감소시킬 수 있다.
블록(108)에서, 어닐링 공정이 FinFET 전구체(200)에 수행된다. 적절히 수행될 때, 어닐링 공정은 심지어 층(300)의 부재시에도 캡핑층(300)에 의해 야기된 채널 응력 효과를 유지한다. 어닐링 공정은 PAI 동안 생성된 비정질 영역을 재결정화한다. 하지만, 어닐링 동안 응력은 균일한 결정 형성을 방지한다. 재결정화된 영역은 국부적으로 균일하지만, 다른 영역과 오정렬(misalignment)을 보이는 영역과 같이 비정형성(irregularities)을 포함할 것이다. 이러한 오정렬은 전위라고 알려진 결함을 초래할 수 있다.
어닐링 공정은 급속 열 어닐링(RTA), 또는 밀리초 레이저 열처리와 같은 밀리초 열처리(millisecond thermal anneal; MSA)일 수 있다. 일 실시예에서, 어닐링 공정은 급속 열 어닐링(RTA) 도구에서 구현된다. 다른 실시예에서, 어닐링 공정은 약 2000℃에서 약 1050℃ 사이의 범위에 있는 어닐링 온도로 FinFET(200)에 적용된다. 다른 실시예에서, 어닐링 공정은 약 5초에서 약 30초 사이의 범위에 있는 어닐링 지속기간에 반도체 구조(200)에 적용된다. 어닐링 공정은 범위의 끝(end of range; EOR) 결함을 최소화하거나 심지어 제거시키는, 긴 범위의 사전-가열을 포함할 수 있다. 긴 범위의 사전-가열을 위해 적절한 범위는 약 200°C에서 약 700°C까지의 범위이고, 다른 적절한 온도와 범위를 포함한다. 긴 범위의 사전-가열은 약 50초에서 약 300초 동안 수행될 수 있다. 특정 실시예에서, 긴 범위 사전-가열은 약 180초 동안 약 550℃의 온도를 갖는다.
블록(110)에서, 캡핑층(300)은 FinFET(200)으로부터 제거된다. 제거 공정은 습식 에칭 또는 건식 에칭 공정을 포함할 수 있다. 실리콘질화물 캡핑층(300)을 구비한 FinFET 전구체(200)의 일 예시에서, 캡핑층(300)은 인산을 포함하는 에칭 공정에 의해 제거된다. 실리콘산화물 캡핑층(300)을 갖는 다른 예시에서, 실리콘산화물은 불화수소산(HF) 또는 완충된 HF에 의해 에칭되어 제거된다. 다른 예시에서, 실리콘산화물 캡핑층(300)은 CMP 공정에 의해 제거된다. 일부 실시에에서, 캡핑층(300)을 제거하면서, 동시에 마스크층과 같은 다른 층을 제거하는 것은 이롭다.
도 5a 내지 7b를 참조하면, SMT층 증착, 주입, 및 어닐링과 같은 SMT 단계 동안 적용되는 응력 때문에, 핀 구조(204)는 반도체 격자 내에 전위를 포함할 수 있다. 전위는 핀치오프(pinchoff) 지점(500)에서 시작한다. 핀치오프 지점(500)의 깊이 및 위치는 설계 규격에 따라 설정되고, 사전-비정질화 주입 및 어닐링 공정의 함수이다. 핀치오프 지점으로부터, 전위는 하나 이상의 평면을 따라 전파된다. 평면을 예증하는데 있어서의 명확성을 위해, 캡핑층(300)은 도 5a 내지 7b에 도시되지 않는다. 평면은 핀 구조(204)의 종축(502)과 횡축(504)을 참조해서 설명된다. 하나의 예시적인 평면은 평면(506)에 의해 예증된다. 평면(506)은 핀 구조(204)의 종축(502)에 평행하지만, 기판(202)의 표면을 향해 지향된다. 일부 실시예에서, 평면(506)은 111 밀러 인덱스에 대응한다. 이러한 평면(506)은 약 55도 각도로 기판(202)의 표면을 교차한다. 마찬가지로, 평면(508)은 핀 구조(204)의 종축(502)에 유사하게 평행하고, 기판(202)의 표면을 향해 지향된다. 일부 실시예에서, 평면(508)은 약 55도 각도로 기판(202)의 표면을 교차한다. 다른 예시적인 평면은 평면(600)이고, 이 평면은 기판(202)의 표면에 평행하고, 종축(502)과 횡축(504) 모두에 평행하다. 평면(700)은 핀 구조(204)의 횡축(504)에 평행하지만, 기판(202)의 표면을 향해 각이 진다. 이러한 예시적인 평면은 제한하는 것으로 의도되지 않고, 핀 구조(204)는 임의의 하나 이상의 이러한 평면을 따라 전위를 가질 수 있다.
일부 실시예에서, FinFET 전구체(200)는 응력-기억 기술을 거치게 된 후에, 제2 소스/드레인(S/D) 영역이 형성될 수 있다. 이렇게 하기 위해, 블록(112)에서, 핀 구조(204)는 도 8에 예증된 바와 같이 부분적으로 제거될 수 있다. 임의의 적절한 분량의 물질이 제거될 수 있다. 하지만, 제거된 분량은 나중에 형성될, 제2 소스/드레인 영역 내에 기억된 응력의 존재에 영향을 줄 수 있다. 따라서, 깊이는 제2 S/D 영역 내에 원하는 응력 효과 및 전위를 생성 또는 제거하고, 소자 채널의 다른 특징을 제어하도록 조정될 수 있다.
핀 구조(204)의 일부분을 제거하는 단계는 FinFET 전구체(200) 위에 포토레지스트층 또는 캡핑층(예, 산화캡핑층)을 형성하는 단계와, 핀 구조(204)의 S/D 영역을 노출시키는 개구를 갖도록 포토레지스트층 또는 캡핑층을 패터닝하는 단계와, 핀 구조(204)로부터 물질을 에칭백(etching back)하는 단계를 포함할 수 있다. 묘사된 실시예에서, 핀 구조(204)는 건식 에칭 공정에 의해 에칭된다. 대안적으로, 에칭 공정은 습식 에칭 공정, 또는 건식 및 습식 에칭 공정의 조합이다. 제거는 에칭 공정을 용이하게 하기 위한 리소그래피 공정을 포함할 수 있다. 리소그래피 공정은 포토레지스트 코팅(예, 스핀-온 코팅), 소프트 베이킹, 마스크 정렬, 노출, 사후-노출 베이킹, 포토레지스트의 현상, 세정, 건조(예, 하드 베이킹), 다른 적절한 공정, 또는 이것들의 조합을 포함할 수 있다. 대안적으로, 리소그래피 공정은 마스크리스(maskless) 포토리소그래피, 전자-빔 기록, 및 이온-빔 기록과 같은 다른 방법에 의해 구현되거나 대체된다. 다른 대안에서, 리소그래피 공정은 나노임프린트 기술을 구현할 수 있다.
블록(114)과 도 9를 참조하면, 제2 소스/드레인(S/D) 영역(900)은 핀 구조(204)의 S/D 영역 위에 형성된다. 제2 S/D 영역(900)은 하나 이상의 에피틱시 또는 에피택샬(epi) 공정에 의해 형성될 수 있어서, Si 특징부, SiGe 특징부, 및/또는 다른 적절한 특징부가 핀 구조(204)상에 결정 상태로 형성될 수 있게 된다. 에피택시 공정은 CVD 증착 기술{예, 증기상 에피택시(VPE: vapor-phase epitaxy) 및/또는 초고진공 CVD(UHV-CVD: ultra-high vacuum CVD), 분자빔 에피택시, 및/또는 다른 적절한 공정을 포함한다. 에픽택시 공정은 핀 구조(204)의 조성(예, 실리콘)과 상호작용하는 기체 및/또는 액체 전구체를 사용할 수 있다. 따라서, 변형되는(strained) 채널은 케리어 이동도를 증가시키고 소자 성능을 강화시키기 위해 달성될 수 있다. 제2 S/D 영역(900)은 현장에서(in-situ) 도핑될 수 있다. 도핑종은 붕소 또는 BF2와 같은 p형 도펀트와, 인 또는 비소와 같은 n형 도펀트, 및/또는 이것들의 조합을 포함하는 다른 적절한 도펀트를 포함한다. 만약 제2 S/D 영역(900)이 현장에서 도핑되지 않으면, 제2 주입 공정(즉, 접합 주입 공정)이 제2 S/D 영역(900)을 도핑하기 위해 수행된다. 하나 이상의 어닐링 공정은 S/D 영역(900)을 활성화시키기 위해 수행될 수 있다. 어닐링 공정은 급속 열 어닐링(RTA: rapid thermal annealing) 및/또는 레이저 어닐링 공정을 포함한다.
응력-기억 기술에 의해 야기되는 핀 구조(204)의 반도체 격자에 대한 변화는 핀 구조(204)상에 형성되는 제2 S/D 영역(900)에 전파될 수 있다. 따라서, S/D 영역(900)은 도 10에서 예증된 바와 같이 하나 이상의 평면을 따라 전위를 포함하는 응력 효과를 가질 수 있다. 하나의 예시적인 평면은 평면(506)에 의해 예증된다. 평면(506)은 핀 구조(204)의 종축(502)에 평행하지만, 기판(202)의 표면을 향해 지향된다. 일부 실시예에서, 평면(506)은 111 밀러 인덱스에 대응한다. 이러한 평면(506)은 약 55도 각도로 기판(202)의 표면을 교차한다. 마찬가지로, 평면(508)은 핀 구조(204)의 종축(502)에 유사하게 평행하고, 기판(202)의 표면을 향해 지향된다. 일부 실시예에서, 평면(508)은 약 55도 각도로 기판(202)의 표면을 교차한다. 다른 예시적인 평면인 평면(600)은 기판(202)의 표면에 평행하고, 핀 구조(204)의 종축(502)과 횡축(504) 모두에 평행하게 정렬된다. 평면(700)은 핀 구조(204)의 횡축(504)에 평행하지만, 기판(202)의 표면을 향해 각이 진다. 이러한 예시적인 평면은 제한하는 것으로 의도되지 않고, 제2 핀 S/D 영역(900)은 임의의 하나 이상의 이러한 평면을 따라 전위를 가질 수 있다.
블록(116)을 참조하면, FinFET(200)는 종래 기술에서 알려진 다양한 특징부를 형성하도록 CMOS 또는 MOS 기술 처리를 더 거칠 수 있다. 예를 들면, 세정 공정은 S/D 접촉부 형성(예, S/D 규화물 형성)을 위해 표면을 준비하기 위해 수행될 수 있다. 후속 처리는 FinFET(200)의 다양한 특징부 또는 구조를 연결시키도록 구성된 다양한 접촉부/비아/라인 및 다중층 상호연결 특징부(예, 금속층과 층간 유전체)를 형성할 수 있다. 추가적인 특징부는 형성된 게이트 구조를 포함하는 소자로의 전기적 상호연결을 제공할 수 있다. 예를 들면, 다중층 상호연결부는 종래의 비아 또는 접촉부와 같은 수직 상호연결부와, 금속 라인과 같은 수평 상호연결부를 포함한다. 다양한 상호연결 특징부는 구리, 텅스턴, 및/또는 규화물을 포함하는 다양한 전도 물질을 구현할 수 있다. 일 예시에서, 다마신 및/또는 이중 다마신 공정은 구리와 관련된 다중층 상호연결 구조를 형성하기 위해 사용된다.
따라서, 본 발명은 FinFET상에 응력 기억 기술(SMT)을 수행하기 위한 방법을 제공하고, 다중-평면 전위를 포함하는 응력 효과를 갖는 FinFET를 제공한다. 일 실시예에서, 방법은 기판, 기판상에 형성된 핀 구조, 기판상에 형성되고, 핀 구조를 분리시키는 분리 영역과, 핀 구조의 일부분 위에 형성된 게이트 스택을 포함하는 FinFET 전구체를 수용해서 핀 구조의 소스 영역을 핀 구조의 드레인 영역으로부터 분리시키고, 이 영역들 사이에 게이트 영역을 생성하는 단계와, 핀 구조, 분리 영역과, 게이트 스택 각각의 적어도 일부분 위에 응력-기억 기술(SMT) 캡핑층을 형성하는 단계와, 에너지가 풍부한 도핑종을 주입시킴으로써 FinFET 전구체에 사전-비정질화 주입을 수행하는 단계와, FinFET 전구체에 어닐링 공정을 수행하는 단계와, SMT 캡핑층을 제거하는 단계를 포함한다.
다른 실시예에서, 반도체 소자는 표면을 갖는 기판, 기판의 표면 위에 형성된 핀 구조 - 핀 구조는 긴(elongated) 본체와, 종축과, 기판의 표면에 평행한 횡축을 가지며, 핀구조는 전위를 가짐 -와, 기판의 표면상에 형성되고, 핀 구조를 분리시키는 분리 영역과, 핀 구조의 일부분 위에 형성되어, 핀 구조의 소스 영역과 핀 구조의 드레인 영역을 분리시키고 이러한 영역들 사이에 핀 구조의 게이트 영역을 생성시키는 게이트 스택을 포함한다.
또 다른 실시예에서, 반도체 소자는 표면을 갖는 기판, 기판의 표면 위에 형성된 융기된 소자 본체 - 융기된 소자 본체는 드레인 영역, 소스 영역과, 드레인 영역 및 소스 영역 사이에 위치한 게이트 영역을 포함하고, 융기된 소자 본체는 종축과, 기판의 표면에 평행한 횡축을 가짐 -와, 융기된 소자 본체 내에 형성된 전위와, 기판의 표면상에 형성되고 융기된 소자 본체를 분리시키는 분리 영역과, 융기된 소자 본체의 게이트 영역의 일부분 위에 형성된 게이트 스택을 포함한다.
전술된 내용은 여러 실시예들의 특징의 개요를 서술하여, 당업자는 본 발명의 개시의 양상을 더 잘 이해할 수 있다. 당업자는 자신들이 여기서 소개된 실시예들의 동일한 목적을 수행하기 위해 및/또는 동일한 이익을 달성하도록 다른 공정 및 구조를 설계하거나 수정하기 위한 기초로서 본 발명의 개시를 쉽게 사용할 수 있다는 것을 인식해야 한다. 당업자는 이러한 등가의 구성이 본 발명의 개시의 정신 및 범위로부터 이탈하지 않는다는 것과, 본 발명의 개시의 정신 및 범위로부터 이탈하지 않고 다양한 변경, 교체 및 대체를 할 수 있다는 것을 또한 인식해야 한다.

Claims (10)

  1. 반도체 소자를 제조하는 방법에 있어서,
    기판;
    상기 기판 상에 형성된 핀(fin) 구조;
    상기 기판상에 형성되고, 상기 핀 구조를 분리시키는 분리 영역; 및
    상기 핀 구조의 일부분 위에 형성된 게이트 스택을 포함하는, FinFET 전구체를 수용하여 상기 핀 구조의 소스 영역을 상기 핀 구조의 드레인 영역으로부터 분리시켜서 상기 소스 영역과 상기 드레인 영역 사이에 상기 핀 구조의 게이트 영역을 생성하는 단계;
    상기 핀 구조, 상기 분리 영역과, 상기 게이트 스택 각각의 적어도 일부분 위에 응력-기억 기술(stress-memorization technique; SMT) 캡핑층을 형성하는 단계;
    에너지가 많은(energetic) 도핑종(doping species)을 주입함으로써 상기 FinFET 전구체에 사전-비정질화(pre-amorphization) 주입을 수행하는 단계;
    상기 FinFET 전구체에 어닐링 공정을 수행하는 단계;
    상기 SMT 캡핑층을 제거하는 단계;
    상기 핀 구조의 소스/드레인 영역의 일부분을 제거하는 단계; 및
    상기 핀 구조의 상단에 제2 소스/드레인 영역을 형성하는 단계를
    포함하는, 반도체 소자를 제조하는 방법.
  2. 삭제
  3. 제1항에 있어서, 상기 핀 구조의 소스/드레인 영역의 일부분을 제거하는 단계는 특정 깊이까지 수행되고, 상기 특정 깊이는 상기 제2 소스/드레인 영역 내의 응력 효과의 존재를 제어하도록 선택되는 것인, 반도체 소자를 제조하는 방법.
  4. 반도체 소자에 있어서,
    표면을 갖는 기판;
    상기 기판의 표면 위에 형성된 핀 구조 - 상기 핀 구조는 긴(elongated) 본체를 가지고, 상기 긴 본체는 종축과, 상기 기판의 표면에 평행한 횡축을 가지며, 상기 핀 구조는 전위(dislocation)를 가짐 -;
    상기 기판의 표면상에 형성되고, 상기 핀 구조를 분리시키는 분리 영역; 및
    상기 핀 구조의 일부분 위에 형성되어, 상기 핀 구조의 소스 영역을 상기 핀 구조의 드레인 영역으로부터 분리시켜서 상기 소스 영역과 상기 드레인 영역 사이에 상기 핀 구조의 게이트 영역을 생성하게 하는 게이트 스택을
    포함하고,
    상기 핀 구조는, 상기 핀 구조의 소스/드레인 영역의 일부가 제거된 후에 상기 핀 구조의 상단에 형성된 제2 소스/드레인 영역을 갖는 것인, 반도체 소자.
  5. 제4항에 있어서,
    상기 전위는 제1 전위이고,
    상기 반도체 소자는 상기 핀 구조 내에 형성된 제2 전위를 또한 포함하고,
    상기 제1 전위와 상기 제2 전위는 공면(coplanar)이 아닌 것인, 반도체 소자.
  6. 제4항에 있어서, 상기 핀 구조는 제1 핀 부분과, 상기 제1 핀 부분 위에 형성된 제2 핀 부분을 포함하고, 상기 제2 핀 부분은 제2 소스/드레인 영역인 것인, 반도체 소자.
  7. 삭제
  8. 제6항에 있어서, 상기 전위는 상기 제1 핀 부분과 상기 제2 핀 부분 내에 형성되는 것인, 반도체 소자.
  9. 반도체 소자에 있어서,
    표면을 갖는 기판;
    상기 기판의 표면 위에 형성된 융기된 소자 본체 - 상기 융기된 소자 본체는 드레인 영역, 소스 영역, 및 상기 드레인 영역과 상기 소스 영역 사이에 위치한 게이트 영역을 포함하고, 상기 융기된 소자 본체는 종축과, 상기 기판의 표면에 평행한 횡축을 가짐 -;
    상기 융기된 소자 본체 내에 형성된 전위;
    상기 기판의 표면상에 형성되고, 상기 융기된 소자 본체를 분리시키는 분리 영역; 및
    상기 융기된 소자 본체의 게이트 영역의 일부분 위에 형성된 게이트 스택을
    포함하고,
    상기 융기된 소자 본체는, 상기 융기된 소자 본체의 소스/드레인 영역의 일부가 제거된 후에 상기 융기된 소자 본체의 상단에 형성된 제2 소스/드레인 영역을 갖는 것인, 반도체 소자.
  10. 제9항에 있어서,
    상기 전위는 제1 전위이고,
    상기 반도체 소자는 상기 융기된 소자 본체 내에 형성된 제2 전위를 또한 포함하고,
    상기 제1 전위와 상기 제2 전위는 상기 융기된 소자 본체의 상기 드레인 영역과 상기 소스 영역의 동일 부분 내에 형성되고,
    상기 제1 전위와 상기 제2 전위는 공면(coplanar)이 아닌 것인, 반도체 소자.
KR1020120081251A 2012-02-08 2012-07-25 FinFET 소자를 위한 전위 SMT KR101393134B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/369,116 2012-02-08
US13/369,116 US20130200455A1 (en) 2012-02-08 2012-02-08 Dislocation smt for finfet device

Publications (2)

Publication Number Publication Date
KR20130091620A KR20130091620A (ko) 2013-08-19
KR101393134B1 true KR101393134B1 (ko) 2014-05-08

Family

ID=48902173

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120081251A KR101393134B1 (ko) 2012-02-08 2012-07-25 FinFET 소자를 위한 전위 SMT

Country Status (4)

Country Link
US (4) US20130200455A1 (ko)
KR (1) KR101393134B1 (ko)
CN (1) CN103247535B (ko)
TW (1) TWI498950B (ko)

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8595661B2 (en) 2011-07-29 2013-11-26 Synopsys, Inc. N-channel and p-channel finFET cell architecture
US8561003B2 (en) 2011-07-29 2013-10-15 Synopsys, Inc. N-channel and P-channel finFET cell architecture with inter-block insulator
US9281378B2 (en) 2012-01-24 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fin recess last process for FinFET fabrication
US9171925B2 (en) 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US9466696B2 (en) 2012-01-24 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US20130200455A1 (en) 2012-02-08 2013-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dislocation smt for finfet device
US20130237026A1 (en) 2012-03-09 2013-09-12 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Finfet device having a strained region
US8610201B1 (en) * 2012-08-16 2013-12-17 Kabushiki Kaisha Toshiba FinFET comprising a punch-through stopper
US9847480B2 (en) 2012-09-28 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Resistance variable memory structure and method of forming the same
US9443962B2 (en) 2012-11-09 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase fin height in fin-first process
US9349837B2 (en) 2012-11-09 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase Fin height in Fin-first process
US8866235B2 (en) * 2012-11-09 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source and drain dislocation fabrication in FinFETs
US8946063B2 (en) * 2012-11-30 2015-02-03 International Business Machines Corporation Semiconductor device having SSOI substrate with relaxed tensile stress
US8901607B2 (en) * 2013-01-14 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9147682B2 (en) 2013-01-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin spacer protected source and drain regions in FinFETs
US8959468B2 (en) * 2013-03-15 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Fault injection of finFET devices
US9752251B2 (en) * 2013-04-15 2017-09-05 International Business Machines Corporation Self-limiting selective epitaxy process for preventing merger of semiconductor fins
US8916932B2 (en) * 2013-05-08 2014-12-23 International Business Machines Corporation Semiconductor device including FINFET structures with varied epitaxial regions, related method and design structure
KR102017625B1 (ko) * 2013-05-10 2019-10-22 삼성전자주식회사 반도체 장치 및 그 제조방법
CN104241366B (zh) * 2013-06-07 2017-06-13 台湾积体电路制造股份有限公司 FinFET器件的源极区和漏极区中的位错形成
US9293534B2 (en) * 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US9093476B2 (en) * 2013-07-30 2015-07-28 GlobalFoundries, Inc. Integrated circuits having FinFETs with improved doped channel regions and methods for fabricating same
CN105612618B (zh) 2013-09-27 2019-07-23 英特尔公司 用于嵌入式动态随机存取存储器(eDRAM)的低泄漏非平面存取晶体管
US9252271B2 (en) * 2013-11-27 2016-02-02 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of making
WO2015094239A1 (en) * 2013-12-18 2015-06-25 Intel Corporation Heterogeneous layer device
US9076869B1 (en) * 2014-01-08 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method
US9425099B2 (en) 2014-01-16 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial channel with a counter-halo implant to improve analog gain
US9224814B2 (en) 2014-01-16 2015-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Process design to improve transistor variations and performance
US9515172B2 (en) 2014-01-28 2016-12-06 Samsung Electronics Co., Ltd. Semiconductor devices having isolation insulating layers and methods of manufacturing the same
US9548213B2 (en) 2014-02-25 2017-01-17 International Business Machines Corporation Dielectric isolated fin with improved fin profile
US9525031B2 (en) 2014-03-13 2016-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial channel
US9299587B2 (en) 2014-04-10 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Microwave anneal (MWA) for defect recovery
US9419136B2 (en) * 2014-04-14 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dislocation stress memorization technique (DSMT) on epitaxial channel devices
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US20150340501A1 (en) * 2014-05-22 2015-11-26 Globalfoundries Inc. Forming independent-gate finfet with tilted pre-amorphization implantation and resulting device
US9490346B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9502538B2 (en) 2014-06-12 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of fin-like field effect transistor
US9490365B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
KR102202754B1 (ko) * 2014-08-14 2021-01-15 삼성전자주식회사 반도체 장치
KR102258812B1 (ko) 2014-09-26 2021-06-01 인텔 코포레이션 반도체 디바이스들에 대한 선택적 게이트 스페이서들
US9450093B2 (en) * 2014-10-15 2016-09-20 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device structure and manufacturing method thereof
US9653605B2 (en) 2014-10-17 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device and method for forming the same
TWI636574B (zh) 2014-12-03 2018-09-21 聯華電子股份有限公司 半導體結構
KR102284888B1 (ko) * 2015-01-15 2021-08-02 삼성전자주식회사 반도체 장치
US9991384B2 (en) * 2015-01-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9553172B2 (en) 2015-02-11 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
US9443729B1 (en) * 2015-03-31 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming FinFET devices
CN104900528B (zh) * 2015-04-13 2018-06-22 上海华力微电子有限公司 一种利用应力记忆技术制造FinFET结构的方法
US9379242B1 (en) 2015-05-28 2016-06-28 United Microelectronics Corp. Method of fabricating fin field effect transistor
CN106252391B (zh) * 2015-06-09 2021-02-19 联华电子股份有限公司 半导体结构及其制作方法
US9627278B2 (en) 2015-06-16 2017-04-18 International Business Machines Corporation Method of source/drain height control in dual epi finFET formation
TWI550716B (zh) * 2015-07-08 2016-09-21 力晶科技股份有限公司 半導體元件的製造方法
KR102336787B1 (ko) * 2015-08-11 2021-12-07 삼성전자주식회사 반도체 장치
US9666581B2 (en) * 2015-08-21 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure and method of fabrication thereof
US9431521B1 (en) * 2015-09-18 2016-08-30 International Business Machines Corporation Stress memorization technique for strain coupling enhancement in bulk finFET device
KR102476356B1 (ko) 2015-10-07 2022-12-09 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9620503B1 (en) * 2015-11-16 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9773871B2 (en) * 2015-11-16 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9653604B1 (en) 2015-12-30 2017-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN106952822A (zh) 2016-01-07 2017-07-14 中芯国际集成电路制造(上海)有限公司 改善鳍式场效应管性能的方法
US9634084B1 (en) * 2016-02-10 2017-04-25 Globalfoundries Inc. Conformal buffer layer in source and drain regions of fin-type transistors
US9536989B1 (en) 2016-02-15 2017-01-03 Globalfoundries Inc. Field-effect transistors with source/drain regions of reduced topography
US10796924B2 (en) 2016-02-18 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof by forming thin uniform silicide on epitaxial source/drain structure
US10312334B2 (en) 2016-04-29 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid doping profile
US9780218B1 (en) * 2016-05-02 2017-10-03 United Microelectronics Corp. Bottom-up epitaxy growth on air-gap buffer
US10079291B2 (en) * 2016-05-04 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-type field effect transistor structure and manufacturing method thereof
KR102575366B1 (ko) * 2016-11-09 2023-09-05 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10529861B2 (en) * 2016-11-18 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
CN108630533B (zh) * 2017-03-17 2021-09-14 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
TWI697121B (zh) * 2018-01-23 2020-06-21 國立交通大學 三閘極場效電晶體
KR102414957B1 (ko) 2018-06-15 2022-06-29 삼성전자주식회사 반도체 장치의 제조 방법
KR102573408B1 (ko) * 2018-09-11 2023-08-30 삼성전자주식회사 반도체 장치 및 그 제조 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100618852B1 (ko) 2004-07-27 2006-09-01 삼성전자주식회사 높은 동작 전류를 갖는 반도체 소자
JP2007158329A (ja) 2005-11-30 2007-06-21 Internatl Business Mach Corp <Ibm> 多層に応力が加えられたゲート電極を有するfinFET構造体
JP2009147194A (ja) 2007-12-17 2009-07-02 Toshiba Corp フィン型トランジスタ

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US20050104092A1 (en) * 2003-11-19 2005-05-19 International Business Machiness Corportion Method of reducing dislocation-induced leakage in a strained-layer field-effect transistor
US7319252B2 (en) * 2004-06-28 2008-01-15 Intel Corporation Methods for forming semiconductor wires and resulting devices
US8138544B2 (en) * 2004-09-13 2012-03-20 John James Seliskar Castellated gate MOSFET tetrode capable of fully-depleted operation
US7799592B2 (en) * 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
US7829407B2 (en) * 2006-11-20 2010-11-09 International Business Machines Corporation Method of fabricating a stressed MOSFET by bending SOI region
JP2009054705A (ja) * 2007-08-24 2009-03-12 Toshiba Corp 半導体基板、半導体装置およびその製造方法
US7919388B2 (en) * 2008-05-30 2011-04-05 Freescale Semiconductor, Inc. Methods for fabricating semiconductor devices having reduced gate-drain capacitance
US8729627B2 (en) * 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US9461169B2 (en) * 2010-05-28 2016-10-04 Globalfoundries Inc. Device and method for fabricating thin semiconductor channel and buried strain memorization layer
US8394684B2 (en) * 2010-07-22 2013-03-12 International Business Machines Corporation Structure and method for stress latching in non-planar semiconductor devices
KR101815527B1 (ko) * 2010-10-07 2018-01-05 삼성전자주식회사 반도체 소자 및 그 제조 방법
CN102468164B (zh) * 2010-10-29 2014-10-08 中国科学院微电子研究所 晶体管及其制造方法
US8492235B2 (en) * 2010-12-29 2013-07-23 Globalfoundries Singapore Pte. Ltd. FinFET with stressors
US8658505B2 (en) * 2011-12-14 2014-02-25 International Business Machines Corporation Embedded stressors for multigate transistor devices
US20130200455A1 (en) * 2012-02-08 2013-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dislocation smt for finfet device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100618852B1 (ko) 2004-07-27 2006-09-01 삼성전자주식회사 높은 동작 전류를 갖는 반도체 소자
JP2007158329A (ja) 2005-11-30 2007-06-21 Internatl Business Mach Corp <Ibm> 多層に応力が加えられたゲート電極を有するfinFET構造体
JP2009147194A (ja) 2007-12-17 2009-07-02 Toshiba Corp フィン型トランジスタ

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
'Drive Current Enhancement in FinFETs Using Gate-Induced Stress'(IEEE ELECTRON DEVICE LETTERS, VOL.27. NO.9. SEPTEMBER 2006

Also Published As

Publication number Publication date
US20200357900A1 (en) 2020-11-12
US20130200455A1 (en) 2013-08-08
US20170154979A1 (en) 2017-06-01
US9570587B2 (en) 2017-02-14
CN103247535B (zh) 2016-06-08
US11532730B2 (en) 2022-12-20
CN103247535A (zh) 2013-08-14
TWI498950B (zh) 2015-09-01
US20150179771A1 (en) 2015-06-25
US10727319B2 (en) 2020-07-28
TW201334045A (zh) 2013-08-16
KR20130091620A (ko) 2013-08-19

Similar Documents

Publication Publication Date Title
US11532730B2 (en) Method of forming a FinFET device by implantation through capping layer
US11574907B2 (en) Enhanced channel strain to reduce contact resistance in NMOS FET devices
US9911735B2 (en) Fin-like field effect transistor (FinFET) device and method of manufacturing same
TWI622129B (zh) 半導體結構及其製造方法
US8445340B2 (en) Sacrificial offset protection film for a FinFET device
KR101670558B1 (ko) 변형 생성 채널 유전체를 포함하는 비평면 디바이스 및 그 형성방법
CN105810736B (zh) 包括鳍结构的半导体器件及其制造方法
CN105321822B (zh) 用于非平面化合物半导体器件的沟道应变控制
KR101785165B1 (ko) 핀 구조물을 포함하는 반도체 소자 및 그 제조 방법
US20130017660A1 (en) Self-aligned source and drain structures and method of manufacturing same
US10847431B2 (en) Ion implantation methods and structures thereof
US9502561B1 (en) Semiconductor devices and methods of forming the same
US11862467B2 (en) Semiconductor structure and method of manufacturing the same
TWI758071B (zh) 半導體裝置及其製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170414

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190412

Year of fee payment: 6