KR101340674B1 - 갭필 애플리케이션을 위한 저저항의 텅스텐 필름 증착 방법 - Google Patents

갭필 애플리케이션을 위한 저저항의 텅스텐 필름 증착 방법 Download PDF

Info

Publication number
KR101340674B1
KR101340674B1 KR1020120104518A KR20120104518A KR101340674B1 KR 101340674 B1 KR101340674 B1 KR 101340674B1 KR 1020120104518 A KR1020120104518 A KR 1020120104518A KR 20120104518 A KR20120104518 A KR 20120104518A KR 101340674 B1 KR101340674 B1 KR 101340674B1
Authority
KR
South Korea
Prior art keywords
film
tungsten
thickness
deposited
deposition
Prior art date
Application number
KR1020120104518A
Other languages
English (en)
Other versions
KR20120120918A (ko
Inventor
아난드 찬드라쉬커
라시나 휴마윤
마이클 더넥
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/332,017 external-priority patent/US8129270B1/en
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20120120918A publication Critical patent/KR20120120918A/ko
Application granted granted Critical
Publication of KR101340674B1 publication Critical patent/KR101340674B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판상에 갭 또는 리세스 형상물을 채우는 필링(filling) 방법이 제공된다. 다양한 실시예에 따르면, 이 방법은 형상물을 부분적으로 채우는 텅스텐 벌크 증착을 포함하며, 증착된 텅스텐의 상부 부분을 제거하는 단계가 이어진다. 특정한 실시예에서, 활성화된 플루오르 화학종에 기판을 노출함으로써 상부 부분이 제거된다. 증착된 텅스텐 그레인의 뾰족하고 돌출된 피크를 선택적으로 제거함으로써, 제거 동작은 형상물의 측벽을 따라 텅스텐을 연마한다. 다중 증착-제거 사이클이 사용되어 형상물을 폐쇄한다. 채워진 형상물이 CMP 중의 코어링(coring)일 가능성이 적다. 또한 높은 반사율, 저정한 및 작은 거칠기를 가지는 필름을 형성하도록 텅스텐 필름의 반사율을 증가시키는 탑-다운 방법이 제공된다.

Description

갭필 애플리케이션을 위한 저저항의 텅스텐 필름 증착 방법{Method for Depositing Tungsten Films Having Low Resistivity for Gapfill Applications}
본 발명은 화학적 기상 증착(CVD) 기술을 이용한 텅스텐 필름 증착에 관한 것이다.
화학적 기상 증착(CVD) 기술을 이용한 텅스텐 필름 증착은 많은 반도체 제조 공정의 주요 부분이다. 텅스텐 필름은 수평 배선, 인접한 금속 막 사이의 비아(via), 그리고 실리콘 기판상의 제 1 금속 막 및 장치 사이의 콘택트의 형태로 저저항 전기 연결 장치로 사용될 수 있다. 일반적인 텅스텐 증착 공정에서, 웨이퍼는 진공 챔버 내의 공정 온도로 가열되고, 이후에 텅스텐 필름의 매우 얇은 부분(이는 시드 또는 핵막으로 기능함)이 증착된다. 이후에, 텅스텐 필름(벌크 막)의 잔여물이 핵막(nucleation layer)상에 증착된다. 일반적으로, 텅스텐 벌크 막은 성장하는 텅스텐 막(레이어) 상에 수소(H2)를 이용하여 텅스텐 헥사플루오라이드(WF6)를 감소시킴으로써 형성된다.
본 발명의 기술적 과제는 높은 반사율, 저 저항성 및 낮은 거칠기를 가지는 필름을 형성하기 위해 텅스텐 필름의 반사율을 증가시키기 위한 방법을 제공하는 것이다.
기판상의 갭(gap)이나 리세스(recessed) 형상물이 제공된다. 다양한 실시예에 따르면, 본 방법 발명은 형상을 부분적으로 채우도록 텅스텐의 벌크 증착 단계를 포함하고, 증착된 텅스텐의 상부 부분을 제거하는 단계가 뒤이어 수행된다. 특정한 실시예에서, 상부 부분이 활성화된 플루오르 종(fluorine species)에 기판을 노출시킴으로써 제거된다. 증착된 텅스텐 그레인의 뾰족하고 튀어나온 피크 부분들을 선택적으로 제거함으로써, 제거 동작이 형상물의 측벽을 따라 텅스텐을 연마한다. 복수의 증착-제거 사이클이 형상물을 밀폐하는 데 사용될 수 있다. 채워진 형상물은 CMP 중에 적게 도려내 진다.
높은 반사율, 저 저항성 및 낮은 거칠기를 가지는 필름을 형성하기 위해 텅스텐 필름의 반사율을 증가시키는 탑-다운(top-down) 방법이 제공된다. 이 방법은 증측된 텅스텐의 상부 부분을 제거하는 단계가 뒤를 이어 수행되는 텅스텐의 벌크 증착 단계를 포함한다. 특정한 실시예에서, 증착된 텅스텐의 상부 부분을 제거하는 단계는 플루오르-함유 플라스마에 이를 노출하는 단계를 포함한다. 이 방법은 낮은 거칠기 및 높은 반사율을 가지는 저 저항성 텅스텐 벌크 막을 형성한다. 고르고 반사율이 높은 텅스텐 막은, 일반적인 저 저항성 텅스텐 필름에 비하여 더 쉽게 광패턴(photopattern) 처리를 할 수 있다. 텅스텐 비트 라인을 형성하는 단계가 응용예로 포함된다.
소정의 실시예에서, 화학적 기상 증착(chemical vapor deposition)을 포함하는 텅스텐 필름 증착 방법이 제공된다. 증착된 필름은 예를 들어 NF3 원거리 플라스마를 사용하여 에치 백(etched back) 된다. 증착된 필름 표면을 덮는 뾰족한 텅스텐 피크 및 다른 불균일 형상물을 식각함으로써 텅스텐 필름의 거칠기(roughness) 및 반사율이 향상된다. 추가로, 동일한 최종 두께의 규칙적으로 증착된 필름 전체에서 반사율이 향상된다. 거칠기를 감소시키는 (이는 반사율을 높인다) 종전의 방법과 다르게, 이하에서 설명될 방법에서는 반사율 및 거칠기가 동시에 향상된다.
위와 같은 본 발명에 따르면 증착된 표면을 덮는 뾰족한 텅스텐 피크 및 다른 불균일 형상물을 식각함으로써 텅스텐 필름의 거칠기(roughness) 및 반사율이 향상된다. 추가로, 동일한 최종 두께의 규칙적으로 증착된 필름 전체에 걸쳐 반사율이 향상된다. 거칠기를 감소시키는 (이는 반사율을 높인다) 종전의 방법과 다르게, 이하에서 설명될 방법에서는 반사율 및 거칠기가 동시에 향상된다.
본 발명이 온전히 이해되고 실질적인 효과를 내기 위해, 본 발명의 바람직한 실시예(이에 제한되는 것은 아님)를 첨부된 도면을 참조하여, 이하에서 설명한다.
도 1은 다양한 실시예에 따른 방법의 관련 동작을 나타내는 프로세스(공정) 흐름도이다.
도 2는 다양한 실시예에 따라 식각(에칭) 후에 텅스텐 필름 그레인 구조물의 변화를 나타내는 도면이다.
도 3은 일반적인 CVD 증착에 의해 형성된 필름과 비교하여, 이 명세서에 설명된 방법의 실시예에 의해 형성된 필름에 대한 필름 두께의 함수로 반사율(reflectivity)를 나타내는 그래프이다.
도 4는 일반적인 CVD 증착에 의해 형성된 필름과 비교하여, 이 명세서에 설명된 방법의 실시예에 의해 형성된 필름에 대한 필름 두께의 함수로 저항률(resistivity)을 나타내는 그래프이다.
도 5는 다양한 실시예에 따른 방법의 관련 동작을 나타내는 공정 흐름도이다.
도 6은 단일 단계 CVD 방법 및 심(seam) 형성에 의해 발생할 수 있는 후속 CMP 코어링(coring)을 이용한 텅스텐 채움(fill)을 나타내는 도면이다.
도 7A 및 도 7B는 특정한 실시예에 따른 방법에서 다양한 스테이지에서 형상물의 채움을 나타내는 도면이다.
도 8은 다양한 실시예에 따른 방법의 관련 동작을 나타내는 공정 흐름도이다.
도 9는 부분적으로 채워진 형상물의 프로파일을 특성화하는 방법을 나타내는 도면이다.
도 10은 본 발명의 실시예에 따라 텅스텐 증착 공정을 수행하는 적합한 프로세싱 시스템을 나타내는 블록도이다.
도 11은 본 발명의 실시예에 따라 텅스텐 증착 및 에치-백 공정을 수행하는 데 적합한 챔버의 구성요소를 나타내는 도면이다.
도입
다음의 상세한 설명에서, 수많은 특정 세부사항이 본 발명의 전체적인 이해를 위해 설정되며, 이는 텅스텐 박막을 형성하는 것을 포함한다. 이 명세서에 논의되고 도시된 특정한 방법 및 구조물의 변경예, 적용예 또는 변형예가 본 발명의 범위에 포함되는 것은 본 발명이 속하는 분야의 기술자에게 자명하다.
본 발명의 실시예는 저 저항 및 작은 거칠기를 가지는 텅스텐 막을 증착하는 단계를 포함한다. 이전 공정에서, 텅스텐 필름의 저항 및 거칠기가 서로 역의 관계를 가졌다. 즉 저항을 낮추면 반대로 거칠기가 증가하였다. 결과적으로, 필름 두께에 대한 거칠기의 퍼센트 제곱 평균(percentage RMS)이 500 옹스트롬 또는 그 이상의 저 저항성 텅스텐 필름에 대해 10%를 초과할 수 있다. 필름의 거칠기(roughness)를 낮추는 것은 패턴닝을 포함하는 후속 동작을 더 쉽게 만든다.
*소정의 실시예에서, 기술된 방법은 또한 반사율이 높은 필름을 제공한다. 벌크 텅스텐 막을 증착하는 통상적인 프로세스(공정)는 화학적 기상 증착(CVD) 공정에서 텅스텐-함유 프리커서(선구 물질)의 수소 제거와 관련된다. 통상적인 수소 제거 CVD에 의해 성장된 1000 옹스트롬 필름의 반사율은 110%이거나 실리콘 표면의 반사율에 비해 작다. 그러나 소정의 응용예에서, 훨씬 큰 반사율을 가지는 텅스텐 필름이 필요하다. 예를 들어, 낮은 반사율 및 큰 거칠기를 가지는 텅스텐 필름은, 예를 들어 비트 라인 또는 다른 구조물을 형성하기 위한 텅스텐의 광 패턴닝(photopatterning)을 더 어렵게 한다. 교번 수소 가스 펄스(alternating nitrogen gas pulse)의 존재하의 텅스텐의 CVD 증착을 포함하는 저 저항의 반사형 텅스텐 필름의 증착 방법이 미국 특허 출원 제12/202,126호(제목 "Method For Reducing Tungsten Roughness And Improving Reflectivity," 2008. 8. 29 출원)에 게시된다. 이는 이 명세서에 참조문헌으로 포함된다. 거칠기를 감소시키고, 반사율을 향상하거나, 저항을 감소시키기 위한 다른 종래 기술은 공정 화학(process chemistry)의 변경과 관련된다. 그러나 소정의 응용예에서, 질소의 부가 또는 그 외의 공정 화학에 대한 변경이 바람직할 수 있다.
소정의 실시예에서, 이 명세서에 제공된 방법은 기판상에, 화학적 기상 증착을 통해 텅스텐 막을 벌크 증착하는 단계를 포함하며, 증착된 벌크 막의 상부 부분에 에치-백(etch-back) 공정이 이어진다. 최종 텅스텐 필름은 통상적인 대형 그레인(grain) 텅스텐 CVD 공정에 의해 증착된 필름에 비하여 저항을 가지나, 훨씬 큰 반사율와 작은 거칠기를 가진다.
도 1은 본 발명의 소정의 실시예에 따른 프로세스(공정)를 나타낸다. 이 공정은 기판상에 텅스텐 핵막(nucleation layer)을 증착함으로써 시작된다.(블록 101) 일반적으로, 핵막은 그 상부에 벌크 물질을 형성하는 후속 공정을 용이하게 하는 얇고 균일한 막이다. 소정의 실시예에서, 핵막은 PNL(pulsed nulceation layer) 기술을 사용하여 증착된다. PNL 기술에서, 환원제(reducting agent), 퍼지 가스 및 텅스텐-함유 선구 물질(precursor)의 펄스가 연속적으로 반응 챔버로/부터 주입 및 제거된다. 원하는 두께가 얻어질 때까지 공정이 주기적인 방식으로 반복된다. PNL은 반도체 기판상의 반응을 위해 반응물질을 연속적으로 부가하는 주기적 공정을 광범위하게 구현한다.
PNL 기술은 특히 작은 형상물 내의 저저항 필름의 증착을 위해 사용될 수 있다. 형상물이 작아질 수록, 텅스텐(W) 콘택트 또는 라인 저항이 더 얇은 W 필름 내의 스캐터링 효과에 의해 증가한다. 유효한 텅스텐 증착 공정은 텅스텐 핵막을 요하나, 이러한 막은 일반적으로 벌크 텅스텐 막보다 더 높은 전기 저항을 가진다. 저 저항 텅스텐 필름은 집적 회로 디자인의 전력 손실과 과열을 최소화한다.
Figure 112012076361842-pat00001
nucleation>
Figure 112012076361842-pat00002
bulk이므로, 핵막의 두께는 전체 저항을 가능한 작게 유지하기 위해 최소화되어야 한다. 텅스텐 핵막은 또한 고품질 벌크 증착을 지원하기 위해 하부 기판을 완전히 덮을 만큼 충분히 두꺼워야 한다.
저 저항을 가지며, 저 저항 텅스텐 벌크 막의 증착을 지원하는 텅스텐 핵막을 증착하기 위한 PNL 기술은 미국 특허 출원 제12/030,645호, 제11/951,236호, 및 제061,078호에 게시되며, 이 명세서에 참조문헌으로 포함된다. PNL 타입 공정에 관한 추가적인 내용은 미국 특허, 제6,635,965호, 제6,844,258호, 제7,005,372호 및 제7,141,494호 및 미국 특허 출원 제11/265,531호에서 찾아볼 수 있으며, 이 역시 본 명세서에 참조문헌으로 포함된다. 특정한 실시예에서, 저 저항 처리 동작은 텅스텐 핵막 증착 중에 또는 그 후에 수행된다. 이 명세서에 설명된 방법은 텅스텐 핵막 증착에 관한 특정한 방법에 제한되는 것은 아니나, PNL, ALD(atomic layer deposition), CVD 및 그 외의 방법을 포함하는 방법에 의해 형성된 텅스텐 핵막상의 벌크 텅스텐 필름 증착을 포함한다.
도 1을 참조하면, 텅스텐 핵막이 증착된 후에, 다른 바람직한 처리가 수행되고, T1 두께의 벌크 텅스텐 막이 핵막 상에 증착된다. (블록 103). 에치(식각) 동작 중에 제거될 막의 일부 차지하도록 T1 두께는 전형적으로 바람직한 전체 두께 Td보다 크다. 소정의 실시예에서, 벌크 증착은 텅스텐을 증착하기 위해 텅스텐-함유 선구 물질이 수소에 의해 제거되는 CVD(chemical vapor depisition, 화학적 기상 증착)을 포함한다. 텅스텐 헥사플루오라이드(WF6)가 종종 사용되나, 공정이 WC16을 포함하는 다른 텅스텐 선구 물질을 이용하여 수행될 수 있다. 그러나 이에 제한되는 것은 아니다. 또한, 수소가 벌크 텅스텐 막의 CVD 증착시 환원제로 사용되는 것이 일반적이나, 실란(silane)을 포함하는 다른 환원제가 수소에 더하여 또는 이를 대신하여 사용될 수 있으며 이는 본 발명의 범위를 벗어나는 것이 아니다. 다른 실시예에서, W(CO)6는 환원제를 이용하여 또는 환원제 없이도 사용될 수 있다. 위에 설명된 PNL 공정와 다르게, CVD 기술에서, WF6 및 H2 또는 다른 반응물이 반응 챔버에 동시에 삽입된다. 이는 기판 표면상에 텅스텐 필름을 연속하여 형성하는 혼합 반응물 가스의 연속적인 화학 반응을 생성한다.
T1 두께를 가지는 막이 일단 증착되면, 벌크 증착 공정이 중단된다.(블록 105) 이하에서 추가로 설명하는 바와 같이, T1은 원하는 최종 두께(Td)보다 크다. 막의 상부 부분이 제거되거나 에치-백 된다. (블록 107) 일부 실시예에서, 식각 공정은 플라스마 에치를 포함한다. 이는 원거리 플라스마 발생기로부터의 활성화된 화학종(라디칼, 이온 및/또는 고 에너지 분자)을 삽입하는 것을 포함한다. 소정의 실시예에서, 제거 동작은 플루오르-기반 플라스마 에치(예를 들면 원거리 NF3 플라스마 에치)를 포함한다. 소정의 실시예에서 동작(103)에서 증착된 막의 약 10%가 제거되나, 에치-백의 범위는 이하에서 추가로 설명된다.
이후에 플루오르 활성화 화학종(또는 제거 화학(removal chemistry)에 따른 다른 화학종)의 흐름이 차단된다. 전형적으로, 에치-백 후의 증착 두께가 원하는 두께인 경우에, 공정이 이 시점에서 완료된다. 일부 실시예에서, 하나 이상의 추가 증착-제거 사이클이 수행되어 텅스텐 막을 증착한다.
위에 설명된 방법은 동일한 두께를 가지는 일반적인 방법에 의해 증착된 필름보다 높은 반사율 및 낮은 거칠기를 가지는 필름을 생성한다. 예를 들어, 실험에서, 증착된 바와 같은 1940 옹스트롬의 필름의 반사율(가공되지 않은 실리콘 웨이퍼에 비해)가 103%였다. 200 옹스트롬을 제거하기 위해 원거리 NF3 플라스마에 노출된 후에, 반사율은 115%였다. 대조적으로, 에치 백 없이 CVD에 의해 증착된 1720 옹스트롬의 필름은 106%의 반사율을 가진다. 추가로, 에치 텅스텐 필름의 반사율은 동일한 두께의 통상적인 방법으로 증착된 필름보다 낮다(소정의 예에서, 약 20% 더 낮음). 이는 반사율의 증가가 통상적인 방법에서 저항의 증가를 수반하기 때문에 더 현저하다.
전형적으로, 저 저항은 큰 그레인 성장에 의해 달성되나, 균일성(smoothness) 및 고 반사율은 작은 그레인 증착을 이용하여 얻어진다. 텅스텐 그레인 성장은 수평 및 수직 방향으로 이루어진다. 소정의 실시예에서, 이 명세서에 설명된 방법은 벌크 증착 공정에서 대형 그레인 텅스텐을 성장시키는 것을 포함한다. 증착 후에, 수직 방향의 그레인 성장부가 선택적으로 식각(에치)된다. 식각 후에는 큰 수형 방향의 성장부만이 남으며, 이는 저 저항을 제공하나, 반사율이 증가하고 거칠기가 현저히 감소한다. 이는 도 2에 도시되며, 플루오르-기반 원거리 식각 전에(201) 그리고 후에(203) 텅스텐 막을 모습을 나타낸다. 식별기호(203)에 도시된 막은 식별기호(201)에 도시된 막의 약 90%이다. 식각 전에, 피크(205)와 같은 뾰족한 피크가 존재한다. 이러한 피크는 후속 리소그래피 패턴화 공정에서 문제를 일으킨다. 그러나 식각 후에 그레인 프로파일이 더 평평해지고 이는 표면의 반사성을 증가시킨다.
이러한 식각 공정은 도 2에 도시된 바와 같은 식각되지 않은 막(201)에 비하여 표면의 반사성이 더 좋을 뿐 아니라, 저항 및 거칠기도 비교가능한 두께를 가진 막에 비해 향상된다. 도 3은 통상적인 방법(표시된 두께로의 CVD 증착)에 의해 증착된 바와 같은 다양한 두께의 필름 및 본 발명(1940 옹스트롬의 CVD 증착 + 표시된 두께로의 에치 백)의 실시예에 의해 증착된 필름에 대한 반사율(reflectivity)를 나타내는 그래프이다. 대략적인 추세선(rough trendline)(301, 303)은 통상적인 증착, 및 증착 + 에치-백 각각에 대한 두께의 함수로 반사율을 나타낸다. 도면으로부터 알 수 있는 바와 같이, 조금 식각된 부분(305)에서 약 200 옹스트롬 식각된 부분으로 갈수록 통상적인 막에 비하여, 반사율이 빠르게 증가한다. 반사율의 증가는 필름이 더 식각될 수록 균일해진다. 최대 영향 구간(307)은 반사율의 최대 향상을 나타내는 식각 동작에서 제거된 두께의 범위를 나타낸다. 이는 증착된 필름 두께의 약 10%에 대응한다. 따라서, 소정의 실시예에서, 최종 필름 두께는 약 75-95% 사이이고, 더 구체적으로는 증착된 필름 두께의 80-95%이다. 구체적인 이론에 의해 뒷받침된 것은 아니라, 최대 영향 구간의 에치-백은 제거될 증착된 필름의 피크에 대응한다. 탑-다운(top-down) 식각 동작은 선택적으로 피크를 제거한다. 왜냐하면 증착된 필름의 피크와 인접한 표면 영역이 더 많이 존재하기 때문이다. 하부 영역이 식각되기 전에 식각 공정을 멈춤으로써, 피크만 제거되고, 이는 그레인의 수평 방향 성장이 변하지 않도록 한다. 그러나, 설명한 바와 같이, 저항은 식각 전에 동일한 막과 비교할 때 식각 공정 뒤에 예기치 않게 더 낮아짐을 알 수 있다. 특정한 이론에 의해 뒷받침되지는 않았으나, 이러한 예기치 않은 효과는 식각 동작 후에 덜 형성된 그레인 바운더리에 의한 것일 수 있다. 이하에서 추가로 설명하는 바와 같이, 소정의 실시예에서, 소정의 식각 동작 공정 조건을 이용하여 저항을 더 향상시킬 수(낮출 수) 있다.
제거 동작은 증착된 필름의 상부 부분을 제거하는 데 사용할 수 있는 물리적 또는 화학적 제거 동작일 수 있다. 사용될 수 있는 식각 화합물은 크세논 디플루오라이드, 분자 플루오르 및 니트로겐 트리플루오라이드를 사용하는 것을 포함하는 플루오르-함유 식각 화합물을 포함한다. 브롬 및 염소 함유 화합물은 니트로겐 트리클로라이드, 분자 염소, 및 분자 브롬을 포함한다. 소정의 실시예에서, 식각(에치)은 플라스마 식각일 수 있다. 플라스마는 챔버내에서 또는 챔버와 떨어진 곳에서 발생할 수 있다. 특정한 실시예에서, NF3는 원거리 플라스마 발생기로 입력된다. 활성화된 화학종(원자 플루오르 포함)이 원거리 플라스마 발생기 내에서 발생되고 화학적 식각을 위해 챔버로 흘러들어간다.
식각 압력은 필름 저항에 영향을 미치는 것으로 밝혀졌으며, 압력이 높을 수록 저항이 낮아진다. 이러한 효과는 도 4에 도시되며, 다양한 두께의 저항을 나타내는 그래프를 표현한다. 필름이 통상적인 직접 CVD 증착을 이용하여 증착되고(사각형), 필름이 1940 옹스트롬으로 증착되며 표시된 두께로 식각되었다(다이몬드). 그래프는 증착 및 식각에 의해 형성된 다양한 두께의 필름에 대해 원거리 플라스마 발생기로 삽입되는 NF3의 부분압력을 나타낸다. 곡선(401)은 낮은 NF3 부분압력(0.17 및 0.24 토르(Torr))을 이용하여 증착된 필름에 대한 두께의 함수로 저항을 나타내는 대략적인 추세선이고, 곡선(403)은 높은 NF3 부분 압력(1 토르)을 이용하여 증착된 필름에 대한 두께의 함수로 저항을 나타내는 대략적인 추세선(trendline)이다. 높은 부분 압력은 필름의 저항을 더 낮추는 결과를 초래한다. 저항의 개선은 또한 포인트(405)와 포인트(407)의 비교로 알 수 있으며, 통상적으로 증착된 필름 및 고압 NF3 식각 필름 각각의 반사율(reflectivity)를 나타내며, 이들 양쪽의 필름의 두께는 약 930 옹스트롬이다. 통상적으로 증착된 필름은 거의 19 마이크로-옴-센티미터의 저항을 가지나, 고압 NF3 필름은 16 마이크로-옴-센티미터 이하의 저항을 가진다(20% 이상의 개선).
소정의 실시예에서, 원거리 플라스마 발생기로 삽입된 식각제(etchant)의 부분 압력이 0.5 토르 이상이며, 80 토르만큼 높다. 특정한 실시예에서, 식각액의 부분 압력은 원거리 플라스마 발생기 또는 증착 챔버로 흘러들어가는 때에 약 1 토르이다.
통상적으로 증착된 필름의 저항을 비교 가능한 두께의 식각된 필름의 저항과 (예, 약 400 옹스트롬 및 약 900 옹스트롬) 비교하면, 식각된 필름의 저항은 통상적으로 증착된 필름의 저항보다 작다. 통상적으로 증착된 필름에 대한 고유량(high flow)(높은 부분 압력) 식각제와 저유량(낮은 부분 압력) 식각제 모두에 대해 저항이 개선된다. 이는 다음의 테이블에 도시된다.
공정 증착된 그대로의 두께(옹스트롬) 최종 두께(옹스트롬) 증착된 그대로의 저항(마이크로-옴-센티미터) 최종 저항(마이크로-옴-센티미터)
통상적인 방법 1720 1720 15.5 15.5
Dep-Low NF3 식각 1940 1740 15 15
통상적인 방법(추세선으로부터 예측됨) 1350 1350 17 17
Dep-High NF3 식각 1940 1350 15 14.3
통상적인 증착에서, 저항과 두께 사이의 역 관계가 존재한다. 저항은 두께 증가에 따라 감소한다. 그러나, 이 명세서에 게시된 방법을 이용하여, 저 저항 박막을 얻는 것이 가능하다. 이러한 공정은 다양한 실시예에 따라 범위가 정해지는, 100옹스트롬에서 1000옹스트롬까지의 최종 박막 두께를 가진, 저저항의 박막을 증착하는데 사용할 수 있다. 박막에 대하여, 최종 필름 두께는 증착된 필름(증착된 그대로의 필름)의 10%-90% 사이이며, 증착된 필름의 90%가 제거되어 저저항 박막을 형성할 수 있다.
화학적 식각에 더하여, 스퍼터렁(예, 아르곤을 이용)에 의해 또는 터치 CMP와 같은 매우 부드러운 화학적 기계적 연마(CMP:chemical mechanical planarization) 방법에 의해 상부 부분이 제거될 수 있다.
다른 실시예에서, 식각 공정이 이루어지는 동안 챔버가 동시에 세정된다. 플루오르-기반 식각제를 챔버로 삽입함으로써, 증착된 텅스텐 막이 식각되는 중에, 챔버의 내부 부분에 증착된 텅스텐이 제거될 수 있다. 식각 중에 동시에 챔버를 세정함으로써, 독립 챔버 세정 동작의 필요성이 줄어들거나 없어진다.
이 명세서에 게시된 프로세스(공정)의 응용예는 비트라인 구조물, 트렌치 라인 및 비아 구조물을 형성하는 단계를 포함한다. 다양한 실시예에 따르면, 증착물이 블랭킷(blanket) 또는 패턴닝 된 웨어퍼 상에 존재할 수 있다. 예를 들어, 비트 라인 공정은 전형적으로 텅스텐으로 이루어진 평평한 필름의 증착을 포함하나, 트렌치 라인 및 비아 응용예는 패턴닝 된 웨이퍼 상의 텅스텐 증착을 포함한다. 도 5는 다중 증착 사이클 및 다중 증착-식각 사이클을 사용하는 이 명세서에 기술된 공정의 실시예의 동작을 나타내는 공정 흐름도이다. 핵막은 도 1에 관하여 위에 섦령한 바와 같이 증착될 수 있다. (블록 501) 트렌치와 같은 리세스 형상물에서, PNL 또는 그 외의 기술이 핵막을 콘포말하게 증착하는데 사용된다. 핵막 상의 텅스텐의 벌크 증착이 이후에 수행되어 형상물을 채운다. (블록 503) 벌크 증착은 두께(T1)에서 정지된다.(블록 505) T1은 막의 바람직한 두께보다 작다. 이 공정에서, T1은 형상물이 부분적으로만 채워지는 두께이다. 예를 들어, 1 마이크론 형상물(폭)에 대해, T1은 0.5 마이크론보다 작고, 대략 0.5 마이크론이 형상물을 채우는데 필요한 증착 두께이다. 형상물을 부분적으로 채우기 위한 벌크 증착 후에, 증착된 막의 상부 부분이 제거된다. (블록 507). 여기서, 돌출된 피크를 가지는 그레인은 측벽에 수직으로 배열된 것이며, 도 2에 관하여 위에서 설명한 바와 같이 선택적으로 제거될 수 있다. 증착에서, 필름 제거는 형상물 전체에서 보통 균일하다. 즉, 대략 동일한 두께의 텅스텐이 형상물 내에서 깊이 제거됨에 따라, 형상물의 상부에 위치한 측벽으로부터 제거된다. 증착 및 제거 동작은 이후에 선택적으로 한번 이상 반복되어 형상물을 추가로 채운다. 블록(509) 일부 실시예에서, 증착 및 제거 동작을 반복하는 것은 에치-백 텅스텐 상에 바로, 예를 들면 CVD에 의해 벌크 증착하는 것을 포함한다. 선택적으로, 다른 텅스텐 핵막 또는 그 외의 처리 동작이, 벌크 증착 전에 제거 동작 후에 수행될 수 있다. 하나 이상의 증착-제거 사이클이 완료되면, 형상물 채우기가 CVD 동작과 같은 증착 동작에 의해 완료된다(블록 511).
소정의 실시예에서, 트렌치 라인이 이 명세서에 기술된 공정에 의해 채워진다. 다른 폭넓은 형상물(가령, 마이크론 또는 서브-마이크론 단위의)과 마찬가지로 트렌치가 포스트-CMP 코어링(post-CMP coring)이기 쉽다. 도 6은 단일 증착(핵막 및 벌크 증착)에 의해 채워진 트렌치 라인(601)을 나타낸다. 트렌치 라인(601)은 웨이퍼(가령, 옥사이드 막(602)) 내에 패턴닝된다. 하나 이상의 필름(605, 607)이 트렌치의 측벽 및/또는 바닥 상에 형성될 수 있다. 이러한 필름은 접착 막, 배리어 막 등 중 어느 하나를 포함할 수 있다. 박막 물질의 예로는 티타늄, 티타늄 나이트라이드, 탄탈룸, 탄탈룸 나이트라이드, 텅스텐, 텅스텐 나이트라이드, 또는 이들의 조합이 포함된다. 텅스텐 핵막(도시되지 않음)은 트렌치의 측벽 및 바닥 상에 콘포말하게 증착되어 벌크 텅스텐의 형성을 용이하게 할 수 있다. 분명한 것은, 도면은 표현을 위한 것이며 계측을 위한 것이 아니다. 예를 들어, 트렌치 폭은 약 수 마이크론 또는 수십 마이크론일 수 있으며, 핵막은 약 수십 옹스트롬이다.
CVD 공정에 의해 증착된 텅스텐 그레인(603)이 크고 불균일하다. 위에 설명한 바와 같이, 큰 그레인이 형성된 텅스텐 필름은 텅스텐 필름 저항을 감소시킨다. 텅스텐 필 스텝 커버리지(fill step coverage)가 뛰어나나, 코어(coreing)과 같은 포스트-CMP 이슈가 발생할 수 있다. 텅스텐 그레인은 불균일하고 뾰족한 모양으로 성장할 수 있다. 이의 예는 식별기호(609)에 도시되며, 심(seam, 611)과 같은 심의 형성으로 이어진다. CMP 후에 채워진 트렌치가 식별기호(603)에 도시된다. 형상물의 코어 또는 센터가 심(607)에 의해 표현된 구조물 약화에 의해 홈이 파인다(613).
도 7A 및 7B는 소정의 실시예에 따른 채우기(fill) 공정의 다양한 단계 중의 형상물을 나타내는 도면이다. 먼저, 도 7A에서, 채워지지 않은 형상물이 식별기호(701)에 도시된다. 리세스(recessed) 형상물은 전형적으로 패턴화된 웨이퍼 상의 많은 리세스 형상물 중 하나의 전형적인 예이며, 제조 공정 중에 형성된 절연 물질이나 다른 막에 형성될 수 있다. 다양한 실시예에 따르면, 형상물이 비아, 트렌치 또는 다른 리세스 형상물일 수 있다. 위에 표시한 바와 같이, 다양한 필름(도시되지 않음)이 형상물(배리어 막, 접착 막 등 포함)의 측벽 및/또는 바닥을 덮는다. 이전 처리에 따라, 리세스 형상물의 노출된 측벽 및 바닥이 매끄럽고 균일하거나, 불규칙한 것을 포함할 수 있다. 소정의 실시예에서, 측벽의 표면은 형상물의 바닥의 표면과 다르다. 다양한 실시예에 따르면, 형상물 폭은 10 옹스트롬에서 10 마이크론에 이르고, 구체적으로는 10나노미터에서 1 마이크론에 이를 수 있다. 예시적인 종횡비(aspect ratio)는 2:1 - 30:1, 2:1 - 10:1, 또는 5:1 - 10:1이다.
벌크 증착 공정은 형상물을 부분적으로 덮는데 사용된다. 부분적으로 채워진 형상물이 식별기호(703)에 도시된다. 이러한 공정(프로세스)은 일반적으로 위에 설명한 바와 같이 화학적 기상 증착(CVD:chemical vapor deposition)에 의해 수행된다. 소정의 실시예에서, 핵막이 펄스 핵막(PNL:pulsed nucleation layer), 원자 막 증착(ALD:atomic layer depostion) 방법 또는 다른 적합한 방법에 의해 먼저 증착된다. 위에 표시한 바와 같이, 이 막은 T1의 두께로 증착되며, 이는 막(최종적으로 채워진 형상물의 서브-막)의 바람직한 전체 두께보다 크고, 형상물을 채우는데 필요한 두께보다 작다. 소정의 실시예에서, 두께 T1은 형상물을 차단하는 중심 인터페이스에 불균일한 그레인이 보이지 않을 정도로 작아야한다. 이러한 바람직하지 않은 효과의 예는 도 6의 식별기호(609)에 도시된다. 채워진 형상물(703) 내의 증착된 그레인은 상대적으로 크나 불균일한 높이를 가진다.
이어서, 위에 설명한 바와 같이 막의 상부 부분(top portion)이 제거된다. 도 1에 관하여 설명한 바와 같이, 소정의 실시예에서, 화학적 식각이 수행된다. 또한 위에 설명한 것처럼, 원거리 플라스마 발생기로부터의 활성화된 플루오르 화학종이 사용될 수 있다. 전형적으로, 제거 공정은 순수하게 화학적이다. 즉, 이온 폭격 또는 스퍼터링 효과가 존재하지 않는다. 원거리 플라스마 발생은 이점에 있어서 유용한데 왜냐하면 플라스마 발생기 내에 형성된 이온이 재결합할 수 있기 때문이다. 텅스텐과 플루오르(예, WF6)를 포함하는 휘발성 화합물이 형성되어 뿜어진다.
제거 동작은 형상물 측벽을 따라 텅스텐을 연마하고, 이는 뾰족하고 돌출된 텅스텐 피크를 제거한다. 제거 후의 결과물은 식별기호(705)에 도시된 바와 같이 부드러운 프로파일을 가지는 텅스텐 막이다. 그레인의 높이가 제거 공정에 의해 감소되나, 그레인 사이즈는 동일하므로 텅스텐 저항이 증가하지 않는다.
다른 벌크 막이 이후에 증착된다. 형상의 사이즈 및 원하는 그레인 사이즈에 따라, 형상물이 이 시점에 완전히 채워질 수 있으며 CMP를 위해 준비된다. 도 7A 및7B에 나타낸 공정에서, 다중 증착-제거 사이클이 사용된다. 따라서 형상물은 다음의 벌크 증착에 의해 부분적으로만 채워진다. 도 7B의 식별기호(707)에 도시된다. 벌크 막이 증착된 두께(T2)는 T1과 동일하거나 다를 수 있다. 예를 들어, 소정의 실시예에서, 이전에 증착된 서브-막에 의해 갭(gap)이 더 좁게 성장하기 때문에, 증착된 (그대로의) 벌크 막의 두께가 감소할 수 있다. 위에 설명한 바와 같이, 두께는 형상물이 개방된 상태를 유지하도록 해야한다.
방금 증착된 막의 상부 부분이 제거된다(709). 이는 막을 연막하고 다음 증착을 위해 부드러운 표면을 제공한다. 다중 증착-제거 사이클이 이 시점에서 적합한 경우에 수행될 수 있다. 나타낸 공정에서, 채움 동작(fill)이 최종 벌크 증착에 의해 완료된다. 증착된 막의 양이 상대적으로 작기 때문에, 이러한 벌크 막의 그레인 높이는, 도 6에 도시된 바와 같은 단일 동작에서 증착이 수행되는 경우보다 더 균일하다. 채워진 형상물이 식별기호(711)에 도시된다. 각 측벽으로부터의 그레인 성장이 고르고 심(seam)이 없는 고른 인터페이스를 형성한다. CMP 공정은 이후에 형상물 상부에 증착된 텅스텐을 제거하는 단계를 수행할 수 있으나, 형상물이 완전히 채워진 상태로 남는다. 다양한 실시예에 따라, 각 제거 동작에서 제거된 물질의 양이 텅스텐 필름의 전체 두께의 약 5%에서 50% 이상에 이르거나, 소정의 경우에는 두께의 80%이다.
각 공정에 의해 그레인 높이가 감소되나, 그레인 사이즈는 동일하게 유지되므로 텅스텐 저항이 증가하지 않는다. 소정의 경우에,전자 운송에 기여하는 텅스텐으로 공극(void) 및 심(seam)을 대체함으로써 형상물의 텅스텐 저항이 감소한다. 저항은 또한 전자 운송의 방향으로 더 큰 사이즈로 텅스텐 그레인을 형성함으로써 낮아질 수 있다. 또한, 소정의 실시예에서, 더 콤팩트한 텅스텐 필름이 얻어지며, 이로써 텅스텐 필름 밀도를 변경하고 CMP 레이트(rate)를 변경할 수 있다.
위에 설명한 바와 같이, 제거 공정 중의 소정의 실시예에서, 텅스텐이 형상물 전체적으로 균일하게 식각된다. 이를 수행하기 위해, 부분 채움 중에 증착이 제한되어 형상물이 미리 큰 그레인에 의해 닫히거나 차단되지 않도록 한다. 추가로, 제거 공정 조건은 제거 동작이 매체 수송(mass-transport) 제한 보다는 반응 제한된 형식으로 수행되도록 한다. 이는 형상물 치수(dimension) 및 공정 설비에 따라 달라지나, 낮은 온도 및 높은 유량(flow rate)이 사용된다. 섭씨 250도에서 450도 사이의 웨이퍼 온도 및 약 750 내지 4000 sccm 사이의 NF3 유량(원거리 플라스마 발생기로)이 사용될 수 있다. 본 발명이 속하는 분야의 기술자는 확산에 의해 반응이 제한되지 않는 조건을 획득하기 위해 이러한 범위를 변경하는 것이 가능하다는 것을 알 수 있다. 추가로, 화학적 식각 동작(스퍼터링 또는 폭격(bomardment)을 포함하지 않음)은 불균일한 제거(ununiform removal)를 고려한다.
많은 실시예에서, 형상물의 프로파일이 텅스텐 증착 전에 및/또는 텅스텐 증착 후에 균일하므로, 형상물 입구에 현저한 오버행(overhang)이 존재하지 않는다. 소정의 실시예에서, 형상물 전체의 평균 두께가 30% 정도만 변한다. 또는 소정의 실시예에서 25%나 10% 변한다. 이는 형상물 내의 평균 두께를 형상물의 상부에서의 평균 두께와 비교함으로써 특성화될 수 있다. 형상물의 상부에서의 평균 두께의 의해 표준화된 형상물의 평균 두께가 소정의 실시예에서, 80% - 120% 또는 구체적으로 90% - 110%, 또는 95% - 105%의 범위에 있다. 소정의 경우에, 소정의 파라미터(예, 두께)의 값이 이러한 위치/영역에서 구체화될 때, 이러한 값은 이러한 위치/영역에서 측정된 여러 값의 평균을 나타낸다. 측정 포인트의 예는 도 8에 도시되며, 이는 기판(803) 내 형상물(801)을 나타내고, 텅스텐 막(805)의 두께의 측정 포인트의 위치가 "포인트 1(Point 1)", "포인트 2(Point 2)", 등으로 표시된다. 두께 값은 필드 영역(포인트 1 및 16)에서의 값 또는 이들의 평균값으로 표준화될 수 있다. 포인트 2-15 또는 이의 하위 세트(subset)가 형상물 내의 두께를 알아니도록 평균화될 수 있다.
소정의 실시예에서, 기판이 형상물의 상부에 위치한 오버행 또는 오목한(re-entrant) 프로파일을 가지는 경우에, 오목한 프로파일은 벌트 증착 동작 후에도 남아있을 것이다. 이러한 경우에, 형상물의 상부에서 텅스텐을 제거하기 위한 초기 제거 동작이, 이 명세서에 설명된 바와 같은 연속적인 증착-식각 사이클 이전에 수행될 수 있다. 형상물의 상부에 증착된 텅스텐의 선택적 제거에 관해 미국 특허 출원 제 12/535,464호(대리인 번호 NOVLP315/NVLS-3464)에 게시되며, 이는 이 명세서에 참조문헌으로 포함된다.
소정의 실시예에서, 여기에 설명된 제거 동작이 그레인 높이의 균일성을 증진하고 부분적으로 채워진 형상물의 거칠기를 감소시키면서 동시에 이전에 채워진 형상물을 손상하지 않게 하기 위해 사용될 수 있다. 도 9는 서로 다른 사이즈의 형상물이 채워지는 다른 실시예에 따른 동작을 나타내는 공정 흐름도이다. 서로 다른 치수의 제 1 및 제 2 형상물을 가지는 패턴화된 제 1 웨이퍼가 제공된다(블록 901). 하나 이상의 증착 동작이 수행되어 제 1 (보통 더 작음) 형상물을 완전히 채우고 제 2 (보통 더 큼) 형상물을 부분적으로 채운다(블록 903). 다양한 실시예에 따르면, 하나 이상의 증착 동작이 중간에 삽입된 식각 동작을 포함하거나 포함하지 않을 수 있다. 제 1 형상물이 채워진 후에, 하나 이상의 제거 동작이 수행되어 제 2 형상물(예를 들면, 도 7A 및 7B에 관하여 위에 설명한 바와 같음)에서 그레인 높이 균일성을 향상시키도록 수행된다(블록 905). 증착-제거 사이클에서의 증착 동작은 필요한 만큼 수행된다. 제 1 형상물이 채워진 채로 유지된다. 즉 제거 동작이 형상물을 다시 개방시키지 않는다. 최종 증착 동작은 이후에 도 7B에 관하여 위에 설명한 바와 같이 수행되어 제 2 형상물을 채우는 동작을 완료한다(블록 907). 따라서, 이 방법은 더 작은 형상물들이 폐쇄된 후에 더 큰 형상물에서만 측벽의 텅스텐이 바람직하게 식각된다. 이는 이중 다마신 공정에서 더 유용하다.
실험
WF6 CVD 공정의 통상적인 수소 제거를 이용하여 텅스텐 필름이 반도체 웨이퍼 상의 텅스텐 핵막에 증착된다. 389 옹스트롬, 937 옹스트롬, 1739 옹스트롬 및 1942 옹스트롬 (중심 두께)의 필름이 증착되었다. 반사율 및 저항이 모든 필름에 대해 측정되었다.
텅스텐 필름은 도 1에 도시된 내용에 따른 증착-식각 공정을 사용하여 텅스텐 핵막에 증착된다. WF6 CVD 공정의 수소 제거는 필름을 증착하는 데 사용되었다. 증착 조건은 통상적으로 증착된 필름에 대한 조건과 동일하다. 모든 필름에 대한 증착된 상태의 두께가 약 1940 옹스트롬( 1935 옹스트롬에서 1947 옹스트롬 범위)이었다. 원거리 NF3 플라스마가 1 옹스트롬에서 1787 옹스트롬 범위의 식각량(etch amounts)으로 필름을 식각하는데 사용되었으며, 최종 두께는 151 옹스트롬 에서 1941 옹스트롬이다. NF3 부분 압력은 다음의 레벨, 즉 0.02 토르(Torr), 0.17 토르, 0.24 토르 또는 1 토르 중 하나로 설정되었다. 반사율 및 저항이 식각 후에 모든 필름에 대해 측정되었다.
반사율(reflectivity)는 식각 후에 비교 두께를 가진 통상적으로 증착된 필름에 비해 약 10% 정도 개선된다. 반사율 측정의 결과가 도 3에 도시되며 이하에서 설명된다.
저항 측정의 결과가 도 4에 도시되며 이하에서 설명된다.
또한 거칠기가 통상적으로 증착된 필름에 비해 개선된다. 예를 들어, 증착된 1940 옹스트롬 필름의 AFM 거칠기가 9.7nm 였다. 1740 옹스트롬의 필름에 대해 약 20nm의 NF3 식각 후에, 거칠기가 2.5nm에서 9.2nm만큼 줄어들었다. 통상적으로 증착된 1720 옹스트롬 필름의 거칠기가 9nm이다. 거칠기는 통상적으로 증착된 필름에 비해 약 20% 개선되었다.
다른 예에서, 약 800 옹스트롬(목표)의 텅스텐이 CVD 공정에 의해 0.25 마이크로미터(㎛) 트렌치 라인(6:1 AR)을 부분적으로 채우도록 증착되었다. 다음의 공정 조건을 이용하여 형상물로부터 증착된 텅스텐을 식각하는데 원거리에 활성화된 플루오르 화학종(NF3 플로우(flow)로부터)가 사용되었다.
공정(Process) 온도(C) NF3 플로우(sccm) 압력(Torr) 식각 시간(secs) 제거된 두께의 근사값
1 250 750 8 15 100
2 250 750 8 30 200
3 300 1375 6 7 200
4 300 1375 6 15 450
5 350 2000 8 4 250
증착된 막의 상부 부분의 약 10%에서 50% 이상이 식각 공정에서 제거되었다. 그레인 높이 불-균일성이 식각 공정 4의 전 후에 트렌치 라인(trenchline)에 대해 측정되었다. 그레인 높이 불-균일성은 13.5% 내지 6.3% 식각 동작으로 감소하였다. 재-증착 후에, 그레인 높이 불-균일성이 균일한 상태로 유지됨을 알 수 있다(제 1 재-증착 후에 7.2%, 제 2 재-증착 후에 5.7%). 추가적인 식각 동작이 수행되지 않았다. 즉, 재-증착 및 제 2 재-증착 사이의 식각 없이, 단 한 번의 식각 동작이 수행되었다.
장치
도 10은 본 발명에 따른 텅스텐 증착 공정을 수행하는 데 적합한 공정 시스템을 나타내는 블록도이다. 시스템(1000)은 전송 모듈(1003)을 포함한다. 전송 모듈(1003)은, 다양한 반응기 모듈 사이에서 이동될 때, 처리될 기판의 오염 위험을 최소화하기 위해 깨끗하고 기압이 일정하게 유지되는 환경을 제공한다. 전송 모듈(1003)에 장착되는 것은 본 발명의 실시예에 따른 PNL 증착 및 CVD를 수행할 수 있는 다중-스테이션 반응기(1009)이다. 챔버(1009)는 이러한 동작을 연속하여 수행할 수 있는 다중 스테이션(1011, 1013, 1015 및 1017)을 포함할 수 있다. 예를 들어, 챔버(1009)는 스테이션(1011)이 PNL 증착을 수행하도록, 스테이션(1013)은 핵막 처리를 수행하도록 그리고 스테이션(1013, 1015)이 CVD 및 식각 동작을 수행다도록 구성될 수 있다. 선택적으로 식각 동작에서, 증착 및 식각 동작이 별개의 도구로 수행될 수 있다.
또한 전송 모듈(1003)에 증착되는 것은 하나 이상의 단일 또는 다중-스테이션 모듈(1007)일 수 있다. 이는 플라스마 또는 화학적(비-플라스마) 사전-세정(pre-clean)을 수행할 수 있다. 모듈은 또한 예를 들면 후속 라이너 텅스텐 나이트라이드 처리(post liner tungsten nitride treatment)와 같은 다양한 다른 처리를 위해 사용될 수 있다. 시스템(1000)은 또한 하나 이상의(이 경우에는 두 개) 웨이퍼 소스 모듈(1001)을 포함하며, 여기에 웨이퍼가 프로세싱 전 및 후에 저장된다. 대기 전송 챔버(1019) 내의 대기 로봇(도시되지 않음)이 소스 모듈(1001)로부터 로드락(1021, loadlock)으로 웨이퍼를 먼저 이동시킨다. 전송 모듈(1003)의 웨이퍼 전송 장치(일반적으로 로봇 암 유닛)가 로드락(1021)으로부터 전송 모듈(1003)에 장착된 모듈로 이동한다.
도 11은 식각 동작에서 사용될 수 있는 챔버 또는 스테이션을 나타내는 도면이다. 본 발명의 방법은 식각제(에, 플루오르-기반 식각제)를 반응기 또는 챔버(1100)로 삽입하는 단계를 포함하며, 반응기 또는 챔버는 텅스텐이 증착되는 웨이퍼를 지지하는 받침대(1108)를 가진다. 원자 플루오르가 원거리 플라스마 발생기(1130)에서 발생된다. 동작시, 플루오르-함유 가스(예, NF3)가 밸브(1132)를 거쳐 원거리 플라스마 발생기(1130)로 삽입된다. 원자 플루오르가 그 내부에서 발생된다. 밸브(1134)는 샤워 헤드(1102)를 거쳐 챔버로 원자 화학종(atomic species)이 들어가도록 개방된다. 도 11은 원거리 플라스마 발생기의 일 예만을 나타내며, 다른 장치 및 구성이 사용될 수 있다. 원자 화학종이 챔버로 들어가서 위에 설명한 바와 같이 웨이퍼에 증착된 텅스텐 필름(도시되지 않음)을 식각한다. (본 발명이 속하는 분야의 기술자라면 샤워 헤드에서 나와 반응기로 들어가는 플라스마 또는 가스 형태로 존재할 수 있다는 것을 이해할 것이다. 예를 들어, 샤워 헤드로부터 증착 챔버로 들어가는 화학종은 NF3 및 NFx와 원자 플루오르를 포함할 수 있다.) 압력을 적절히 조절하여, 샤워 헤드가 바람직한 원자 및/또는 분자 플루오르 식각제의 조정가능한 소스로 작용한다. 식각 공정에 앞서, 증착 전구물질이 샤워 헤드로 들어가 웨이퍼 상에 텅스텐 필름을 증착할 수 있다는 것에 주의한다.
센서(1126)는 반응기 조건에 대한 정보를 제공하는 사용될 수 있는 가스 센서, 압력 센서 등을 나타낸다. 세정 중에 모니터링 될 수 있는 챔버 센서의 예로는 매체 흐름 컨트롤러, 압력 센서(예, 나노미터), 받침대에 위치한 열전지(thermocouple)와 같은 압력 센서, 챔버 내의 가스의 존재를 모니터하는 적외선 검출기를 포함한다.
텅스텐이 챔버로부터 제거되기 때문에, 텅스텐 헥사플루오라이드(tunsten hexafluoride)가 생성된다. 텅스텐 헥사플루오라이드는 센서(1126)에 의해 감지될 수 있으며, 식각의 진행을 나타낸다. 텅스텐 헥사플루오라이드는 반응기로부터 출구(outlet, 도시되지 않음)를 거쳐 제거되어, 세정이 완료되면, 센서가 텅스텐 헥사플루오라이드가 없다는 것을 감지할 것이다. 센서(1126)는 챔버 압력 기록(readings)을 제공하도록 압력 센서를 포함할 수도 있다.
분자 플루오르는 위에 설명한 바와 같이 원자 플루오르를 발생하도록 원거리 플라스마 발생기를 사용하는 것 및 원자 플루오르가 분자 플루오르로 결합하도록 압력을 조절하는 것 이외의 방법으로 챔버에 공급될 수 있다. 예를 들어, 플루오르 가스가 플루오르 가스 공급원으로부터 챔버로 공급될 수 있다. 그러나, 위에 설명한 바와 같이 원자 및 분자 플루오르를 모두 사용하는 실시예에서, 원거리 플라스마 발생기의 사용은 스테이지(stage) 간의 전환을 위한 간단한 방법을 제공한다. 나아가, 원거리 플라스마 발생기는 NF3의 사용을 가능하게 하며, 이는 시스템의 주입 가스로서, 분자 플루오르보다 취급하기가 더 쉽다. 소정의 실시예는 원자 플루오르의 발생을 위해 직접 (동시에) 플라스마를 사용할 수 있다.
소정의 실시예에서, 시스템 컨트롤러(1124)가 증착 및 제거 동작 중에 공정 조건을 제어하기 위해 이용된다. 컨트롤러는 일반적으로 하나 이상의 메모리 장치와 하나 이상의 프로세서를 포함한다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입/출력 연결 장치, 스테퍼 모터 컨트롤러 보드 등을 포함할 수 있다.
컨트롤러는 증착 장비의 모든 동작을 제어할 수 있다. 시스템 컨트롤러는 타이밍, 가스 혼합, 챔버 압력, 챔버 온도, 웨이퍼 온도, RF 파워 레벨, 웨이퍼 척 또는 받침대 위치, 및 그외의 특정 공정의 파라미터를 제어하기 위한 명령 세트를 포함하는 시스템 제어 소프트웨어를 실행한다. 컨트롤러와 관련된 메모리 장치에 저장된 다른 컴퓨터 프로그램이 일부 실시예에서 사용될 수 있다.
일반적으로, 컨트롤러와 연결된 사용자 인터페이스가 존재할 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 공정 조건의 그래픽 소프트웨어 디스플레이, 및 포인팅 장치, 키보드, 터치 스크린, 마이크로 폰 등과 같은 사용자 입력 장치를 포함한다.
공정 시퀀스에서 증착 및 제거 공정을 제어하기 위한 컴퓨터 프로그램 코드가 통상적인 컴퓨터 판독가능한 프로그램 언어로 기록될 수 있다. 예를 들어, 프로그램 언어는 어셈블리 언어, C, C++, 파스칼, 포트란 등을 포함한다. 컴파일된 객체 코드 또는 스크립트가 프로세서에 의해 수행되어 프로그램에서 식별된 태스크를 수행한다.
컨트롤러 파라미터는 예를 들어 공정 가스 조성물 및 유량(flow rate), 온도, 압력, RF 파워 레벨과 저주파 RF 주파수와 같은 원거리 플라스마 조건, 식각제 유량 또는 부분 압력, 냉각 가스 압력 및 챔버 벽 온도와 같은 공정 조건에 관계된다. 이러한 파라미터는 레서피의 형식으로 사용자에게 제공되고, 사용자 인터페이스를 이용하여 입력될 수 있다.
공정을 모니터링하는 신호가 시스템 컨트롤러의 아날로그 및/또는 디지털 입력 연결 장치에 의해 제공될 수 있다. 프로세서를 제어하기 위한 신호가 증착 설비의 아날로그 및 디지털 출력 연결장치에서 출력된다.
시스템 소스트웨어는 많은 다른 방식으로 디자인 또는 구성될 수 있다. 예를 들어, 다양한 챔버 구성요소 서브루틴 또는 제어 객체가, 본 발명의 증착 공정을 수행하는데 필요한 챔버 컴포넌트의 동작을 제어하도록 기록될 수 있다. 이러한 목적을 위한 프로그램 또는 프로그램의 섹션의 예는 기판 배치 코드, 공정 가스 제어 코드, 압력 제어 코드, 히터 제어 코드 및 플라스마 제어 코드를 포함한다.
기판 배치 프로그램은, 기판을 받침대 또는 척에 장착하고 기판 및 가스 입구 및/또는 타겟과 같은 챔버의 다른 부분 사이의 공간 배치를 제어하기 위해 사용되는 챔버 구성요소를 제어하기 위한 프로그램 코드를 포함할 수 있다. 공정 가스 제어 프로그램은 가스 조성 및 유량을 제어하고, 선택적으로 챔버 내의 압력을 안정화하기 위해 증착 전에 챔버로의 가스 흐름을 제어하기 위한 코드를 포함할 수 있다. 압력 제어 프로그램은 예를 들면 챔버의 배출 시스템 내의 스로틀(throttle) 밸브를 조절함으로써 챔버 내의 압력을 제어하기 위한 코드를 포함할 수 있다. 히터 제어 프로그램은 기판을 가열하는데 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수 있다. 선택적으로, 히터 제어 프로그램은 웨이퍼 척으로 헬륨과 같은 열 운송 가스의 전달을 제어할 수 있다. 식각제 제어 프로그램은 식각제 유량 및 부분 압력, 운반 가스 유량 및 부분 압력, 식각 시간 등을 제어하기 위한 코드를 포함할 수 있다.
증착 중에 모니터링 될 수 있는 챔버 센서의 예는 매체 흐름 컨트롤러, 압력계(manometer)와 같은 압력 센서, 그리고 받침대 또는 척에 위치한 열전지(thermocouples)을 포함한다. 적절히 프로그램된 피드백 및 제어 알고리즘이 이러한 센서로부터의 데이터를 이용하여 바람직한 공정 조건을 유지하는데 사용될 수 있다. 텅스텐 헥사플루오라이드 또는 그 외의 식각 부산물이 제거된 텅스텐 량을 표시하기 위해 감지(검출)될 수 있다.
앞의 설명은 단일한 또는 다중-챔버 반도 공정 도구에서 본 발명의 실시예를 구현하는 것을 기술한다.
애플리케이션(응용예)
본 발명은 많은 다른 애플리케이션을 위한 얇은, 저 저항 텅스텐 막을 증착하는 데 사용될 수 있다. 하나의 애플리케이션은 메모리 칩과 마이크로프로세서와 같은 집적 회로 내의 배선(interconnection)을 위한 것이다. 배선은 단일한 금속화 막상에서 볼 수 있는 전류 라인이며, 일반적으로 길고 가늘며 납작한 구조물이다. 이러한 배선은 텅스텐 막의 블랭킷 증착에 의해 형성될 수 있다(위에 설명한 바와 같은 공정을 이용). 이어서 전류 운반 텅스텐 라인의 위치를 정의하는 패턴닝 동작 및 텅스텐 라인 외부의 영역으로부터 텅스텐을 제거가 이루어진다.
배선 애플리케이션의 기본적인 예는 메모리 칩 내의 비트 라인이다. 물론, 본 발명이 배선 애플리케이션에 제한되는 것은 아니며 전자 장치에서 공통적으로 발견되는 비아, 콘택트 및 다른 텅스텐 구조물로 확장된다.
증착 공정이 비트 라인 애플리케이션을 위해 사용되는 소정의 실시예에서, 텅스텐 필름의 최종 두께가 500 옹스트롬 내지 2000 옹스트롬 사이이며, 증착된 그대로의 필름 두께는 500 옹스트롬 내지 2500 옹스트롬 사이이다. 공정은 또한 필요한 경우에 훨씬 더 두꺼운 필름을 증착하는 데 사용될 수 있다. 위에 설명한 바와 같이, 공정이 저저항의 얇은 필름(박막)(예, 100 옹스트롬 내지 1000 옹스트롬의 필름)을 증착하는 데 사용될 수도 있다. 일반적으로, 본 발명은 얇은, 저저항 텅스텐 막이 필요한 어떤 환경에도 응용된다.
그 외의 실시예
본 발명이 몇몇 실시예의 면에서 설명되었으나, 본 발명의 범위 내에 포함되는 변경, 수정, 교환 및 대체 등가물이 존재한다. 또한 본 발명의 방법 및 장치를 구현하는 다양한 방식이 존재함을 주의해야 한다. 예를 들어, 위에 설명은 처음 CVD 증착을 기술하였으나, 증착-식각 방법은 다른 유형의 텅스텐 증착을 이용할 수도 있다. 따라서 다음에 첨부된 청구항은 본 발명의 범위 내에 포함되는 이러한 변경, 수정, 교환 및 대체 등가물을 포함하는 것으로 해석되어야 한다.

Claims (17)

  1. 기판상에 Td의 두께를 가진 텅스텐 막을 증착하는 방법에 있어서, 상기 텅스텐 막 증착 방법은:
    텅스텐-함유 전구 물질 및 환원제 사이의 화학적 기상 증착 반응을 통해 상기 기판에 두께 T1을 갖는 텅스텐 막을 직접 증착하는 단계; 그리고
    Td의 두께를 가지는 텅스텐 벌크 막을 형성하도록 상기 증착된 텅스텐 막의 상부 부분을 제거하며, 이때 상기 Td는 T1보다 두께가 얇고, 상부 이외의 부분은 제거되지 않으며, 상기 상부 부분은 증착된 텅스텐 막의 두께 T1의 5% 내지 25%인 단계를 포함함을 특징으로 하는 텅스텐 막 증착 방법.
  2. 제 1 항에 있어서,
    상기 상부 부분이 상기 증착된 텅스텐 막의 두께 T1의 5% 내지 15%임을 특징으로 하는 텅스텐 막 증착 방법.
  3. 제 1 항에 있어서,
    상기 상부 부분이 상기 증착된 텅스텐 막의 두께 T1의 10%임을 특징으로 하는 텅스텐 막 증착 방법.
  4. 제 1 항에 있어서,
    상기 상부 부분을 제거하는 것이 상기 증착된 텅스텐 막을 원자 풀루오르에 노출시킴을 포함함을 특징으로 하는 텅스텐 막 증착 방법.
  5. 제 1 항에 있어서,
    상기 기판을 수용하는 챔버의 업스트림에 있는 원거리 플라스마 발생기로 플루오르-함유 화합물을 삽입하는 단계, 상기 원거리 플라스마 발생기 내에서 원자 플루오르를 발생시키는 단계, 그리고 상기 증착된 텅스텐 막의 상부 부분을 제거하기 위해 상기 원거리 플라스마 발생기로부터 상기 챔버로 원자 플루오르를 흘려보는 단계를 더 포함함을 특징으로 하는 텅스텐 증착 방법.
  6. 제 5 항에 있어서,
    상기 원거리 플라스마 발생기로 삽입된 상기 플루오르-함유 화합물의 부분 압력이 0.7 토르 이상인 것을 특징으로 하는 텅스텐 막 증착 방법.
  7. 제 5 항에 있어서,
    상기 원거리 플라스마 발생기로 삽입된 상기 플루오르-함유 화합물의 부분 압력이 1 토르 이상인 것을 특징으로 하는 텅스텐 막 증착 방법.
  8. 제 5 항에 있어서,
    상기 플루오르-함유 화합물이 NF3임을 특징으로 하는 텅스텐 막 증착 방법.
  9. 제 1 항에 있어서,
    상기 Td가 500 Å 와 2000 Å사이 임을 특징으로 하는 텅스텐 막 증착 방법.
  10. 제 1 항에 있어서,
    상기 Td의 두께를 가진 텅스텐 벌크 막의 반사율이 가공되지 않은 실리콘 웨이퍼의 반사율보다 15% 큰 것을 특징으로 하는 텅스텐 막 증착 방법.
  11. 제 1 항에 있어서,
    상기 Td의 두께를 가진 텅스텐 벌크 막의 저항률이 식각 공정 이전의 화학적 증기 증착에 의해 증착된 두께 Td의 필름 저항률보다 작음을 특징으로 하는 텅스텐 막 증착 방법.
  12. 제 11 항에 있어서,
    상기 Td의 두께를 가진 텅스텐 벌크 막의 반사율이 식각 공정 이전의 화학적 증기 증착에 의해 증착된 두께 Td의 필름 반사율보다 큼을 특징으로 하는 텅스텐 막 증착 방법.
  13. 제 1 항에 있어서,
    상기 상부 부분을 제거한 뒤, 하나 이상의 형상물(features)을 만들기 위해 상기 Td의 두께를 가진 텅스텐 벌크 막을 패턴닝(patterning)함을 특징으로 하는 텅스텐 막 증착 방법.
  14. 제 1 항에 있어서,
    상기 기판의 표면이 편평함을 특징으로 하는 텅스텐 막 증착 방법.
  15. 제 1 항에 있어서,
    상기 기판의 표면이 패턴닝됨을 특징으로 하는 텅스텐 막 증착 방법.
  16. 제 1 항에 있어서,
    상기 증착된 텅스텐 벌크 막의 저항률이 15 마이크로-오옴-cm 이하임을 특징으로 하는 텅스텐 막 증착 방법.
  17. 제 1항에 있어서, 상기 Td의 두께를 가진 텅스텐 벌크 막의 거칠기가 식각 공정 이전의 화학적 증기 증착에 의해 증착된 두께 Td의 필름의 거칠기보다 작음을 특징으로 하는 텅스텐 막 증착 방법.
KR1020120104518A 2008-12-10 2012-09-20 갭필 애플리케이션을 위한 저저항의 텅스텐 필름 증착 방법 KR101340674B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US12/332,017 US8129270B1 (en) 2008-12-10 2008-12-10 Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US12/332,017 2008-12-10
US12/535,377 US20100144140A1 (en) 2008-12-10 2009-08-04 Methods for depositing tungsten films having low resistivity for gapfill applications
US12/535,377 2009-08-04

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020090122292A Division KR101201074B1 (ko) 2008-12-10 2009-12-10 갭필 애플리케이션을 위한 저저항의 텅스텐 필름 증착 방법

Publications (2)

Publication Number Publication Date
KR20120120918A KR20120120918A (ko) 2012-11-02
KR101340674B1 true KR101340674B1 (ko) 2013-12-12

Family

ID=42231559

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020090122292A KR101201074B1 (ko) 2008-12-10 2009-12-10 갭필 애플리케이션을 위한 저저항의 텅스텐 필름 증착 방법
KR1020120104518A KR101340674B1 (ko) 2008-12-10 2012-09-20 갭필 애플리케이션을 위한 저저항의 텅스텐 필름 증착 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020090122292A KR101201074B1 (ko) 2008-12-10 2009-12-10 갭필 애플리케이션을 위한 저저항의 텅스텐 필름 증착 방법

Country Status (4)

Country Link
US (1) US20100144140A1 (ko)
JP (1) JP5916191B2 (ko)
KR (2) KR101201074B1 (ko)
TW (1) TWI602941B (ko)

Families Citing this family (191)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US8153520B1 (en) 2009-08-03 2012-04-10 Novellus Systems, Inc. Thinning tungsten layer after through silicon via filling
US8124531B2 (en) * 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR101340793B1 (ko) * 2010-07-09 2013-12-11 노벨러스 시스템즈, 인코포레이티드 고 종횡비 특징부 내부로 텅스텐 증착하기
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8883637B2 (en) * 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
KR101847628B1 (ko) * 2011-09-28 2018-05-25 삼성전자주식회사 금속함유 도전 라인을 포함하는 반도체 소자 및 그 제조 방법
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140273451A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Tungsten deposition sequence
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
JP6494940B2 (ja) * 2013-07-25 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 異なるサイズのフィーチャへのボイドフリータングステン充填
KR102291990B1 (ko) * 2013-08-16 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 육플루오르화물(wf6) 에치백을 이용하여 텅스텐 막을 증착하기 위한 방법
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9230863B2 (en) * 2014-02-11 2016-01-05 GlobalFoundries, Inc. Method for producing integrated circuit with smaller grains of tungsten
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
JP6297884B2 (ja) 2014-03-28 2018-03-20 東京エレクトロン株式会社 タングステン膜の成膜方法
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
WO2016046909A1 (ja) * 2014-09-24 2016-03-31 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160300731A1 (en) * 2015-04-10 2016-10-13 Applied Materials, Inc. Methods of etchback profile tuning
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) * 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102447489B1 (ko) 2015-09-02 2022-09-27 삼성전자주식회사 반도체 메모리 소자
US9853123B2 (en) 2015-10-28 2017-12-26 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
CN106653678A (zh) * 2015-11-03 2017-05-10 中芯国际集成电路制造(上海)有限公司 导电插塞结构及其形成方法
CN107026113B (zh) 2016-02-02 2020-03-31 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法和系统
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
CN110088875B (zh) * 2016-12-15 2023-09-01 应用材料公司 无成核的间隙填充ald工艺
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN108695235B (zh) * 2017-04-05 2019-08-13 联华电子股份有限公司 改善钨金属层蚀刻微负载的方法
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
DE102017216937A1 (de) * 2017-09-25 2019-03-28 Robert Bosch Gmbh Verfahren zum Herstellen zumindest einer Durchkontaktierung in einem Wafer
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102457068B1 (ko) * 2018-03-26 2022-10-21 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 프로그램
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
SG11202106002VA (en) 2018-12-05 2021-07-29 Lam Res Corp Void free low stress fill
CN113424300A (zh) 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210111017A (ko) * 2020-03-02 2021-09-10 주식회사 원익아이피에스 기판 처리 방법 및 이를 이용하여 제조된 반도체 소자
US11515200B2 (en) * 2020-12-03 2022-11-29 Applied Materials, Inc. Selective tungsten deposition within trench structures

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030035877A (ko) * 2001-10-31 2003-05-09 미쓰비시덴키 가부시키가이샤 반도체장치의 제조방법
KR20050013187A (ko) * 2004-12-28 2005-02-03 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1670912C3 (de) * 1967-08-18 1981-06-11 Bayer Ag, 5090 Leverkusen Herbizide Mittel auf Basis von 1,2,4-Triazin-5-onen
DE2346722C2 (de) * 1973-09-17 1974-12-05 Siemens Ag, 1000 Berlin Und 8000 Muenchen Magnetooptischer Meßwandler für Hochspannungsströme
US4494978A (en) * 1976-12-30 1985-01-22 Chevron Research Company Herbicidal N-(N'-hydrocarbyloxycarbamylalkyl)-2,6-dialkyl-alpha-haloacetanilides
AR240698A1 (es) * 1985-01-19 1990-09-28 Takeda Chemical Industries Ltd Procedimiento para preparar compuestos de 5-(4-(2-(5-etil-2-piridil)-etoxi)benzil)-2,4-tiazolidindiona y sus sales
JPS62216224A (ja) * 1986-03-17 1987-09-22 Fujitsu Ltd タングステンの選択成長方法
SG59988A1 (en) * 1987-09-04 1999-02-22 Beecham Group Plc Substituted thiazolidinedione derivatives
US4935493A (en) * 1987-10-06 1990-06-19 E. I. Du Pont De Nemours And Company Protease inhibitors
US5037775A (en) * 1988-11-30 1991-08-06 Mcnc Method for selectively depositing single elemental semiconductor material on substrates
US5433955A (en) * 1989-01-23 1995-07-18 Akzo N.V. Site specific in vivo activation of therapeutic drugs
US5366862A (en) * 1990-02-14 1994-11-22 Receptor Laboratories, Inc. Method for generating and screening useful peptides
US5462928A (en) * 1990-04-14 1995-10-31 New England Medical Center Hospitals, Inc. Inhibitors of dipeptidyl-aminopeptidase type IV
JP3019367B2 (ja) * 1990-06-21 2000-03-13 日本電気株式会社 半導体装置の製造方法
US5164330A (en) * 1991-04-17 1992-11-17 Intel Corporation Etchback process for tungsten utilizing a NF3/AR chemistry
US5387512A (en) * 1991-06-07 1995-02-07 Merck & Co. Inc. Preparation of 3-[z-benzoxazol-2-yl)ethyl]-5-(1-hydroxyethyl)-6-methyl-2-(1H)-pyridinone by biotransformation
IL106998A0 (en) * 1992-09-17 1993-12-28 Univ Florida Brain-enhanced delivery of neuroactive peptides by sequential metabolism
US5811281A (en) * 1993-07-12 1998-09-22 Cornell Research Foundation, Inc. Immortalized intestinal epithelial cell lines
IL111785A0 (en) * 1993-12-03 1995-01-24 Ferring Bv Dp-iv inhibitors and pharmaceutical compositions containing them
JP3291889B2 (ja) * 1994-02-15 2002-06-17 ソニー株式会社 ドライエッチング方法
EP0764151A2 (en) * 1994-06-10 1997-03-26 Universitaire Instelling Antwerpen Purification of serine protease and synthetic inhibitors thereof
JP2737764B2 (ja) * 1995-03-03 1998-04-08 日本電気株式会社 半導体装置及びその製造方法
US5614379A (en) * 1995-04-26 1997-03-25 Eli Lilly And Company Process for preparing anti-obesity protein
JP3538970B2 (ja) * 1995-05-24 2004-06-14 ヤマハ株式会社 配線形成法
US6325989B1 (en) * 1995-06-01 2001-12-04 Dana-Farber Cancer Institute, Inc. Form of dipeptidylpeptidase IV (CD26) found in human serum
US6262059B1 (en) * 1995-06-07 2001-07-17 Cell Pathways, Inc. Method of treating a patient having precancerous lesions with quinazoline derivatives
JPH0928376A (ja) * 1995-07-21 1997-02-04 Ajinomoto Co Inc 新規ジペプチジルペプチダーゼivとその製造方法
US5985532A (en) * 1995-12-11 1999-11-16 Eastman Kodak Company Photographic element containing an improved pyrozolotriazole coupler
US5747379A (en) * 1996-01-11 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating seamless tungsten plug employing tungsten redeposition and etch back
US20020006899A1 (en) * 1998-10-06 2002-01-17 Pospisilik Andrew J. Use of dipeptidyl peptidase IV effectors for lowering blood pressure in mammals
JPH09326436A (ja) * 1996-06-06 1997-12-16 Sony Corp 配線形成方法
US5885997A (en) * 1996-07-01 1999-03-23 Dr. Reddy's Research Foundation Heterocyclic compounds, process for their preparation and pharmaceutical compositions containing them and their use in the treatment of diabetes and related diseases
BR9711098B1 (pt) * 1996-07-01 2011-10-04 compostos heterocìclicos, processo para sua preparação e composições farmacêuticas contendo os mesmos e seu uso no tratamento de diabetes e doenças relacionadas.
US6006753A (en) * 1996-08-30 1999-12-28 Eli Lilly And Company Use of GLP-1 or analogs to abolish catabolic changes after surgery
US6011155A (en) * 1996-11-07 2000-01-04 Novartis Ag N-(substituted glycyl)-2-cyanopyrrolidines, pharmaceutical compositions containing them and their use in inhibiting dipeptidyl peptidase-IV
US5814480A (en) * 1997-01-17 1998-09-29 Incyte Pharmacueticals, Inc. DNA encoding human metallothioein
US5866483A (en) * 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
EP0897012A1 (en) * 1997-07-05 1999-02-17 Societe Des Produits Nestle S.A. Cloning of the prolyl-dipeptidyl-peptidase from aspergillus oryzae
US5807786A (en) * 1997-07-30 1998-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a barrier layer to protect programmable antifuse structure from damage during fabrication sequence
US6235493B1 (en) * 1997-08-06 2001-05-22 The Regents Of The University Of California Amino acid substituted-cresyl violet, synthetic fluorogenic substrates for the analysis of agents in individual in vivo cells or tissue
ES2285785T3 (es) * 1997-09-29 2007-11-16 Point Therapeutics, Inc. Estimulacion de celulas hematopoyeticas in vitro.
US6342611B1 (en) * 1997-10-10 2002-01-29 Cytovia, Inc. Fluorogenic or fluorescent reporter molecules and their applications for whole-cell fluorescence screening assays for capsases and other enzymes and the use thereof
WO1999025719A1 (fr) * 1997-11-18 1999-05-27 Zaidan Hojin Biseibutsu Kagaku Kenkyu Kai Substance physiologiquement active, la sulphostine, procede de fabrication et utilisation
ATE319818T1 (de) * 1997-12-16 2006-03-15 Novozymes As Polypeptide mit aminopeptidaseaktivität und für diese kodierende nukleinsäuren
US6235551B1 (en) * 1997-12-31 2001-05-22 Micron Technology, Inc. Semiconductor device including edge bond pads and methods
TW359884B (en) * 1998-01-07 1999-06-01 Nanya Technology Co Ltd Multi-level interconnects with I-plug and production process therefor
WO1999062914A1 (en) * 1998-06-05 1999-12-09 Point Therapeutics, Inc. Cyclic boroproline compounds
DE19828113A1 (de) * 1998-06-24 2000-01-05 Probiodrug Ges Fuer Arzneim Prodrugs von Inhibitoren der Dipeptidyl Peptidase IV
US6129911A (en) * 1998-07-10 2000-10-10 Rhode Island Hospital, A Lifespan Partner Liver stem cell
TW436366B (en) * 1998-08-21 2001-05-28 United Microelectronics Corp Method of fabricating a plug
US6245654B1 (en) * 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6110949A (en) * 1999-06-24 2000-08-29 Novartis Ag N-(substituted glycyl)-4-cyanothiazolidines, pharmaceutical compositions containing them and their use in inhibiting dipeptidyl peptidase-IV
US6107317A (en) * 1999-06-24 2000-08-22 Novartis Ag N-(substituted glycyl)-thiazolidines, pharmaceutical compositions containing them and their use in inhibiting dipeptidyl peptidase-IV
US6172081B1 (en) * 1999-06-24 2001-01-09 Novartis Ag Tetrahydroisoquinoline 3-carboxamide derivatives
US6251391B1 (en) * 1999-10-01 2001-06-26 Klaire Laboratories, Inc. Compositions containing dipepitidyl peptidase IV and tyrosinase or phenylalaninase for reducing opioid-related symptons
US6261794B1 (en) * 1999-10-14 2001-07-17 Saint Louis University Methods for identifying inhibitors of methionine aminopeptidases
US6376375B1 (en) * 2000-01-13 2002-04-23 Delphi Technologies, Inc. Process for preventing the formation of a copper precipitate in a copper-containing metallization on a die
US6395767B2 (en) * 2000-03-10 2002-05-28 Bristol-Myers Squibb Company Cyclopropyl-fused pyrrolidine-based inhibitors of dipeptidyl peptidase IV and method
JP2002009017A (ja) * 2000-06-22 2002-01-11 Mitsubishi Electric Corp 半導体装置の製造方法
JP2002042960A (ja) * 2000-07-25 2002-02-08 Yazaki Corp コネクタ支持機構
US20020037829A1 (en) * 2000-08-23 2002-03-28 Aronson Peter S. Use of DPPIV inhibitors as diuretic and anti-hypertensive agents
US6337069B1 (en) * 2001-02-28 2002-01-08 B.M.R.A. Corporation B.V. Method of treating rhinitis or sinusitis by intranasally administering a peptidase
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
JP2002353161A (ja) * 2001-05-25 2002-12-06 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
JP3822804B2 (ja) * 2001-06-18 2006-09-20 株式会社日立製作所 半導体装置の製造方法
EP1285922A1 (en) * 2001-08-13 2003-02-26 Warner-Lambert Company 1-Alkyl or 1-cycloalkyltriazolo[4,3-a]quinazolin-5-ones as phosphodiesterase inhibitors
US6872323B1 (en) * 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
SE0201976D0 (sv) * 2002-06-24 2002-06-24 Astrazeneca Ab Novel compounds
US6998502B1 (en) * 2002-09-05 2006-02-14 Sabinsa Corporation Convenient process of manufacture for difluoromethylornithine and related compounds
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
KR100542740B1 (ko) * 2002-11-11 2006-01-11 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
ZA200508439B (en) * 2003-05-05 2007-03-28 Probiodrug Ag Medical use of inhibitors of glutaminyl and glutamate cyclases
US7205240B2 (en) * 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7993460B2 (en) * 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
CN1867560A (zh) * 2003-08-13 2006-11-22 武田药品工株式会社 4-嘧啶酮衍生物及其作为肽基肽酶抑制剂的用途
US7223693B2 (en) * 2003-12-12 2007-05-29 Samsung Electronics Co., Ltd. Methods for fabricating memory devices using sacrificial layers and memory devices fabricated by same
KR101099206B1 (ko) * 2004-02-05 2011-12-27 프로비오드룩 아게 신규한 글루타미닐 시클라제 저해제
US7199045B2 (en) * 2004-05-26 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-filled openings for submicron devices and methods of manufacture thereof
JP4671729B2 (ja) * 2005-03-28 2011-04-20 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US20070006893A1 (en) * 2005-07-08 2007-01-11 Bing Ji Free radical initiator in remote plasma chamber clean
JP4783169B2 (ja) * 2006-02-13 2011-09-28 パナソニック株式会社 ドライエッチング方法、微細構造形成方法、モールド及びその製造方法
KR101254275B1 (ko) * 2006-06-20 2013-04-23 가부시키가이샤 아루박 폴리이미드막 도포 장치 및 방법
US8262800B1 (en) * 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
US20100072623A1 (en) * 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
US8129270B1 (en) * 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US8153520B1 (en) * 2009-08-03 2012-04-10 Novellus Systems, Inc. Thinning tungsten layer after through silicon via filling
US8124531B2 (en) * 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8119527B1 (en) * 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9034768B2 (en) * 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8883637B2 (en) * 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030035877A (ko) * 2001-10-31 2003-05-09 미쓰비시덴키 가부시키가이샤 반도체장치의 제조방법
KR20050013187A (ko) * 2004-12-28 2005-02-03 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법

Also Published As

Publication number Publication date
JP2010153852A (ja) 2010-07-08
KR20100067065A (ko) 2010-06-18
JP5916191B2 (ja) 2016-05-11
TWI602941B (zh) 2017-10-21
KR101201074B1 (ko) 2012-11-20
KR20120120918A (ko) 2012-11-02
US20100144140A1 (en) 2010-06-10
TW201028494A (en) 2010-08-01

Similar Documents

Publication Publication Date Title
KR101340674B1 (ko) 갭필 애플리케이션을 위한 저저항의 텅스텐 필름 증착 방법
US9589835B2 (en) Method for forming tungsten film having low resistivity, low roughness and high reflectivity
TWI529806B (zh) 形成鎢接觸點及小臨界尺寸互連線之方法
TWI769204B (zh) 用於遠程電漿程序之腔室調節方法
TWI629373B (zh) 以六氟化鎢(wf6)回蝕進行鎢沉積
US6841203B2 (en) Method of forming titanium film by CVD
US7365005B1 (en) Method for filling of a recessed structure of a semiconductor device
TWI627676B (zh) 於不同尺寸特徵部內之無空穴鎢塡充物
US6218301B1 (en) Deposition of tungsten films from W(CO)6
KR101457829B1 (ko) 회로 컴포넌트들을 정의하기 위한 서브트랙티브 패터닝
TW201936966A (zh) 自限制生長
US20050085070A1 (en) Method for forming metal interconnection line in semiconductor device
JP7407125B2 (ja) エッジエクスクルージョン制御
KR20220079671A (ko) 갭 충전 증착 공정
KR20160140458A (ko) 저 불소 함량을 가진 텅스텐 막들
JP2001291682A (ja) 化学気相堆積により形成された窒化チタン膜のプラズマ処理
US20090071404A1 (en) Method of forming titanium film by CVD
KR102632800B1 (ko) 금속 옥사이드를 환원시키고 제거함으로써 저 저항률 금속 콘택트들 및 상호접속부들을 형성하는 시스템들 및 방법들
US10600685B2 (en) Methods to fill high aspect ratio features on semiconductor substrates with MOCVD cobalt film
US20130146468A1 (en) Chemical vapor deposition (cvd) of ruthenium films and applications for same
JP3129251B2 (ja) コンタクトプラグ形成方法
US20160300731A1 (en) Methods of etchback profile tuning

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161124

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171127

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20181123

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20191127

Year of fee payment: 7