KR101229186B1 - 다중 핀 높이를 가진 FinFET - Google Patents

다중 핀 높이를 가진 FinFET Download PDF

Info

Publication number
KR101229186B1
KR101229186B1 KR1020100112771A KR20100112771A KR101229186B1 KR 101229186 B1 KR101229186 B1 KR 101229186B1 KR 1020100112771 A KR1020100112771 A KR 1020100112771A KR 20100112771 A KR20100112771 A KR 20100112771A KR 101229186 B1 KR101229186 B1 KR 101229186B1
Authority
KR
South Korea
Prior art keywords
semiconductor
fin
strip
sti
pair
Prior art date
Application number
KR1020100112771A
Other languages
English (en)
Other versions
KR20110065326A (ko
Inventor
충린 리
치 치예 예
창윤 창
펭 유안
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20110065326A publication Critical patent/KR20110065326A/ko
Application granted granted Critical
Publication of KR101229186B1 publication Critical patent/KR101229186B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

집적 회로 구조는 반도체 기판과, 반도체 기판 위의 FinFET를 포함하고 있다. FinFET는 반도체 핀과, 반도체 핀의 상면과 측벽 상의 게이트 유전체와, 반도체 핀의 단부에서의 소스/드레인를 포함한다. 제1 쌍의 얕은 트렌치 아이솔레이션(STI) 영역은 소스/드레인 영역 부분 바로 아래 부분을 포함하며, 제1 쌍의 STI 영역은 반도체 스트립에 의해 분리되며 반도체 스트립과 인접하고 있다. 제1 쌍의 STI 영역은 제1 상면을 더 가진다. 제2 쌍의 STI 영역은 게이트 전극 바로 아래 부분을 포함하며, 제2 쌍의 STI 영역은 반도체 스트립에 의해서 서로 분리되며 반도체 스트립과 인접하고 있다. 제2 쌍의 STI 영역은 제1 상면 보다 높은 제2 상면을 가진다.

Description

다중 핀 높이를 가진 FinFET{FINFETS WITH MULTIPLE FIN HEIGHTS}
본 발명은 일반적으로 집적 회로에 관한 것으로서, 특히 반도체 핀(semiconductor fin) 및 FinFET 트랜지스터와 그의 제조 방법에 관한 것이다.
본 출원은 발명의 명칭이 "다중 핀 높이를 가진 FinFET"인 2009년 12월 3일자로 출원된 미국 특허 가출원 제61/266,427호의 우선권 이익을 주장하며 그 내용 전체가 여기서 참조로서 결합 되고 있다.
집적 회로의 축소 규모가 증가하고 고속의 집적 회로 요구 요건이 증가함에 따라, 트랜지스터는 보다 축소된 규격과 함께 높은 구동 전류를 갖는 것이 필요하다. 따라서 FinFET가 개발되었다.
FinFET는 증대된 채널 폭을 갖는데 이는 채널들이 측벽 부분과 핀의 상면 상의 부분을 포함하고 있기 때문이다. 트랜지스터의 구동 전류는 채널 폭에 비례하므로, FinFET의 구동 전류는 플래너 트랜지스터의 구동 전류에 비해 증가된다.
본 발명의 일실시예에 의하면, 집적 회로 구조는 반도체 기판과 반도체 기판 위의 FinFET를 포함하고 있다. FinFET는 반도체 핀과, 반도체 핀의 상면 및 측벽 상의 게이트 유전체와, 게이트 유전체 상의 게이트 전극과, 반도체 핀 단부에서의 소스/드레인 영역을 포함한다. 제1 쌍의 얕은 트렌치 아이솔레이션(STI) 영역은 소스/드레인 영역 부분 바로 아래에 놓여있는 부분을 포함하며, 제1 쌍의 STI 영역은 반도체 스트립과 인접하며 반도체 스트립에 의해서 분리된다. 제1 쌍의 STI 영역은 제1 상면을 더 가진다. 제2 쌍의 STI 영역은 게이트 전극 바로 아래에 놓여있는 부분을 포함하며, 제2 쌍의 STI 영역은 반도체 스트립과 인접하며 반도체 스트립에 의해서 분리된다. 제2 쌍의 STI 영역은 제1 상면 보다 높은 제2 상면을 가진다.
다른 실시예들이 또한 개시된다.
본 발명에 따른 FinFET에 의하면 축소된 크기와 높은 구동 전류를 갖는다.
도 1 내지 도 10은 본 발명의 일실시예에 따른 상이한 핀 높이를 가진 반도체 핀의 제조에 있어서의 중간 단계들의 단면도이다.
도 11a 내지 16b는 본 발명의 또 다른 실시예에 따른 FinFET 제조에 있어서의 중간 단계의 단면도 및 사시도이다.
도 17은 반도체 칩의 디바이스 영역들을 도시하는 도면이다.
도 18은 상이한 핀 높이를 가진 핀들이 있는 2 개의 FinFET를 포함하는 정적 RAM을 도시하는 도면이다.
이후, 본 발명의 상세한 설명을 위해 첨부 도면을 참조하여 본 발명의 실시예에 대해서 설명하기로 한다.
이후 본 발명의 실시예의 이용에 대해서 보다 상세히 설명하기로 한다. 그러나 실시예들이 광범위한 다양한 특정의 문맥으로 실시 가능한 많은 적용 가능한 발명의 개념을 제공함을 이해하여야 한다. 기술된 특정 실시예들은 실시예를 이용하기 위한 일례의 특정의 방법에 지나지 않으며 본 발명의 범위를 제한하지 않는다.
신규의 상이한 핀 높이를 가진 반도체 핀 및 FinFET의 제조 방법이 마련된다. 일실시예의 제조에 있어서의 중간 단계들이 설명된다. 실시예의 변형들이 기술된다. 다양한 도면 및 예증의 실시예를 통해서 동일한 참조 부호는 동일 요소를 가리키기 위해 사용된다.
도 1에 있어서, 동 도면에는 반도체 기판(20)이 마련된다. 일실시예에서 반도체 기판(20)은 실리콘을 포함한다. 탄소, 게르마늄, 갈륨, 비소, 질소, 인듐, 및/또는 인 등과 같이 일반적으로 사용되는 다른 물질들이 또한 반도체 기판(20)에 포함될 수 있다.
반도체 기판(20)은 디바이스 영역(100) 부분과 디바이스 영역(200) 부분을 포함한다. 일실시예에서 디바이스 영역들(100,200)은 필수적으로 로직 코어 영역, 메모리 영역(임베디드 정적 RAM 영역과 같은), 아날로그 영역, 입출력(IO, 또한 주변 장치라고도 불리는) 영역, 더미 영역(더미 패턴을 형성하기 위한) 등등으로 이루어진 그룹에서 선택된 상이한 영역이다. 상기한 디바이스 영역들이 도 17에 개략적으로 도시되어 있다. 일례의 실시예에서 디바이스 영역(100)은 로직 코어 영역이다. 디바이스 영역(100)은 로직 코어 영역이며, 디바이스 영역(200)은 IO 영역이다. 대안의 실시예에서 디바이스 영역(100)은 p 형 FinFET 영역이며, 디바이스 영역(200)은 n 형 FinFET 영역이다.
반도체 기판(20) 상에는 패드 층(22)과 마스크 층(24)이 형성될 수 있다. 패드 층(22)은 예컨대 열 산화 공정을 이용하여 형성된 실리콘 산화물을 포함하는 박막일 수 있다. 패드 층(22)은 반도체 기판(20)과 마스크 층(24) 사이에서 부착층으로서 기능할 수 있다. 패드 층(22)은 또한 마스크 층(24)을 에칭하기 위한 에칭 저지층으로서 기능할 수 있다. 일실시예에서 마스크 층(24)은 예컨대 저압 화학 기상 증착(LPCVD) 방법을 이용하여 실리콘 질화물로 형성된다. 다른 실시예에서 마스크 층(24)은 실리콘의 열적 질화, 플라즈마 인핸스드 화학 기상 증착(PECVD), 또는 플라즈마 양극 질화 방법에 의해서 형성된다. 마스크 층(24)은 후속의 포토리소그래피 공정 동안 하드 마스크로서 사용된다.
STI 영역(30)(30_1 및 30_2로 표시)은 반도체 기판(20)에서 형성된다. STI 영역(30)의 깊이는 약 100 nm 및 약 250 nm 사이에 있을 수 있으며, 상이한 깊이 또한 적용 가능하다. 그러나 상세한 설명에 걸쳐서 인용된 규격은 단지 일례이며 상이한 제조 기술이 사용된다면 변경 가능함을 알 수 있다. STI 영역(30)의 형성은 공지의 방법을 이용하여 수행 가능하며, 따라서 공정의 상세에 대해서는 여기서 상세히 설명되지 않는다.
도 2에 있어서 디바이스 영역(100)은 포토레지스트(134)에 의해서 마스킹되고 디바이스 영역(200)은 노출 상태로 남겨 진다. 노출된 STI 영역(30_2)은 에칭 단계를 통해서 리세스됨으로써, 반도체 기판(20)에서 리세스(236)가 된다. 최종 구조가 도 3에 도시된다. 이처럼 리세스들(236) 사이의 반도체 기판(20)의 부분들은 Hfm2로 표시되는 핀 높이를 가진 핀(238)이 된다. 일례의 실시예에서 핀 높이 Hfm2 는 약 15 nm과 30 nm 사이에 있으며, 그 높이는 또한 그 보다 크거나 혹은 작을 수가 있다. 다음에 포토레지스트(134)가 제거된다.
도 4에 있어서, 디바이스 영역(200)은 포토레지스트(234)에 의해서 마스크 되고 디바이스 영역(100)은 노출된 상태로 남겨진다. 노출된 STI 영역(30)은 에칭 단계를 거쳐서 리세스되어 도 5에서 도시하고 있는 바와 같이 리세스(136)가 된다. 따라서 리세스(136)들 사이의 반도체 기판(20) 부분이 Hfm1 으로 표시되는 핀 높이를 가진 핀(138)이 된다. 일례의 실시예에서 핀 높이 Hfm1 는 약 25 nm과 40 nm 사이에 있으며, 그 높이는 또한 그 보다 크거나 혹은 작을 수가 있다. Hfm1 과 Hfm2 는 서로 상이하다. 핀 높이 차(Hfm2 - Hfm1)는 약 5 nm 보다 크거나 혹은 심지어 10 nm 보다 클 수가 있다. 더욱이 Hfm1 /Hfm12 의 비는 약 1.25 혹은 심지어 1.33 보다 클 수가 있다.
다음에 도 6에 도시한 바와 같이, 마스크 층(24) 및 패드 층(22)이 제거된다. 마스크 층(24)은 실리콘 질화물로 형성되면 핫 H3PO4를 이용하여 습식 공정(wet process)에 의해서 제거될 수 있고, 패드 층(22)은 실리콘 산화물로 형성되면 묽은 HF 산을 이용하여 제거 가능하다. 도 6에 도시한 구조에서 STI 영역(30)의 바닥 아래의 기판(20) 부분은 반도체 기판으로서 취급되며, 핀(138) 및 핀(238)은 반도체 기판 위에 놓여진 것으로서 취급됨을 알 수 있다.
도 7은 디바이스 영역(100)과 디바이스 영역(200)에서의 각각의 FinFET(160,260) 형성을 보여주고 있다. 먼저 웰 도펀트가 예컨대 주입(implantation)에 의해 노출된 핀(138,238)으로 도입된다. 디바이스 영역(100)은 p 형 FinFET 영역이고 디바이스 영역(200)이 n 형 FinFET 영역인 실시예에서, n 형 불순물 주입이 행해져서 인과 같은 n 형 불순물을 핀(138)에 도핑하고, p 형 불순물 주입이 행해져서 붕소와 같은 p 형 불순물을 핀(238)에 도핑한다. 단순화를 위해 웰을 도핑하기 위해 사용되는 마스크는 도시하고 있지 않다. 게이트 유전체(150,250)는 핀(138,238)의 측벽과 상면을 도포하도록 형성된다. 게이트 유전체(150,250)는 열산화법에 의해서 형성 가능하며, 그에 따라 서멀 실리콘 산화물을 포함할 수 있다. 다음에 게이트 전극(152,252)이 게이트 유전체(150,250) 위에 각각 형성된다. 일실시예에서 각각의 게이트 전극(152,252)은 하나 이상의 핀(138,238)을 도포함으로써, 각각의 최종 FinFET(160,260)은 하나 이상의 핀(138,238)을 각각 포함한다. 대안의 실시예에서 각각의 핀(138,238)은 하나의 FinFET를 형성하기 위해 사용 가능하다. 소스 및 드레인 영역과 소스 및 드레인 실리사이드(도시안됨)를 포함하여 FinFET(160,260)의 나머지 구성요소가 형성된다. 이들 구성요소의 형성 공정은 당업자에게는 자명한 것이며 따라서 여기서는 이에 대해서 반복해서 설명하지 않기로 한다.
도 8 내지 도 10은 대안의 실시예를 도시하고 있다. 이 실시예에서 이용된 초기 구조는 도 1에 도시한 것과 유사하다. 다음에는 도 8에 있어서, 디바이스 영역(200)을 위해 포토레지스트(234)를 형성한 후, 제1 불순물을 STI 영역(30_1)으로 도입하기 위해 제1 불순물의 양(dosage)으로 제1 주입이 수행된다. 최종 STI 영역(30_1)은 제1 불순물 농도를 가진다. 다음에 도 9에 도시한 바와 같이 포토레지스트(234)가 제거되고, 포토레지스트(134)가 형성된다. STI 영역(30_2)으로 제2 불순물을 도입하기 위해 제2 불순물의 양으로 제2 주입이 수행된다. 최종 STI 영역은 제2 불순물 농도를 가진다. 일례의 실시예에서 제1 불순물은 인을 포함하고, 제2 불순물은 붕소를 포함한다.
다음에 도 10에 도시한 바와 같이 포토레지스트(134)가 제거되고, STI 영역(30)이 습식 에칭 또는 기타 방법을 이용하여 리세스된다. STI 영역(30_1,30_2)에서의 상이한 불순물 농도도 인해, STI 영역(30_1,30_2)의 에칭율은 상이하며, 그에 따라 최종 핀 높이 Hfm1 및 Hfm2 가 상이하다. 핀 높이 Hfm1 및 Hfm2 의 차이는 패턴 로딩 효과(pattern loading effect)를 도입하기 위해 STI 영역(30_2)의 패턴 밀도와는 상이한 STI 영역(30_1)의 패턴 밀도를 만듦으로써 더 증가될 수 있어, STI 영역(30_1,30_2)의 에칭율의 차이는 더 증가된다. 대안의 실시예에서 도 8 및 도 9에 도시한 바와 같이 STI 도핑은 수행되지 않는다. 그러나 STI 영역(30_1)의 패턴 밀도는 STI 영역(30_2)의 것과는 상이하고, 패턴 로딩 효과를 이용하여 핀 높이 차이를 가져온다.
후속의 단계에서, 마스크 층(24) 및 패드 층(22)이 제거되어 도 6에 도시한 바와 같은 구조가 된다. 다음에 도 7에 도시한 바와 같이 FinFET(160,260)을 형성하도록 공정이 계속된다.
상이한 디바이스 영역에서 핀 높이를 차별화함으로써, 상이한 디바이스 영역에서 FinFET의 핀 높이가 더 이상 함께 연결되지 않음을 의미하는 접합 윈도우(juncton window)가 증가 된다. 상이한 디바이스 영역의 FinFET가 상이한 핀 높이를 가지므로, 상이한 디바이스 영역에서 디바이스의 성능을 조정하는 것이 보다 쉽다. 또한 디바이스 영역(100)에서 FinFET(160)(도 7)이 p 형 FinFET이고 디바이스 영역(200)에서 FinFET(260)가 n 형 FinFET인 실시예에서, p 형 FinFET(160)의 최종 핀 높이는 n 형 FinFET(260)의 핀 높이 보다 크다. 따라서 p 형 FinFET(160)와 n 형 FinFET(260)는 동일 SRAM 셀(도 18)에서 사용된다. 예를 들면, p 형 FinFET(160)는 풀업 트랜지스터일 수 있고, n 형 FinFET(260)는 풀다운 트랜지스터일 수가 있다. p 형 FinFET(160)의 큰 핀 높이 Hfin1 는 n 형 FinFET(260)의 높은 전자 이동도에 비해 낮은 정공 이동도를 보상할 수가 있다. 따라서 p 형 FinFET(160)의 성능과 n 형 FinFET(260)의 성능이 균형을 이룰 수가 있다.
도 11a 내지 도 16b는 또 다른 실시예에 따른 FinFET의 제조에 있어서의 중간 단계를 보여주고 있으며, STI 영역(30)의 리세스 깊이의 차이가 단일 FinFET에 적용된다. 먼저, 도 11a 및 도 11b에서, 기저 반도체 기판(20)과 동일한 물질로 형성된 실리콘 핀일 수 있는 반도체 핀(310)이 형성된다. 반도체 핀(310)의 형성은 도 2 내지 도 6에서 핀(138 또는 238)의 형성과 필수적으로 동일한다. 도 11a는 세로 방향의 단면도를 보여주며, 점선은 반도체 핀(310)과 반도체 기판(20)이 반도체 스트립을 통해 접속됨을 표시한다. 도 11b는 가로 방향의 단면도를 보여주고 있다. 반도체 핀(310)의 핀 높이는 Hfin 이고 반도체 핀의 핀 폭은 Wfin이다.
다음에 사시도인 도 12에 도시한 바와 같이 게이트 유전체(314) 및 게이트 전극(316)이 형성된다. 게이트 유전체(314)는 반도체 핀(310)의 측벽과 상면에 형성된다. 다음에 약하게 도핑된 소스 및 드레인(LDD) 영역(도시안됨)이 반도체 핀(310)을 주입함으로써 형성 가능하다. 일실시예에서 도 13에 도시한 바와 같은 슬림 스페이서(318)는 게이트 유전체(314)와 게이트 전극(316)의 측벽에서 형성 가능하며, LDD 영역은 슬림 스페이서(318)의 형성 이전 혹은 이후에 형성 가능하다. 옵션으로 질화물로 형성 가능한 마스크 층(317)이 형성된다. 도 13은 또한 마스크 층(317)을 보여주고 있다.
다음에는 도 14a에 도시한 바와 같이 게이트 스페이서(320)가 형성된다. 게이트 스페이서(320)는 이전에 형성된 슬림 스페이서(318)를 포함할 수 있다. 게이트 스페이서(320)는 많은 상이한 변형을 가질 수가 있다. 예컨대 도 14a에 도시한 바와 같이 각각의 게이트 스페이서(320)는 질화물-산화물-질화물-산화물(NONO 구조)을 가질 수가 있다. 대안의 실시예에서 각각의 게이트 스페이서(320)는 산화물 층(NO 구조로 지칭됨) 상에 질화물 층을 단지 가질 수 있다. 게이트 전극(316)에 의해서 도포되지 않는 반도체 핀(310)의 대향 측벽에서 노출된 STI 영역 부분이 리세스된다. 도 14a에 도시한 구조의 사시도가 도 14b에 도시되고 있다. 반도체 핀(310)의 높이를 명확하게 도시하기 위해 게이트 스페이서(320)는 도시되고 있지 않다. 최종 구조에서 반도체 핀(310)은 2 개의 높이를 가진다. 게이트 스페이서(320) 및 게이트 전극(316)에 의해서 도포되는 반도체 핀(310) 부분(최조 FinFET의 채널 부분을 또한 포함함)은 핀 높이가 도 11b에 도시한 바와 동일한 핀 높이 Hfin를 가진다. STI 영역(30)의 리세싱 결과로서, 도포되지 않는 반도체 핀(310) 부분은 증가된 핀 높이 Hfin'를 가진다. 일실시예에서 Hfin는 약 2 nm 보다 큰 핀 높이 Hfin 이거나 혹은 약 10 nm 보다 큰 핀 높이일 수가 있다. 대안적으로 Hfin'/Hfin 비는 약 1.05 보다 클 수 있고, 심지어 약 1.08 보다 크거나 약 1.05 및 약 1.5 사이에 있을 수가 있다.
다음에 도 15a에 도시한 바와 같이 에피택셜 반도체 층(324)은 반도체 핀(310)의 노출된 부분 상에서 에피택셜 성장된다. 에피택셜 반도체 층(324)은 실리콘, 게르마늄, 탄소 및/또는 기탄 반도체 물질을 포함할 수 있다. 최종 FinFET가 p 형인 일실시예에서, 에피택셜 반도체 층(324)은 실리콘과 가능하게는 게르마늄 및 실리콘을 포함할 수 있다. 최종 FinFET가 n 형인 대안의 실시예에서, 에피택셜 반도체 층(324)은 실리콘과 가능하게는 탄소 및 실리콘을 포함할 수가 있다. 에피택셜 반도체 층(324)의 두께 T는 약 10 nm 보다 클 수가 있다.
도 15b는 도 15a에 도시한 구조의 또 다른 단면도이며, 단면은 도 15a에서 선 15B-15B를 가로지르는 수직면으로부터 얻어진다. 핀 높이 Hfin 는 도 15b에 표시되어 있다. 도 15c는 도 15a에 도시한 구조의 또 다른 단면도이며, 단면은 도 15a에서 선 15C-15C를 가로지르는 수직면으로부터 얻어진다. 핀 높이 Hfin' 는 도 15c에 표시되어 있다. 도 15b와 15c를 비교하여 보면, 증가된 핀 높이 Hfin' 로 인해 에피택셜 반도체 층(324)의 체적이 증가됨이 관측된다. 반도체 핀(310)의 핀 높이가 값 Hfin 에서 값 Hfin' 로 증가되지 않으면, 에피택셜 반도체 층(324)은 점선(328) 위의 영역으로 제한될 것이다. 도 15b 및 15c에서 명확한 가시적 바닥부가 없을지라도, 반도체 핀(310)은 반도체 핀(310) 부분의 대향측의 STI(30)의 상면을 가진 바닥 레벨을 갖는 것으로 고려된다. 따라서 도 15b에 도시한 바와 같이 전극(316) 바로 아래에 있는 반도체 핀(310) 부분의 바닥은 선(327)으로 도시되고 있고 도 15c에서 반도체 핀(310) 부분의 바닥은 게이트 전극(316)에 의해서 도포되지 않으며 게이트 스페이서(320)는 선(329)으로 도시되고 있다. 바닥(329)은 바닥(327) 보다 낮다.
도 16a에서, 반도체 핀(310)의 소스 및 드레인 영역(도시안됨)과 에피택셜 반도체 층(324)을 형성하기 위해 주입이 수행된다. 마스크 층(317)은 또한 제거되고, 소스/드레인 실리사이드 영역(330)과 게이트 실리사이드 영역(332)은 에피택셜 반도체 층(324) 상에 형성된다. 소스 및 드레인 영역과 실리사이드 영역(330)의 형성은 공지의 방법을 채용할 수가 있다. 실리사이드 영역(330,332)의 형성 후 에피택셜 반도체 층(324)은 전체적으로 혹은 부분적으로 소모될 수가 있다. 최종 구조에서 실리사이드 영역(330)은 에피택셜 반도체 층(324)의 나머지 부분에 의해서 반도체 핀(310)과 분리되거나 혹은 콘택트 반도체 핀(310)에 의해서 직접 분리될 수가 있다.
도 16b는 도 16a에 도시한 구조의 또 다른 단면도이며, 단면도는 도 16a에서 선 16B-16B를 가로지르는 수직면으로부터 구해진다. 에피택셜 반도체 층(324)의 에피택셜 형성 전 STI 영역(30)을 리세싱함으로써 소스 및 드레인 영역의 체적이 증가됨이 관찰된다. 최종 FinFET의 채널 영역에 가해진 바람직한 인장 또는 압축 응력은 또한 응력 가해진 소스 및 드레인 영역의 증가된 체적으로 인해 증가된다. 또한 실리사이드 영역(330)의 크기가 에피택셜 반도체 층(324)의 증가된 측벽 영역으로 인해 또한 증가되므로, 실리사이드 영역(330)에 집중하는 전류 또한 감소된다.
비록 실시예들 및 그들의 이점에 관해서 상세히 기술하였지만 첨부된 청구범위에 의해서 한정되는 바와 같이 실시예들의 사상 및 범위를 일탈하지 않는 다양한 변경, 치환 및 수정이 행해질 수 있음을 알아야 한다. 더욱이 본 발명의 범위는 명세서에서 기술하고 있는 공정, 머신, 제조 및 발명의 대상, 수단, 방법 및 단계들의 조합에 제한되지 않는다. 당업자라면 명세서에서 기술하고 있는 대응 실시예와 동일한 실질적으로 동일한 결과를 달성하거나 실질적으로 동일한 기능을 수행하는 개시 내용, 공정, 머신, 제조, 조성물, 수단, 방법, 또는 현재 혹은 나중에 개발될 단계가 본 발명에 따라 이용 가능함을 용이하게 이해할 수가 있을 것이다. 따라서, 첨부된 청구범위는 이러한 공정, 머신, 제조, 조성물, 수단, 방법 또는 단계 내에 포함되는 것으로 의도된다. 또한, 각각의 청구범위는 개개의 실시예를 구성하며 다양한 청구범위 및 실시예의 조합은 본 발명의 범위 내에 있는 것으로 의도된다.
20 반도체 기판
22 패드 층
24 마스크 층
30 STI 영역
100,200 디바이스 영역

Claims (10)

  1. 집적 회로 구조에 있어서,
    반도체 기판과,
    상기 반도체 기판 상의 FinFET으로서, 반도체 핀, 상기 반도체 핀의 상면 및 측벽들 상의 게이트 유전체, 상기 게이트 유전체 상의 게이트 전극, 및 상기 반도체 핀의 단부에서의 소스/드레인 영역을 포함하는 것인, 상기 FinFET;
    상기 소스/드레인 영역의 일부 바로 아래에 놓여있는(underlying) 부분들을 포함하는 제1 쌍의 얕은 트렌치 아이솔레이션(STI) 영역으로서, 상기 제1 쌍의 STI 영역은 반도체 스트립에 의해 분리되고 상기 반도체 스트립과 인접하며, 상기 제1 쌍의 STI 영역은 제1 상면들을 갖는 것인, 상기 제1 쌍의 STI 영역;
    상기 게이트 전극 바로 아래 놓여있는 부분들을 포함하는 제2 쌍의 STI 영역으로서, 상기 제2 쌍의 STI 영역은 상기 반도체 스트립에 의해서 서로 분리되고 상기 반도체 스트립과 인접하며, 상기 제2 쌍의 STI 영역은 상기 제1 상면들보다 높은 제2 상면들을 갖는 것인, 상기 제2 쌍의 STI 영역
    을 포함하고,
    상기 소스/드레인 영역은 상기 반도체 핀과 동일한 반도체 물질로 형성된 중앙 부분과, 상기 중앙 부분의 상면 및 측벽들 상의 에피택셜 반도체 층을 포함하고,
    상기 에피택셜 반도체 층은 상기 제1 상면들 바로 위의 부분을 포함하는 것인, 집적 회로 구조.
  2. 제1항에 있어서, 상기 게이트 전극의 측벽 상의 게이트 스페이서를 더 포함하며, 상기 게이트 스페이서는 상기 제2 쌍의 STI 영역 바로 위에 있는 것인, 집적 회로 구조.
  3. 제1항에 있어서, 상기 반도체 스트립은 상기 반도체 핀 바로 아래에 있고 상기 반도체 핀과 연속 영역을 형성하며, 상기 반도체 스트립은 제1 핀 부분 바로 아래에 놓여있는 제1 스트립 부분과 상기 소스/드레인 영역 바로 아래에 놓여있는 제2 스트립 부분을 포함하는 것인, 집적 회로 구조.
  4. 삭제
  5. 집적 회로 구조에 있어서,
    반도체 기판;
    FinFET - 상기 FinFET은,
    제1 바닥을 가진 제1 핀 부분 및 상기 제1 바닥보다 낮은 제2 바닥을 가지며 상기 제1 핀 부분과 인접하는 제2 핀 부분을 포함하는 반도체 핀과,
    상기 제1 핀 부분의 상면과 측벽들 상에 있고, 상기 제2 핀 부분 상에 없는 게이트 유전체와,
    상기 게이트 유전체 상의 게이트 전극
    을 포함함 - ;
    상기 반도체 핀 바로 아래에 놓여있고 상기 반도체 핀과 연속 영역을 형성하는 반도체 스트립으로서, 상기 반도체 스트립은 상기 제1 핀 부분 바로 아래에 놓여있는 제1 스트립 부분과, 상기 제2 핀 부분 바로 아래에 놓여있는 제2 스트립 부분을 포함하는 것인, 상기 반도체 스트립;
    상기 제1 스트립 부분과 인접하며 상기 제1 핀 부분의 제1 바닥과 동일한 레벨에 있는 제1 상면을 갖는 제1 STI 부분;
    상기 제2 스트립 부분과 인접하며 상기 제2 핀 부분의 제2 바닥과 동일한 레벨에 있는 제2 상면 - 상기 제2 상면은 상기 제1 상면 보다 낮음 - 을 갖는 제2 STI 부분; 및
    상기 제2 핀 부분의 상면과 측벽들 상에 있고, 상기 제2 STI 부분 바로 위 부분을 포함하는 에피택셜 반도체 층
    을 포함하는, 집적 회로 구조.
  6. 제5항에 있어서, 상기 게이트 전극의 측벽 상의 게이트 스페이서를 더 포함하며, 상기 게이트 스페이서는 상기 제1 핀 부분 바로 위에 있는 것인, 집적 회로 구조.
  7. 삭제
  8. 집적 회로 구조에 있어서,
    반도체 기판;
    상기 반도체 기판 위의 FinFET - 상기 FinFET은,
    반도체 핀과,
    상기 반도체 핀의 상면과 측벽들 상의 게이트 유전체와,
    상기 게이트 유전체 상의 게이트 전극과,
    상기 게이트 전극의 측벽 상의 게이트 스페이서와,
    상기 반도체 핀의 단부에서의 소스/드레인 영역을 포함함 - ;
    상기 반도체 핀 바로 아래에 놓여있고 상기 반도체 핀과 함께 연속의 반도체 영역을 형성하는 반도체 스트립; 및
    상기 반도체 스트립과 인접하는 얕은 트렌치 아이솔레이션(STI) 영역으로서, 상기 STI 영역의 상면은 상기 게이트 스페이서의 외곽 측벽에 수직 정렬된 단차(step)를 포함하는 것인, 상기 STI 영역
    을 포함하고,
    상기 STI 영역은 상기 게이트 전극 바로 아래에 놓여있고 제1 상면을 가진 제1 부분과, 상기 소스/드레인 영역 바로 아래에 놓여있고 상기 제1 상면 보다 낮은 제2 상면을 가진 제2 부분을 포함하며,
    상기 소스/드레인 영역은 상기 반도체 핀의 반도체 물질과 상이한 반도체 물질을 포함하며, 상기 소스/드레인 영역은 상기 반도체 핀과 동일한 반도체 물질로 형성된 중앙 부분과, 상기 중앙 부분의 상면과 측벽들 상의 에피택셜 반도체 층을 포함하며,
    상기 에피택셜 반도체 층은 상기 제2 부분 바로 위의 부분을 포함하는 것인, 집적 회로 구조.
  9. 삭제
  10. 삭제
KR1020100112771A 2009-12-03 2010-11-12 다중 핀 높이를 가진 FinFET KR101229186B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US26642709P 2009-12-03 2009-12-03
US61/266,427 2009-12-03
US12/843,595 2010-07-26
US12/843,595 US8373238B2 (en) 2009-12-03 2010-07-26 FinFETs with multiple Fin heights

Publications (2)

Publication Number Publication Date
KR20110065326A KR20110065326A (ko) 2011-06-15
KR101229186B1 true KR101229186B1 (ko) 2013-02-01

Family

ID=44081201

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100112771A KR101229186B1 (ko) 2009-12-03 2010-11-12 다중 핀 높이를 가진 FinFET

Country Status (5)

Country Link
US (3) US8373238B2 (ko)
JP (1) JP5554690B2 (ko)
KR (1) KR101229186B1 (ko)
CN (1) CN102088036B (ko)
TW (1) TWI429079B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10304840B2 (en) 2015-06-02 2019-05-28 Samsung Electronics Co., Ltd. Semiconductor device having stressor and method of manufacturing the same

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102074582B (zh) * 2009-11-20 2013-06-12 台湾积体电路制造股份有限公司 集成电路结构及其形成方法
US9087725B2 (en) 2009-12-03 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with different fin height and EPI height setting
FR2961951A1 (fr) * 2010-06-23 2011-12-30 Commissariat Energie Atomique Transistor a largeur de grille effective augmentee, dispositif semi-conducteur comportant un tel transistor et procede de realisation
US8354319B2 (en) * 2010-10-15 2013-01-15 International Business Machines Corporation Integrated planar and multiple gate FETs
KR20120102932A (ko) * 2011-03-09 2012-09-19 에스케이하이닉스 주식회사 반도체 소자 및 그 제조방법
JP2012234964A (ja) * 2011-04-28 2012-11-29 Elpida Memory Inc 半導体装置及びその製造方法
US8837203B2 (en) * 2011-05-19 2014-09-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
CN102867751B (zh) * 2011-07-08 2015-09-09 中国科学院微电子研究所 一种全硅化金属栅体硅多栅鳍型场效应晶体管的制备方法
US9232788B2 (en) * 2011-11-02 2016-01-12 Honeywell International Inc. Fumigation methods
US9029221B2 (en) * 2011-12-21 2015-05-12 Intel Corporation Semiconductor devices having three-dimensional bodies with modulated heights
KR101700213B1 (ko) * 2011-12-21 2017-01-26 인텔 코포레이션 금속 산화물 반도체 소자 구조용 핀의 형성 방법
US8637931B2 (en) * 2011-12-27 2014-01-28 International Business Machines Corporation finFET with merged fins and vertical silicide
US8759184B2 (en) 2012-01-09 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US8609499B2 (en) * 2012-01-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US8659097B2 (en) 2012-01-16 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Control fin heights in FinFET structures
US9041158B2 (en) * 2012-02-23 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming fin field-effect transistors having controlled fin height
US8809178B2 (en) * 2012-02-29 2014-08-19 Globalfoundries Inc. Methods of forming bulk FinFET devices with replacement gates so as to reduce punch through leakage currents
US8860148B2 (en) * 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8921218B2 (en) * 2012-05-18 2014-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate finFET device and method of fabricating thereof
US8669147B2 (en) 2012-06-11 2014-03-11 Globalfoundries Inc. Methods of forming high mobility fin channels on three dimensional semiconductor devices
US8723268B2 (en) 2012-06-13 2014-05-13 Synopsys, Inc. N-channel and P-channel end-to-end finFET cell architecture with relaxed gate pitch
US8901615B2 (en) 2012-06-13 2014-12-02 Synopsys, Inc. N-channel and P-channel end-to-end finfet cell architecture
US8969974B2 (en) * 2012-06-14 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
JP5856545B2 (ja) * 2012-07-06 2016-02-09 株式会社東芝 半導体装置及びその製造方法
US20140065799A1 (en) * 2012-09-03 2014-03-06 Intermolecular, Inc. Methods and Systems for Low Resistance Contact Formation
US9054212B2 (en) * 2012-10-30 2015-06-09 Globalfoundries Inc. Fin etch and Fin replacement for FinFET integration
CN103811339B (zh) * 2012-11-09 2016-12-21 中国科学院微电子研究所 半导体器件及其制造方法
KR101983633B1 (ko) 2012-11-30 2019-05-29 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8815668B2 (en) 2012-12-07 2014-08-26 International Business Machines Corporation Preventing FIN erosion and limiting Epi overburden in FinFET structures by composite hardmask
US9093566B2 (en) 2012-12-31 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. High efficiency FinFET diode
KR102049774B1 (ko) 2013-01-24 2019-11-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
KR102003276B1 (ko) 2013-02-14 2019-07-24 삼성전자 주식회사 반도체 소자 제조 방법
US9312344B2 (en) * 2013-03-13 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming semiconductor materials in STI trenches
US8829606B1 (en) 2013-03-13 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Ditches near semiconductor fins and methods for forming the same
US8999792B2 (en) 2013-03-15 2015-04-07 Qualcomm Incorporated Fin-type semiconductor device
SG11201601319QA (en) 2013-09-27 2016-03-30 Intel Corp Ge and iii-v channel semiconductor devices having maximized compliance and free surface relaxation
US9673194B2 (en) * 2013-10-31 2017-06-06 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and formation thereof
US9431523B2 (en) 2014-01-16 2016-08-30 Globalfoundries Inc. Local thinning of semiconductor fins
US10164107B2 (en) 2014-01-24 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with laterally extended portion
US9853154B2 (en) * 2014-01-24 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
US9190328B2 (en) 2014-01-30 2015-11-17 International Business Machines Corporation Formation of fins having different heights in fin field effect transistors
US9653461B2 (en) * 2014-03-28 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with low source/drain contact resistance
US9590105B2 (en) * 2014-04-07 2017-03-07 National Chiao-Tung University Semiconductor device with metal alloy over fin, conductive layer over channel region of fin, and semiconductive layer over conductive layer and formation thereof
US9887196B2 (en) * 2014-04-07 2018-02-06 International Business Machines Corporation FinFET including tunable fin height and tunable fin width ratio
US9418903B2 (en) 2014-05-21 2016-08-16 Globalfoundries Inc. Structure and method for effective device width adjustment in finFET devices using gate workfunction shift
CN105185745B (zh) * 2014-06-12 2018-03-30 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
US9966471B2 (en) * 2014-06-27 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked Gate-All-Around FinFET and method forming the same
US9583342B2 (en) 2014-07-24 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET doping methods and structures thereof
KR102227128B1 (ko) 2014-09-03 2021-03-12 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN105470295B (zh) * 2014-09-09 2020-06-30 联华电子股份有限公司 鳍状结构及其制造方法
KR102255174B1 (ko) 2014-10-10 2021-05-24 삼성전자주식회사 활성 영역을 갖는 반도체 소자 및 그 형성 방법
KR102245133B1 (ko) 2014-10-13 2021-04-28 삼성전자 주식회사 이종 게이트 구조의 finFET를 구비한 반도체 소자 및 그 제조방법
US9543438B2 (en) * 2014-10-15 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contact resistance reduction technique
TWI612671B (zh) 2014-10-24 2018-01-21 聯華電子股份有限公司 半導體元件及其製作方法
US9418994B1 (en) 2015-03-26 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Fin field effect transistor (FinFET) device structure
US10312149B1 (en) 2015-03-26 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd Fin field effect transistor (FinFET) device structure and method for forming the same
US9324792B1 (en) 2015-03-31 2016-04-26 International Business Machines Corporation FinFET including varied fin height
EP3311399A4 (en) * 2015-06-22 2019-02-27 Intel Corporation DOUBLE HEIGHT GLASS FOR DOPING FINFET
CN107667430B (zh) * 2015-06-26 2022-07-22 英特尔公司 高迁移率半导体源极/漏极隔离物
US9548216B1 (en) * 2015-07-26 2017-01-17 United Microelectronics Corp. Method of adjusting channel widths of semiconductive devices
TWI672766B (zh) * 2015-08-10 2019-09-21 聯華電子股份有限公司 隔離元件及其製作方法
US9620644B2 (en) * 2015-09-02 2017-04-11 International Business Machines Corporation Composite spacer enabling uniform doping in recessed fin devices
CN108028281B (zh) 2015-09-25 2022-04-15 英特尔公司 具有带偏移半导体源极/漏极衬垫的高迁移率场效应晶体管
WO2017052608A1 (en) 2015-09-25 2017-03-30 Intel Corporation High-electron-mobility transistors with counter-doped dopant diffusion barrier
DE112015006945T5 (de) 2015-09-25 2018-06-21 Intel Corporation Transistoren mit hoher Elektronenbeweglichkeit mit Heteroübergang-Dotierstoffdiffusionsbarriere
US10340374B2 (en) 2015-09-25 2019-07-02 Intel Corporation High mobility field effect transistors with a retrograded semiconductor source/drain
JP6560087B2 (ja) 2015-09-30 2019-08-14 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
KR102323943B1 (ko) 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
EP3368146B1 (en) 2015-10-26 2021-04-07 Halo Neuro, Inc. Electrode positioning system
US9620503B1 (en) * 2015-11-16 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9773871B2 (en) * 2015-11-16 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9397006B1 (en) 2015-12-04 2016-07-19 International Business Machines Corporation Co-integration of different fin pitches for logic and analog devices
US9831119B2 (en) * 2015-12-28 2017-11-28 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
CN105679706B (zh) * 2016-04-07 2018-08-24 上海华力微电子有限公司 一种平衡存储单元区填充与逻辑区栅氧完整性的工艺方法
US9842932B1 (en) * 2016-05-26 2017-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET with P/N stacked fins and method for fabricating the same
US10957769B2 (en) 2016-06-17 2021-03-23 Intel Corporation High-mobility field effect transistors with wide bandgap fin cladding
US10485443B2 (en) 2016-06-20 2019-11-26 Halo Neuro, Inc. Electrical interface system
US9865595B1 (en) * 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US10083873B1 (en) 2017-06-05 2018-09-25 Globalfoundries Inc. Semiconductor structure with uniform gate heights
KR20200083981A (ko) * 2017-11-30 2020-07-09 인텔 코포레이션 진보된 집적 회로 구조체 제조를 위한 핀 패터닝
US10629706B2 (en) * 2018-05-10 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Fin and gate dimensions for optimizing gate formation
US11011517B2 (en) 2019-01-02 2021-05-18 International Business Machines Corporation Semiconductor structure including first FinFET devices for low power applications and second FinFET devices for high power applications
US11545575B2 (en) 2020-07-02 2023-01-03 Globalfoundries U.S. Inc. IC structure with fin having subfin extents with different lateral dimensions
US11211453B1 (en) 2020-07-23 2021-12-28 Globalfoundries U.S. Inc. FinFET with shorter fin height in drain region than source region and related method

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070122954A1 (en) * 2005-07-01 2007-05-31 Synopsys, Inc. Sequential Selective Epitaxial Growth

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100458288B1 (ko) * 2002-01-30 2004-11-26 한국과학기술원 이중-게이트 FinFET 소자 및 그 제조방법
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
KR100513405B1 (ko) 2003-12-16 2005-09-09 삼성전자주식회사 핀 트랜지스터의 형성 방법
JP2005294789A (ja) * 2004-03-10 2005-10-20 Toshiba Corp 半導体装置及びその製造方法
US7238601B2 (en) * 2004-09-10 2007-07-03 Freescale Semiconductor, Inc. Semiconductor device having conductive spacers in sidewall regions and method for forming
US8466490B2 (en) 2005-07-01 2013-06-18 Synopsys, Inc. Enhanced segmented channel MOS transistor with multi layer regions
US7508031B2 (en) 2005-07-01 2009-03-24 Synopsys, Inc. Enhanced segmented channel MOS transistor with narrowed base regions
US7247887B2 (en) 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US7605449B2 (en) 2005-07-01 2009-10-20 Synopsys, Inc. Enhanced segmented channel MOS transistor with high-permittivity dielectric isolation material
US7265008B2 (en) 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
JP2007073831A (ja) * 2005-09-08 2007-03-22 Toshiba Corp 半導体装置の製造方法
JP2007258485A (ja) * 2006-03-23 2007-10-04 Toshiba Corp 半導体装置及びその製造方法
US20080157225A1 (en) 2006-12-29 2008-07-03 Suman Datta SRAM and logic transistors with variable height multi-gate transistor architecture
US7939862B2 (en) 2007-05-30 2011-05-10 Synopsys, Inc. Stress-enhanced performance of a FinFet using surface/channel orientations and strained capping layers
JP5069070B2 (ja) * 2007-09-27 2012-11-07 ラピスセミコンダクタ株式会社 半導体装置の製造方法
CN102074582B (zh) * 2009-11-20 2013-06-12 台湾积体电路制造股份有限公司 集成电路结构及其形成方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070122954A1 (en) * 2005-07-01 2007-05-31 Synopsys, Inc. Sequential Selective Epitaxial Growth

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10304840B2 (en) 2015-06-02 2019-05-28 Samsung Electronics Co., Ltd. Semiconductor device having stressor and method of manufacturing the same
US10629604B2 (en) 2015-06-02 2020-04-21 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device having stressor

Also Published As

Publication number Publication date
TW201121051A (en) 2011-06-16
US8748993B2 (en) 2014-06-10
US20110133292A1 (en) 2011-06-09
CN102088036A (zh) 2011-06-08
TWI429079B (zh) 2014-03-01
US8673709B2 (en) 2014-03-18
JP5554690B2 (ja) 2014-07-23
CN102088036B (zh) 2013-01-30
US20130149826A1 (en) 2013-06-13
US20140035043A1 (en) 2014-02-06
US8373238B2 (en) 2013-02-12
JP2011119724A (ja) 2011-06-16
KR20110065326A (ko) 2011-06-15

Similar Documents

Publication Publication Date Title
KR101229186B1 (ko) 다중 핀 높이를 가진 FinFET
US9711412B2 (en) FinFETs with different fin heights
US9721829B2 (en) FinFETs with different fin height and EPI height setting
US9263549B2 (en) Fin-FET transistor with punchthrough barrier and leakage protection regions
KR100772935B1 (ko) 트랜지스터 및 그 제조 방법
US20100327363A1 (en) Semiconductor device and method for fabricating the same
US20120068268A1 (en) Transistor structure and method of fabricating the same
JP2006303501A (ja) PFETの移動度を強化したステップ埋め込みSiGe構造
JP2006019727A (ja) 勾配付き組み込みシリコン−ゲルマニウムのソース−ドレイン及び/又は延長部をもつ、歪みp型mosfetの構造及びこれを製造する方法
CN102074582A (zh) 集成电路结构及其形成方法
US10014406B2 (en) Semiconductor device and method of forming the same
US7772095B2 (en) Integrated circuit having localized embedded SiGe and method of manufacturing
US7964921B2 (en) MOSFET and production method of semiconductor device
US9263345B2 (en) SOI transistors with improved source/drain structures with enhanced strain
TWI703675B (zh) 半導體元件及其製造方法
JP2004146825A (ja) Mosトランジスター及びその製造方法
KR101544509B1 (ko) 트랜지스터를 갖는 반도체소자의 제조방법
KR20140122644A (ko) 핀펫 및 그 제조 방법
KR20110070077A (ko) 반도체 소자의 트랜지스터 및 그 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160112

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170120

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180110

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190111

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20200114

Year of fee payment: 8