KR101131681B1 - 성막 장치, 성막 방법 및 기억 매체 - Google Patents

성막 장치, 성막 방법 및 기억 매체 Download PDF

Info

Publication number
KR101131681B1
KR101131681B1 KR1020097020162A KR20097020162A KR101131681B1 KR 101131681 B1 KR101131681 B1 KR 101131681B1 KR 1020097020162 A KR1020097020162 A KR 1020097020162A KR 20097020162 A KR20097020162 A KR 20097020162A KR 101131681 B1 KR101131681 B1 KR 101131681B1
Authority
KR
South Korea
Prior art keywords
gas
processing
shower head
substrate
central region
Prior art date
Application number
KR1020097020162A
Other languages
English (en)
Other versions
KR20090130006A (ko
Inventor
도시오 다카기
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20090130006A publication Critical patent/KR20090130006A/ko
Application granted granted Critical
Publication of KR101131681B1 publication Critical patent/KR101131681B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

성막 장치는 처리용기(31)와, 처리용기(31)내에 배치되고 기판(W)를 탑재하기 위한 탑재대(71)를 구비하고 있다. 성막장치는 또 다수의 가스 공급 구멍(61a, 62a, 63a)을 갖고, 해당 기판(W)의 중앙부에 대향하는 중앙영역(53)과 해당 기판(W)의 주연부에 대향하는 주연 영역(54)으로 구획된 가스 샤워 헤드(51)와, 중앙 영역(53)에 제 1 처리 가스를 공급하는 제 1 처리 가스 공급 수단과, 중앙 영역(53)에 제 2 처리 가스를 공급하는 제 2 처리 가스 공급 수단과, 기판(W)상에서 제 1 처리 가스와 제 2 처리 가스를 반응시키기 위한 에너지를 공급하는 에너지 공급수단과, 제 1 처리 가스의 공급과 제 2 처리 가스의 공급을 전환할 때에, 가스 샤워 헤드(51)의 중앙 영역(53) 및 주연 영역(54)에 퍼지가스를 공급하기 위한 퍼지가스 공급수단을 구비하고 있다.

Description

성막 장치, 성막 방법 및 기억 매체{FILMING APPARATUS, FILMING METHOD, AND STORAGE MEDIUM}
관련된 출원의 상호참조
본원은 2007년 3월 27일에 출원된 일본특허출원 제2007-082533호에 대해 우선권을 주장하고, 해당 특허출원 제2007-082533호의 모든 내용이 참조되어 여기에 포함되는 것으로 한다.
본 발명은 제 1 처리 가스와 제 2 처리 가스를 복수회 교대로 전환해서 공급하는 것에 의해, 기판상에 이들 처리 가스의 반응 생성물을 성막하는 기술에 관한 것이다.
반도체 제조 프로세스에 있어서의 성막 방법으로서, 기판의 표면에 제 1 처리 가스를 흡착시키고, 다음에 제 1 처리 가스로부터 제 2 처리 가스로 전환하여, 양 가스의 반응에 의해 1층 혹은 소수층의 원자층이나 분자층을 형성하고, 이 사이클을 복수회 실행하는 것에 의해, 이들 층을 적층하여 기판상에 성막하는 방법이 알려져 있다. 이 방법은 예를 들면 ALD(Atomic Layer Deposition)나 MLD(Molecular Layer Deposition) 등으로 불리고 있으며, 사이클 수에 따라 막두께를 고정밀도로 컨트롤할 수 있는 동시에, 막질의 면내 균일성도 양호하고, 반도체 디바이스의 박막화에 대응할 수 있는 유효한 방법이다. 이 방법을 실시하기 위해, 예를 들면 도 15에 나타내는 성막 장치(100)가 이용되고 있다(일본 특허공개공보 제2004-6733([0056] 및 도 8) 참조).
이 성막 장치(100)에서는 예를 들면 기판(101)의 측쪽(도 15 중 우측)에 마련된 처리 가스 공급구(102)로부터 예를 들면 금속화합물을 포함하는 가스인 제 1 처리 가스를 공급하는 동시에, 이 처리 가스 공급구(102)에 대향하도록 마련된 배기구(104)로부터 제 1 처리 가스를 배기함으로써, 기판(101)상에 이 처리 가스를 흡착시킨다. 다음에, 처리 가스 공급구(102)의 반대측(도 15 중 좌측)의 오존 가스 공급구(103)로부터 제 2 처리 가스로서 오존 가스를 공급하는 동시에, 배기구(105)로부터 이 가스를 배기하고, 기판(101)상에 흡착한 제 1 처리 가스를 산화하여 산화물의 막을 형성한다. 이 제 1 처리 가스의 흡착과 산화로 이루어지는 처리의 사이클을 복수회 예를 들면 100회 반복하도록, 제 1 처리 가스와 오존 가스의 공급을 복수회 전환함으로써, 원하는 막두께의 산화물이 얻어진다.
이 제 1 처리 가스와 오존 가스를 교대로 공급하는 사이클은 예를 들면 수초마다 실행되므로, 배기구(104, 105)를 고속으로 전환할 필요가 있다. 그 때문에, 이 배기구(104, 105)에는 고속회전 밸브(106)가 마련되어 있고, 상기의 사이클에 맞추어 이 고속회전 밸브(106)를 회전시킴으로써 배기구(104, 105)의 개폐를 실행하고, 배기처를 고속으로 전환하고 있다.
이 성막은 상기와 같이 기판(101)의 한쪽측으로부터 다른쪽측(도면 중 횡방향)으로 가스를 통류시키는 사이드 플로 방식으로 실행하고 있으므로, 횡방향에 있어서의 막두께나 막질의 편석(偏析)을 억제하기 위해, 예를 들면 200~240℃ 정도의 저온의 온화한 분위기하에 있어서 실행된다.
한편, 산화지르코늄(ZrO2) 등의 고유전체 재료를 성막하는 경우에는 제 1 처리 가스로서, 예를 들면 TEMAZ(테트라키스에틸메틸아미노지르코늄) 가스 등이 이용된다. 이와 같은 가스는 저온에서는 그다지 분해하지 않으므로, 이 상태에서 성막하면, 불순물이 막중에 받아들여져, 막질이 악화되어 버린다. 그 때문에, 이러한 종류의 재료는 예를 들면 280℃ 정도의 고온에서 성막되지만, 이와 같은 고온에서는 반응의 진행방법이 빠르고, 한번의 사이클에서 성막되는 막두께가 두꺼워지는 경향이 있고, 사이드 플로 방식의 경우에는 기판(101)의 표면에 있어서의 가스의 이동 거리가 길기 때문에, 예를 들면 가스의 공급측에서는 막두께가 두꺼워지고, 배기측에서는 막두께가 얇아지는 등, 면내에 있어서의 막두께의 균일성이 악화될 우려가 있다.
또한, 고유전체 재료의 가스는 고가의 것이므로, 그 소비량을 억제하기 위해, 유량을 적게 하면, 도 16a에 나타내는 바와 같이, 제 1 처리 가스의 공급측의 막두께가 두꺼워져 버린다. 또한, 스루풋을 향상시키기 위해, 예를 들면 오존 가스를 공급하는 시간을 짧게 한 경우에는 도 16b에 나타내는 바와 같이, 오존 가스의 공급원으로부터 멀어짐에 따라, 오존 가스의 산화력이 약해지므로(오존 가스가 소 비되므로), 기판(101)상의 흡착막이 충분히 산화되지 않을 우려가 있고, 그 경우에는 리크 전류의 면내 균일성이 나빠져 버린다.
또한, 도 16c에 나타내는 바와 같이, 기판(101) 근방을 통류하는 처리 가스가 반응에 기여하는 한편, 기판(101)에서 떨어진 영역을 통류하는 처리 가스는 그대로 배기되어 버리므로, 성막 효율(성막 비율)이 낮고, 고가의 처리 가스를 헛되이 폐기해 버리고 있고, 또한 원하는 막두께를 얻기 위해 장시간 필요하게 되어 버린다. 또한, 상술한 고속회전 밸브(106) 등에 대해서도 고가이며, 이와 같은 성막 장치(100)의 제조비용도 높으므로, 그 개선이 요망되고 있다.
그래서, 예를 들면 통상의 CVD 장치에 이용되는 가스 샤워 헤드(일본 특허공개공보 제2006-299294([0021]~[0026] 및 도 1) 참조)를 이용하여, 기판(101)의 위쪽으로부터 가스를 공급하는 방법에 대해서도 검토되고 있다. 이와 같은 가스의 공급 방법에 의하면, 가스는 기판의 중앙으로부터 주연을 향하므로, 가스의 이동 거리가 사이드 플로 방식에 비해 짧고, 그 때문에 성막 후의 막두께나 막질에 대해 높은 면내 균일성이 얻어진다고 기대할 수 있다. 그러나, 이와 같은 가스 샤워 헤드는 대형이며, 상술한 바와 같이, 처리 가스를 몇 번이나 전환해서 공급하기 위해서는 처리 가스를 전환할 때마다 가스 샤워 헤드내의 분위기를 치환할 필요가 있어, 헛되이 폐기되는 처리 가스의 양이 많아져 버린다. 또한, 가스를 치환하는 용적(가스 샤워 헤드내의 용적)이 크고, 가스의 치환에 장시간 필요가 되기 때문에, 스루풋도 저하해 버린다.
또한, 상기의 고유전체 재료의 원료인 TEMAZ 가스에 의한 성막물은 그 제거 작업에 있어서, 드라이 클리닝의 방법이 확립되어 있지 않고, 수작업에 의한 웨트 클리닝이므로, 이와 같은 처리 가스가 접촉하는 면적을 극력 줄여, 청소 시간을 짧게 하는 것이 요망되고 있다.
그러나, 가스 샤워 헤드 방식을 이용함에 있어서, 가스 샤워 헤드내에서의 파티클의 발생을 억제하기 위해, 제 1 처리 가스와 제 2 처리 가스를 전환할 때에, 이 가스 샤워 헤드내에 퍼지 가스를 공급하여, 가스 샤워 헤드내의 분위기를 치환할 필요가 있지만, 이 가스 치환은 스루풋의 저하를 억제하기 위해, 퍼지 가스의 유량을 많게 해서 신속하게 실행할 필요가 있다.
도 17에는 대략 버섯형의 처리용기(201)와 예를 들면 내부에 히터(203)가 매설된 스테이지(202)를 구비한 성막 장치(200)의 일예를 나타내고 있다. 처리용기(201)의 천벽에는 스테이지(202)상의 기판(210)에 처리 가스를 공급하기 위한 가스 샤워 헤드(205)가 마련되어 있고, 처리 가스는 가스 샤워 헤드(205)로부터 기판(210)에 공급된 후, 이 처리용기(201)의 하측의 측벽에 마련된 배기구(208)로부터 배기된다.
그런데, 이와 같은 성막 장치(200)에서는 처리용기(201)의 아래쪽측의 일단부측으로부터 처리 가스를 배기하고 있으므로, 처리용기(201)내의 처리 가스의 흐름에 편차가 발생하고, 그 결과, 기판(210)의 표면에 있어서의 처리 가스의 흐름이 불균일하게 되고, 막두께가 흐트러지게 되어 버린다. 또한, 가스 유량이 증가하면, 막두께의 편차가 더욱 커지기 때문에, 이 성막 장치(200)에서는 퍼지 가스의 유량을 많게 할 수 없다고 하는 문제도 있다. 또한, 스테이지(202)의 아래쪽측에, 도시 하지 않은 승강부를 접속하여, 처리용기(201)의 외부의 도시하지 않은 승강 기구에 의해 스테이지(202)의 승강을 실행하는 경우에는 처리용기(201)내를 기밀하게 유지했기 때문에, 이 승강부의 주위와 처리용기(201)의 저면의 사이에 예를 들면 도시하지 않은 벨로우즈를 마련하여, 이 벨로우즈를 스테이지(202)의 승강과 함께 신축시키도록 할 필요가 있지만, 그 경우에는 이 벨로우즈에 처리 가스나 반응 생성물 등이 퇴적하고, 수축시에 벨로우즈가 파손되어 버려, 처리용기(201)의 리크의 원인으로 될 우려가 있다.
또한, 도 18에 나타내는 성막 장치(220)에서는 기판(210)의 표면에 있어서의 처리 가스의 흐름이 균일하게 되도록, 스테이지(202)의 측쪽 위치로부터 배기구(208)가 형성된 처리용기(201)의 하면까지의 링형상의 공간을 처리용기(201)내의 공간과 구획하도록, 링형상의 배플판(209)을 마련하고, 이 배플판(209)의 상면에 소경의 구멍(211)을 복수 개소 마련하는 것에 의해서, 배플판(209)의 내부를 향해 흐르는 처리 가스의 유로를 좁히도록 구성되어 있다. 이 구멍(211)의 면적을 작게 하는 것에 의해서, 처리용기(201)내의 압력은 균일하게 높아지므로, 처리 가스는 복수의 구멍(211)을 향해 균등하게 흘러, 기판(210)의 표면으로부터 등방적으로 배기된다.
그러나, 이와 같은 성막 장치(220)에서는 배플판(209)에 마련한 구멍(211)이 소경이기 때문에 퍼지 가스의 유량을 대폭 늘릴 수 없고, 상술한 성막 가스와 산화성 가스의 전환시에 있어서의 가스 치환에 긴 시간을 소요할 필요가 있으므로, 스루풋이 저하해 버린다.
본 발명에 의한 성막 장치는 처리용기와, 상기 처리용기내에 배치되고 기판을 탑재하기 위한 탑재대와, 상기 탑재대에 탑재된 기판에 대향해서 마련되는 동시에, 다수의 가스 공급구멍을 갖고, 해당 기판의 중앙부에 대향하는 중앙 영역과 해당 기판의 주연부에 대향하는 주연 영역으로 구획된 가스 샤워 헤드와, 상기 가스 샤워 헤드의 상기 중앙 영역에 제 1 처리 가스를 공급하기 위한 제 1 처리 가스 공급로를 갖는 제 1 처리 가스 공급 수단과, 상기 가스 샤워 헤드의 상기 중앙 영역에 제 2 처리 가스를 공급하기 위한 제 2 처리 가스 공급로를 갖는 제 2 처리 가스 공급 수단과, 상기 기판상에서 제 1 처리 가스와 제 2 처리 가스를 반응시키기 위한 에너지를 공급하는에너지 공급 수단과, 상기 제 1 처리 가스의 공급과 상기 제 2 처리 가스의 공급을 전환할 때에, 상기 가스 샤워 헤드의 상기 중앙 영역 및 상기 주연 영역에 퍼지 가스를 공급하기 위한 퍼지 가스 공급 수단을 구비하고 있다.
본 발명에 의한 성막 장치에 있어서, 상기 가스 샤워 헤드의 상기 중앙 영역의 면적은 상기 주연 영역의 면적의 50% 이하인 것이 바람직하다.
본 발명에 의한 성막 장치에 있어서, 상기 제 1 처리 가스 공급로와 상기 제 2 처리 가스 공급로는 서로 독립되어 있는 것이 바람직하다.
본 발명에 의한 성막 장치에 있어서, 상기 제 1 처리 가스 공급로와 상기 제 2 처리 가스 공급로는 적어도 일부에서 공통화되어 있는 것이 바람직하다.
본 발명에 의한 성막 장치에 있어서, 상기 에너지 공급 수단은 상기 탑재대에 탑재된 기판을 가열하는 가열 수단으로 이루어지는 것이 바람직하다.
본 발명에 의한 성막 장치에 있어서, 상기 제 1 처리 가스는 Zr, Hf, Si, Sr, Ti, Y 및 La에서 선택되는 1종 이상의 화합물을 성막하기 위한 성막 가스로 이루어지고, 상기 제 2 처리 가스는 상기 화합물을 산화하여, 고유전체 재료를 얻기 위한 산화성 가스로 이루어지는 것이 바람직하다.
본 발명에 의한 성막 방법은 처리용기와, 상기 처리용기내에 배치된 탑재대와, 상기 탑재대에 탑재된 기판에 대향해서 마련되는 동시에, 다수의 가스 공급구멍을 갖고, 해당 기판의 중앙부에 대향하는 중앙 영역과 해당 기판의 주연부에 대향하는 주연 영역으로 구획된 가스 샤워 헤드와, 에너지를 공급하는 에너지 공급 수단을 갖는 성막 장치를 이용한 성막 방법으로서, 처리용기내의 탑재대에 기판을 탑재하는 탑재 공정(a)과, 상기 가스 샤워 헤드의 상기 중앙 영역에 제 1 처리 가스를 공급하여, 해당 중앙 영역으로부터 상기 기판에 제 1 처리 가스를 공급하는 제 1 처리 가스 공급 공정(b)과, 상기 가스 샤워 헤드의 상기 중앙 영역 및 상기 주연 영역에 퍼지 가스를 공급하여, 상기 처리용기내의 제 1 처리 가스를 퍼지 가스로 치환하는 제 1 치환 공정(c)과, 상기 가스 샤워 헤드의 상기 중앙 영역에 제 2 처리 가스를 공급하여, 해당 중앙 영역으로부터 상기 기판에 제 2 처리 가스를 공급하는 제 2 처리 가스 공급 공정(d)과, 상기 에너지 공급 수단에 의해서, 상기 기판상에서 제 1 처리 가스와 제 2 처리 가스를 반응시키기 위한 에너지를 공급하는 에너지 공급 공정(e)과, 상기 가스 샤워 헤드의 상기 중앙 영역 및 상기 주연 영역에 퍼지 가스를 공급하여, 상기 처리용기내의 제 2 처리 가스를 퍼지 가스로 치환하는 제 2 치환 공정(f)을 구비하고, 상기 제 1 처리 가스 공급 공정(b), 상기 제 1 치환 공정(c), 상기 제 2 처리 가스 공급 공정(d) 및 상기 제 2 치환 공정(f)을 복수회 순차 반복하는 것을 특징으로 한다.
본 발명에 의한 성막 방법에 있어서, 상기 가스 샤워 헤드의 상기 중앙 영역의 면적은 상기 주연 영역의 면적의 50% 이하인 것이 바람직하다.
본 발명에 의한 성막 방법에 있어서, 상기 가스 샤워 헤드의 상기 중앙 영역에 공급되는 제 1 처리 가스와, 상기 가스 샤워 헤드의 상기 중앙 영역에 공급되는 제 2 처리 가스는 서로 다른 유로를 통과하는 것이 바람직하다.
본 발명에 의한 성막 방법에 있어서, 상기 가스 샤워 헤드의 상기 중앙 영역에 공급되는 제 1 처리 가스와, 상기 가스 샤워 헤드의 상기 중앙 영역에 공급되는 제 2 처리 가스는 적어도 일부에서 공통된 유로를 통과하는 것이 바람직하다.
본 발명에 의한 성막 방법에 있어서, 상기 에너지 공급 공정(e)은 상기 에너지 공급 수단에 의해 상기 탑재대에 탑재된 기판을 가열하는 것이 바람직하다.
본 발명에 의한 기억 매체는 컴퓨터에 성막 방법을 실행시키기 위한 컴퓨터 프로그램을 저장한 기억 매체에 있어서, 해당 성막 방법은 처리용기와, 상기 처리용기내에 배치된 탑재대와, 상기 탑재대에 탑재된 기판에 대향해서 마련되는 동시에, 다수의 가스 공급구멍을 갖고, 해당 기판의 중앙부에 대향하는 중앙 영역과 해당 기판의 주연부에 대향하는 주연 영역으로 구획된 가스 샤워 헤드와, 에너지를 공급하는 에너지 공급 수단을 갖는 성막 장치를 이용한 성막 방법으로서, 처리용기내의 탑재대에 기판을 탑재하는 탑재 공정(a)과, 상기 가스 샤워 헤드의 상기 중앙 영역에 제 1 처리 가스를 공급하여, 해당 중앙 영역으로부터 상기 기판에 제 1 처리 가스를 공급하는 제 1 처리 가스 공급 공정(b)과, 상기 가스 샤워 헤드의 상기 중앙 영역 및 상기 주연 영역에 퍼지 가스를 공급하여, 상기 처리용기내의 제 1 처리 가스를 퍼지 가스로 치환하는 제 1 치환 공정(c)과, 상기 가스 샤워 헤드의 상기 중앙 영역에 제 2 처리 가스를 공급하여, 해당 중앙 영역으로부터 상기 기판에 제 2 처리 가스를 공급하는 제 2 처리 가스 공급 공정(d)과, 상기 에너지 공급 수단에 의해서, 상기 기판상에서 제 1 처리 가스와 제 2 처리 가스를 반응시키기 위한 에너지를 공급하는 에너지 공급 공정(e)과, 상기 가스 샤워 헤드의 상기 중앙 영역 및 상기 주연 영역에 퍼지 가스를 공급하여, 상기 처리용기내의 제 2 처리 가스를 퍼지 가스로 치환하는 제 2 치환 공정(f)을 구비하고, 상기 제 1 처리 가스 공급 공정(b), 상기 제 1 치환 공정(c), 상기 제 2 처리 가스 공급 공정(d) 및 상기 제 2 치환 공정(f)을 복수회 순차 반복하는 방법이다.
본 발명은 제 1 처리 가스와 제 2 처리 가스를 교대로 전환해서 이들 가스의 반응 생성물을 기판상에 성막함에 있어서, 기판에 대향하는 가스 샤워 헤드로부터 제 1 처리 가스와 제 2 처리 가스를 공급하고 있으므로, 사이드 플로 방식에 비해 박막의 막두께나 막질에 대한 높은 면내 균일성이 얻어진다. 그리고, 가스 샤워 헤드를 중앙 영역과 주연 영역으로 구획하고, 중앙 영역으로부터 제 1 처리 가스 및 제 2 처리 가스를 교대로 공급하도록 하고 있기 때문에, 처리 가스로 채워지는 가스 샤워 헤드내의 용적을 작게 할 수 있고, 가스 샤워 헤드내의 처리 가스의 치환에 필요한 시간을 짧게 할 수 있다. 그리고, 처리용기내의 처리 가스의 치환시에는 가스 샤워 헤드의 주연 영역으로부터도 퍼지 가스가 공급되기 때문에, 처리 가스의 치환 시간을 짧게 할 수 있고, 스루풋을 향상시킬 수 있다. 또한, 가스 샤워 헤드내의 처리 가스가 채워지는 용적이 작기 때문에, 처리 가스의 치환시마다 배기되는 헛된 처리 가스의 양을 줄일 수 있다.
도 1은 본 발명의 제 1 실시형태의 성막 장치의 1예를 나타낸 종단면도.
도 2는 상기의 성막 장치의 처리용기의 확대 단면도.
도 3은 상기의 성막 장치에 마련된 가스 샤워 헤드의 1예를 나타내는 종단면도.
도 4는 상기의 가스 샤워 헤드의 분해 사시도.
도 5는 상기의 가스 샤워 헤드의 하면 평면도.
도 6은 본 발명의 성막 방법의 1예를 나타내는 흐름도.
도 7a 및 7b는 상기의 성막의 과정을 나타내는 모식도.
도 8은 상기의 성막의 과정에 있어서의 처리용기내의 상태를 나타내는 모식도.
도 9a 및 9b는 상기의 성막의 과정을 나타내는 모식도.
도 10은 처리 영역의 용적(V1)과 배기 공간의 용적(V2)의 비(V2/V1)와, 막두께의 면내 균일성의 상관을 나타내는 특성도.
도 11은 본 발명의 제 2 실시형태의 성막 장치에 마련되는 가스 샤워 헤드의 일예를 나타내는 종단면도.
도 12는 상기의 가스 샤워 헤드의 분해 사시도.
도 13a 및 13b는 본 발명의 실시예에 있어서의 결과를 나타내는 특성도.
도 14a 및 14b는 본 발명의 실시예에 있어서의 결과를 나타내는 특성도.
도 15는 종래의 성막 장치의 일예를 나타내는 종단면도.
도 16a 내지 16c는 상기의 성막 장치를 이용한 성막의 상태를 나타내는 모식도.
도 17은 종래의 성막 장치의 일예를 나타내는 종단면도.
도 18은 종래의 성막 장치의 일예를 나타내는 종단면도.
본 발명의 제 1 실시형태인 성막 장치(20)의 일예를 도 1~도 5를 참조해서 설명한다. 이 성막 장치(20)는 처리용기(31), 가스 공급부를 이루는 가스 샤워 헤드(51) 및 기판인 예를 들면 반도체 웨이퍼(이하,「웨이퍼(W)」라 함)를 탑재하기 위한 탑재대인 편평한 원주형상의 스테이지(71)를 구비하고 있다.
가스 샤워 헤드(51)는 스테이지(71)에 탑재되는 웨이퍼(W)에 대향하도록, 처리용기(31)의 천벽에 마련되어 있다. 이 가스 샤워 헤드(51)와 웨이퍼(W)의 사이의 공간은 처리 영역(처리 공간)(11)을 이루고 있다. 이 가스 샤워 헤드(51)의 외측에는 가스 샤워 헤드(51)의 하단면보다도 낮은 높이로 되도록(웨이퍼(W)에 근접하는 높이로 되도록) 기류 규제용의 링체(52)가 마련되어 있다. 이 링체(52)는 처리 영역(11)의 처리 분위기로부터 외측을 향하는 기류를 그 평탄한 하면에서 규제하는 것에 의해, 처리 가스의 웨이퍼(W)의 외주부에 있어서의 유속의 분포를 균일하게 하기 위한 것이지만, 이 하단면의 높이를 가스 샤워 헤드(51)의 하단면과 동일 높이로 해도 좋다.
스테이지(71)에는 웨이퍼(W)를 정전 흡착하기 위한 정전 척(72)이 마련되어 있고, 이 정전 척(72)은 전원(72a)에 접속되어 있다. 또한, 스테이지(71)에는 전원(73a)에 접속된 히터(가열 수단)(73)가 마련되어 있고, 웨이퍼(W)를 예를 들면 600℃ 정도까지 가열할 수 있도록 구성되어 있다. 이 히터(73)는 웨이퍼(W)상에서, 제 1 처리 가스인 성막 가스와 제 2 처리 가스인 오존 가스를 반응시키기 위한 에너지를 공급하는 에너지 공급 수단에 상당하고 있다.
스테이지(71)는 승강부인 기둥형상의 지지 부재(71a)에 의해서 하면측으로부터 지지되어 있고, 도시하지 않은 승강 기구에 의해서 웨이퍼(W)의 처리를 실행하기 위한 처리위치와, 처리용기(31)의 벽면의 반송구(35)를 거쳐서 외부와의 사이에서 웨이퍼(W)의 수수를 실행하기 위한 반송 위치의 사이에서 소정의 거리 예를 들면 60㎜ 정도 승강할 수 있도록 구성되어 있다. 처리위치에 있어서의 가스 샤워 헤드(51)와 웨이퍼(W)의 거리 h는 예를 들면 8㎜로 되어 있다. 또한, 반송구(35)의 근방에서는 처리 가스가 체류하여, 성막 처리가 균일하게 실행되지 않을 우려가 있으므로, 이 반송구(35) 부근의 가스 흐름의 영향을 억제해서 성막 처리를 실행하기 위해, 스테이지(71)의 위치를 처리 위치와 반송 위치의 사이에서 변경되도록 하고 있다. 이 지지 부재(71a)는 하면측에 승강판(71b)이 접속되어 있다. 이 승강판(71b)과 처리용기(31)의 하면측은 시일부인 벨로우즈(74)에 의해서 기밀하게 접합되어 있다. 벨로우즈(74)는 스테이지(71)가 승강해도 처리용기(31)내를 기밀하게 유지하도록 신축 가능하도록 구성되어 있다.
또한, 스테이지(71)에는 예를 들면 3개소의 개구부에 웨이퍼(W)의 승강을 위한 핀(75)이 마련되어 있다. 이 핀(75)은 침형상의 하부와 이 하부보다도 직경이 두꺼운 상단부로 이루어지고, 처리용기(31)의 하면에 마련된 링형상의 승강 부재(75a)에 의해서 승강되고, 승강 부재(75a)가 아래쪽측으로 멀어지면, 그 상단의 직경 확대부가 스테이지(71)의 개구부를 막고, 스테이지(71)의 아래쪽측의 영역인 하부 영역(하부 공간)(12)과 처리 영역(11)을 구획하도록 구성되어 있다. 또한, 이와 같은 구성에 의해, 웨이퍼(W)와 가스 샤워 헤드(51)의 거리(처리 영역(11)의 높이)를 임의로 조정해서 처리를 실행할 수 있다.
스테이지(71)의 하측의 처리용기(31)의 저면에는 이 스테이지(71)와 동축을 이루고, 스테이지(71)와 동일 직경의 링형상의 지지체(76)가 제 1 환상 벽으로서 마련되어 있고, 이 지지체(76)의 주위에는 반응 생성물의 퇴적을 억제하기 위해, 예를 들면 알루미늄제의 지지체 커버(76b)가 마련되어 있다. 스테이지(71)의 측면 및 스테이지(71)상의 노출면(웨이퍼(W)의 외주측)에는 스테이지(71)의 표면에의 반응 생성물의 퇴적을 억제하기 위해, 예를 들면 석영제의 스테이지 커버(78)가 제 2 환상 벽으로서 마련되어 있다. 이 스테이지 커버(78)의 측벽은 스테이지(71)의 하측까지 신장하고 있고, 상기의 지지체 커버(76b)의 외주면과 근접하여, 또한 지지체(76)와 높이 방향으로 중첩되도록 위치함으로써, 하부 영역(12)으로의 처리 가스의 돌아 들어감을 억제하도록 구성되어 있다. 이 스테이지 커버(78)는 상기의 스테이지(71)가 처리위치로 상승해도, 지지체(76)와 높이 방향으로 중첩되고, 하부 영 역(12)을 웨이퍼(W)가 배치되는 처리 영역(11)의 분위기와 구획하도록 구성되어 있다.
또한, 지지체(76)의 상단면에는 이 하부 영역(12)과 연통하도록, 예를 들면 둘레 방향으로 등간격으로 4개소의 가스 공급구(76a)가 개구되어 있고, 예를 들면 가스 공급로(76c)를 거쳐서 질소 가스 등의 가스원(77)에 접속되어 있다. 이 가스원(77)의 가스는 하부 영역(12)에 가스를 공급하여, 기술한 처리 영역(11)의 압력보다도 약간 높은 압력으로 함으로써, 하부 영역(12)으로의 처리 가스의 돌아 들어감을 더욱 억제하기 위한 것이다. 예를 들면 하부 영역(12)에 도시하지 않은 압력계를 마련하거나 해서, 처리 영역(11)의 압력보다도 하부 영역(12)의 압력이 약간 높게 설정된다.
스테이지 커버(78)의 측면이 이루는 면과 처리용기(31)의 내벽으로 둘러싸인 높이 Hmm, 외경 Rmm, 내경 rmm의 링형상의 공간은 배기 공간(13)을 이루고 있다. 이 예에 있어서는 각각의 치수 H, R, r은 152㎜, 250㎜, 206㎜로 되어 있다. 또한, 여기서, 처리 영역(11) 및 배기 공간(13)의 용적 V1, V2는 각각 1.07리터, 9.61리터로 되어 있으며, 양자의 비(V2÷V1)는 9.0으로 되어 있다. 또한, 외경 R, 내경 r은 각각 반경을 나타내고 있으며, 처리 영역(11)의 용적 V1은 도 2에 있어서 (πr2h)를 가리킨다.
처리용기(31)의 하면에는 지지체(76)의 외측을 둘러싸도록, 예를 들면 둘레 방향으로 등간격으로 4개소의 예를 들면 반경 25㎜의 배기구(32)가 개구되어 있고, 배기로(33)를 거쳐서 예를 들면 진공 펌프 등의 진공 배기 수단(34)에 접속되어 있다. 또한, 이 배기로(33)에는 처리 영역(11)내에서 생성한 생성물이 퇴적하지 않도록, 도시하지 않은 히터가 매설되어 있지만, 여기서는 생략한다. 이 배기구(32)는 4개소 이상 예를 들면 8개소 형성하도록 해도 좋다. 여기서, 기술한 배기 공간(13)의 하면(처리용기(31)의 하면)의 면적과 배기구(32)의 합계의 면적의 비(배기 공간(13)의 하면의 면적÷배기구(32)의 합계 면적)는 8.0으로 되어 있다.
또한, 이 처리용기(31)의 내벽 및 배기로(33) 등의 처리 가스가 접촉하는 부위에는 예를 들면 알루미늄제의 도시하지 않은 데포 실드가 부착되어 있지만, 여기서는 생략한다.
다음에, 가스 샤워 헤드(51)에 대해 설명한다. 가스 샤워 헤드(51)는 도 3 및 도 4에 나타내는 바와 같이, 웨이퍼(W)의 중앙부에 대향하는 중앙 영역(53)과, 웨이퍼(W)의 주연부에 대향하는 주연 영역(54)으로 구획되어 있고, 중앙 영역(53) 및 주연 영역(54)의 저면의 반경은 예를 들면 각각 85㎜, 160㎜로 되어 있다. 이 가스 샤워 헤드(51)는 중앙 영역(53)으로부터 후술하는 성막 가스, 오존 가스 및 퍼지 가스를 공급하고, 주연 영역(54)으로부터 오존 가스 및 퍼지 가스를 공급할 수 있도록 구성되어 있다. 또한, 제 1 처리 가스인 성막 가스와 제 2 처리 가스인 오존 가스는 이 중앙 영역(53)내에서는 혼합하지 않고, 서로 독립해서 처리 영역(11)에 공급되도록 구성되어 있다. 즉, 가스 샤워 헤드(51)의 중앙 영역(53)에 성막 가스를 공급하기 위한 제 1 가스 공급로(제 1 처리 가스 공급로)(81)와, 가스 샤워 헤드(51)의 중앙 영역(53)에 오존 가스를 공급하기 위한 제 2 가스 공급로(제 2 처리 가스 공급로)(82)는 서로 독립하고 있다. 이 가스 샤워 헤드(51)에 대해, 이하에 상세하게 기술한다.
중앙 영역(53)은 상면이 개구된 편평한 원통체(53a)에 의해 구성되어 있다. 이 원통체(53a)의 내부공간은 후술하는 오존 가스가 확산하는 제 2 확산 공간(62)을 이루고 있다. 원통체(53a)의 저면에는 제 2 확산 공간(62)으로부터 오존 가스가 처리 영역(11)에 공급되도록, 다수의 제 2 토출 구멍(62a)이 개구되어 있다. 또한, 이 원통체(53a)에는 그 상단면의 높이 위치가 원통체(53a)의 둘레벽의 높이와 동일하게 되도록 형성된 링형상의 기둥부(61b)가 다수 마련되어 있다. 이 기둥부(61b)내의 개구부는 성막 가스의 유로의 일부를 이루고 있으며, 하면이 제 1 토출 구멍(가스 공급 구멍)(61a)으로서 처리 영역(11)과 연통하고 있다. 이 제 1 토출 구멍(61a)과 제 2 토출 구멍(가스 공급 구멍)(62a)은 도 5에 나타내는 바와 같이, 복수의 직경이 다른 동심원형상으로 되고, 내주측에서 외주측을 향해 교대로 배치되어 있다. 또한, 이 도 5는 가스 샤워 헤드(51)를 하측(웨이퍼(W)측)으로부터 관찰한 상태를 나타낸 도면이다.
주연 영역(54)은 내주연 및 외주연에 각각 기립 벽(54b, 54c)을 구비하는 동시에, 원통체(53a)와 동심이고 또한 동일 높이의 링체(54a)에 의해 구성되어 있다. 이 링체(54a)의 내부공간은 후술하는 퍼지 가스나 오존 가스가 확산하는 제 3 확산 공간(63)을 이루고 있다. 링체(54a)의 저면에는 둘레 방향으로 등간격으로 예를 들면 8개소, 반경 부분에 직경 방향으로 등 간격으로 예를 들면 4개소의 제 3 토출 구멍(가스 공급 구멍)(63a)이 개구되어 있다.
중앙 영역(53)과 주연 영역(54)은 처리용기(31)의 천벽에 기밀하게 접합되어, 가스 샤워 헤드(51)를 이룬다. 또한, 원통체(53a)의 둘레벽 및 링체(54a)의 기립 벽(54b, 54c)에는 그 상면에 홈과 이 홈에 끼워 넣어지는 시일체가 마련되거나, 그 상면이 연마되어, 처리용기(31)의 천벽과 기밀성을 유지하고 있지만, 여기서는 생략한다.
이 중앙 영역(53)의 하면의 면적(α)은 주연 영역(54)의 하면의 면적(β)의 50% 이하인 것이 바람직하고, 더 나아가서는 30~40%인 것이 바람직하다. 여기서, α는 원통체(53a)의 하면의 면적이고, β는 링체(54a)의 하면의 면적이다. 또한, 이 예에서는 제 1 토출 구멍(61a) 및 제 2 토출 구멍(62a)을 동심원형상으로 배치했지만, 이것은 편의상의 레이아웃이며, 예를 들면 격자형상으로 배치해도 좋다.
처리용기(31)의 천벽에는 기술한 주연 영역(54)의 제 3 확산 공간(63)과 연통하도록, 제 3 가스 공급구(38)가 둘레 방향으로 등간격으로 예를 들면 4개소 형성되어 있다. 또한, 처리용기(31)의 천벽에는 중앙 영역(53)의 제 2 확산 공간(62)과 연통하도록, 제 2 가스 공급구(37)가 둘레 방향으로 등간격으로 예를 들면 4개소 형성되어 있다. 이 처리용기(31)의 천벽의 상단면(외측)의 중앙부에는 원주형상의 홈이 제 1 확산 공간(61)으로서 형성되어 있고, 그 저면에는 제 1 가스 공급구(36)가 다수 형성되어 있다. 이 제 1 가스 공급구(36)는 기술한 중앙 영역(53)의 기둥부(61b)와 동일 위치에 배치되어, 기둥부(61b)내의 개구부를 거쳐서 제 1 확산 공간(61)내와 처리 영역(11)을 기밀하게 연통하도록 구성되어 있다.
처리용기(31)의 상면에는 덮개(39)가 마련되어 있고, 이 덮개(39)는 상술한 제 1 확산 공간(61)을 기밀하게 구성하는 동시에, 이 제 1 확산 공간(61)에 성막 가스를 공급하기 위한 제 1 가스 공급구(36a)와, 제 2 가스 공급구(37)와 연통하는 제 2 가스 공급구(37a)가 형성되어 있다. 또한, 처리용기(31)의 상면의 제 2 가스 공급구(37)와 덮개(39)의 단면의 사이에 대응하는 위치에는 홈과 이 홈내에 수납되는 시일체가 마련되어 있지만, 여기서는 생략한다. 또한, 처리용기(31)의 천벽과 덮개(39)에는 기술한 원통체(53a)의 둘레벽의 상단면 및 링체(54a)의 기립 벽(54b)에 대응하는 위치에, 각각 둘레 방향으로 등간격으로 예를 들면 4개소의 구멍부(40)가 개구되어 있고, 이 구멍부(40)를 거쳐서, 덮개(39)의 상면으로부터 볼트(41, 42)를 원통체(53a)의 둘레벽의 상단면 및 링체(54a)의 기립 벽(54b)에 뚫린 나사 구멍(55)에 삽입하는 것에 의해서, 덮개(39), 처리용기(31), 원통체(53a) 및 링체(54a)가 밀착되도록 구성되어 있다. 마찬가지로, 처리용기(31)의 천벽에는 링체(54a)의 기립 벽(54c)에 대응하는 위치에 구멍부(40)가 둘레 방향으로 등간격으로 예를 들면 4개소 개구되어 있고, 기립 벽(54c)의 나사 구멍(55)에 볼트(43)가 삽입된다.
덮개(39)의 제 1 가스 공급구(36a) 및 제 2 가스 공급구(37a)에는 도 1에 나타내는 바와 같이, 각각 제 1 가스 공급로(81) 및 제 2 가스 공급로(82)가 접속되어 있고, 처리용기(31)의 천벽의 제 3 가스 공급구(38)에는 퍼지 가스 공급로인 제 3 가스 공급로(83)가 접속되어 있다. 이들 가스 공급로(81, 82, 83)의 상류측에는 각각 밸브(87A, 87B, 87F)와 유량 제어부(88A, 88B, 88F)를 거쳐서, 제 1 처리 가스인 예를 들면 TEMAZ(테트라키스에틸메틸아미노지르코늄) 가스가 저장된 성막 가 스원(84), 제 2 처리 가스인 예를 들면 오존 가스가 저장된 산화성 가스원(85) 및 퍼지 가스인 예를 들면 아르곤 가스가 저장된 퍼지 가스원(86)에 접속되어 있다. 또한, 이들 가스 공급로(81, 82)는 각각 퍼지 가스 공급로(81a) 및 퍼지 가스 공급로(82a)로 분기하여, 밸브(87D, 87E)와 유량 제어부(88D, 88E)를 거쳐서 또한 상기의 퍼지 가스원(86)에 접속되어 있다. 제 3 가스 공급로(83)에는 분기해서 밸브(87C)와 유량 제어부(88C)를 거쳐서 산화성 가스원(85)이 접속되어 있다. 이들 밸브(87A~87F) 및 유량 제어부(88A~88F)는 유량 조정부(89)를 이루고 있다.
또, 본 실시형태에서는 성막 가스원(84)과, 밸브(87A)와, 유량 제어부(88A)와, 가스 공급로(81)에 의해서, 제 1 처리 가스 공급 수단이 구성되어 있다. 또한, 산화성 가스원(85), 밸브(87B)와, 유량 제어부(88B)와, 가스 공급로(82)에 의해서, 제 2 처리 가스 공급 수단이 구성되어 있다. 또한, 퍼지 가스원(86)과, 밸브(87D, 87E, 87F)와, 유량 제어부(88D, 88E, 88F)와, 가스 공급로(81, 82, 83)에 의해서, 퍼지 가스 공급 수단이 구성되어 있다.
성막 장치(20)에는 예를 들면 컴퓨터로 이루어지는 제어부(20A)가 마련되어 있고, 이 제어부(20A)는 프로그램, 메모리, CPU로 이루어지는 데이터 처리부 등을 구비하고 있다. 이 프로그램에는 제어부(20A)로부터 성막 장치(20)의 각 부에 제어 신호를 보내고, 후술하는 각 스텝을 진행시킴으로써 웨이퍼(W)의 처리나 반송을 실행하도록 명령이 짜 넣어져 있다. 또한, 예를 들면 메모리에는 처리 압력, 처리 온도, 처리 시간, 가스 유량 또는 전력값 등의 처리 파라미터의 값이 기입되는 영역을 구비하고 있고, CPU가 프로그램의 각 명령을 실행할 때, 이들 처리 파라미터가 판독되고, 그 파라미터 값에 따른 제어 신호가 이 성막 장치(20)의 각 부위로 보내지게 된다. 이 프로그램(처리 파라미터의 입력 조작이나 표시에 관한 프로그램도 포함)은 컴퓨터 기억 매체 예를 들면 플렉시블 디스크, 컴팩트 디스크, MO(광자기 디스크), 하드 디스크 등의 기억부(20B)에 저장되어 제어부(20A)에 인스톨된다.
또, 이 제어부(20A)는 중앙 영역(53)으로부터 웨이퍼(W)로의 TEMAZ 가스(제 1 처리 가스)의 공급, 중앙 영역(53) 및 주연 영역(54)으로부터 웨이퍼(W)로의 Ar 가스(퍼지 가스)의 공급, 중앙 영역(53)으로부터 웨이퍼(W)로의 오존 가스(제 2 처리 가스)의 공급 및, 중앙 영역(53) 및 주연 영역(54)으로부터 웨이퍼(W)로의 Ar 가스(퍼지 가스)의 공급을 복수회 순차 실행하도록, 제 1 처리 가스 공급 수단, 제 2 처리 가스 공급 수단 및 퍼지 가스 공급 수단을 제어하도록 구성되어 있다.
다음에, 도 6~도 9b를 참조하고, 상기의 성막 장치(20)의 작용에 대해 설명한다. 우선, 스테이지(71)를 반송 위치에 내리고, 도시하지 않은 반송 기구에 의해, 반송구(35)를 거쳐서 웨이퍼(W)가 처리용기(31)내에 반입되어, 스테이지(71)상에 탑재하고, 도시하지 않은 게이트밸브가 닫힌다(탑재 공정). 다음에, 정전 척(72)에 의해 웨이퍼(W)를 정전 흡착하는 동시에, 스테이지(71)를 처리위치로 상승시킨다(스텝 S51). 그리고, 웨이퍼(W)를 히터(73)에 의해 예를 들면 280℃로 가열하는(에너지 공급 공정)(스텝 S52) 동시에, 진공 배기 수단(34)에 의해 처리용기(31)내를 진공 배기한다. 계속해서, 성막 가스원(84)으로부터 제 1 토출 구멍(61a)을 거쳐서 TEMAZ 가스(제 1 처리 가스)가 소정의 유량, 예를 들면 10mg/min으로 예를 들면 1.5초간, 웨이퍼(W)에 공급된다(제 1 처리 가스 공급 공정)(스텝 S53). 또한, 기술한 가스 공급로(76c)로부터 하부 영역(12)에 예를 들면 질소 가스가 공급된다. 이 처리에 의해, TEMAZ 가스는 도 7a에 나타내는 바와 같이, 웨이퍼(W)의 표면에 흡착되어, TEMAZ막(90)의 예를 들면 0.1㎚의 박막이 균일하게 성막된다. 이 성막 중에, TEMAZ 가스가 제 3 확산 공간(63)내에 침입하지 않도록 하기 위해, 제 3 토출 구멍(63a)으로부터 퍼지 가스를 300sccm정도 흘려도 좋다. 이 때, 스테이지 커버(78), 지지체(76) 및 처리용기(31)의 내벽에 의해서 링형상의 배기 공간(13)이 형성되어 있고, 유로의 도중에서의 확장 수축이 억제되어 있으므로, TEMAZ 가스는 흐름을 흐트리지 않고 아래쪽의 배기로(33)로 흘러 가, 하부 영역(12)으로의 가스의 돌아 들어감이 억제된다.
또한, TEMAZ 가스는 배기 공간(13)을 거쳐서 처리용기(31)의 저면의 배기구(32)로부터 배기되어 있으므로, 웨이퍼(W)의 표면에서 주연을 향해 균등하게 배기된다. 또한, 배기구(32)가 처리용기(31)의 저면의 4개소에 등간격으로 배치되어 있으므로, 배기 공간(13)의 분위기는 둘레 방향으로 4방향으로부터 배기된다. 이 때, 배기구(32)에 가까운 부분에서는 강하게 가스가 흡인되고 있으므로, 처리용기(31)의 저면의 근방에서는 둘레 방향에 있어서 가스의 유속에 편차가 있다. 그러나, 이 가스 유속의 차가 완화되도록, 배기구(32)와 웨이퍼(W)의 사이에 링형상의 연직 방향으로 긴 배기 공간(13)을 형성하거나, 처리 영역(11)의 용적(V1)을 작게 하고 있으므로, 처리 영역(11)의 분위기는 웨이퍼(W)의 중심으로부터 방사상으로 배기된다.
또한, 도 8에 나타내는 바와 같이, 하부 영역(12)의 압력이 처리 영역(11)의 압력보다도 약간 높아지도록, 하부 영역(12)에 예를 들면 질소 가스를 공급하고 있으므로, 또한 TEMAZ 가스의 하부 영역(12)으로의 돌아 들어감이 억제된다. 그 때문에, TEMAZ 가스의 핀(75)이나 벨로우즈(74)에의 부착이 억제된다. 이 하부 영역(12)에 공급되는 질소 가스는 약간 스테이지 커버(78)와 지지체 커버(76b)의 사이의 간극으로부터 배기 공간(13)으로 흘러가, TEMAZ 가스와 함께 배기된다.
다음에, 제 1 토출 구멍(61a) 및 제 3 토출 구멍(63a)으로부터, 퍼지 가스로서 Ar 가스가 상기의 TEMAZ 가스의 유량보다도 많은 예를 들면 유량 3slm으로 5초간, 처리용기(31)내에 공급된다(제 1 치환 공정)(스텝 S54). 또한, 기술한 가스 공급로(76c)로부터 하부 영역(12)에 예를 들면 질소 가스가 공급된다.
이 처리에 의해, 도 7b에 나타내는 바와 같이, 제 1 가스 공급로(81)에 있어서의 퍼지 가스 공급로(81a)와의 분기점보다 하류측 및 제 1 토출 구멍(61a)에 연통하는 중앙 영역(53)내의 공간의 TEMAZ 가스가 처리용기(31)내로 방출된다. 또한, 이 TEMAZ 가스는 중앙 영역(53)으로부터의 퍼지 가스와 주연 영역(54)으로부터의 퍼지 가스에 의해서, 웨이퍼(W)의 주연측으로 압출되어 배기구(32) 및 배기로(33)를 거쳐서 배기되고, 이와 같이 해서 중앙 영역(53)내 및 처리용기(31)내가 퍼지 가스 분위기로 된다. 이 때의 퍼지 가스의 유량은 스텝 S53의 TEMAZ 가스의 유량보다도 훨씬 많지만, 처리용기(31)의 저면에 등간격으로 4개소의 배기구(32)가 개구되어 있고, 또한 스테이지(71)를 둘러싸도록 링형상의 배기 공간(13)이 형성되어 있으므로, 웨이퍼(W)의 근방에 있어서 막힘을 발생하는 일 없이 신속하게 배기된다. 이 때의 퍼지 가스의 유량은 처리 가스의 전환 시간을 단축해서 스루풋을 향상 시키기 위해, 예를 들면 3slm~5slm인 것이 바람직하고, 이와 같은 대유량의 퍼지 가스에 의해, 예를 들면 5초의 단시간에 처리 용기(31)내의 분위기가 치환된다.
그 후, 산화성 가스원(85)으로부터 제 2 토출 구멍(62a)을 거쳐서, 웨이퍼(W)에 대해 오존 가스(제 2 처리 가스)가 소정의 유량 예를 들면 200g/Normalm3(이하, Nm3이라 함)의 유량으로 3초간 공급된다(제 2 처리 가스 공급 공정)(스텝 S55). 또한, 스텝 S53과 마찬가지로, 가스 공급로(76c)로부터 하부 영역(12)에 질소 가스가 공급된다. 이 처리에 의해서, 도 9a에 나타내는 바와 같이, 웨이퍼(W)의 표면에 이미 흡착되어 있는 TEMAZ막(90)과 오존이 히터(173)의 열에너지에 의해 반응하여, ZrO2의 분자층인 ZrO2막(91)이 생성된다.
이 성막 중에도, 오존 가스가 제 3 확산 공간(63)내로 침입하지 않도록 하기 위해, 제 3 토출 구멍(63a)으로부터 퍼지 가스를 300sccm정도 흘려도 좋다. 상기의 스텝 S53에 있어서 웨이퍼(W)의 표면에 흡착되어 있던 TEMAZ막(90)은 매우 얇기 때문에, 이와 같은 짧은 산화 시간에도 균일하게 산화되어 ZrO2막(91)으로 된다. 이 공정에 있어서도, 마찬가지로 오존 가스의 하부 영역(12)으로의 돌아 들어감이 억제되는 동시에, 균등하게 배기된다. 또한, 이 때, 제 3 토출 구멍(63a)으로부터도 오존 가스를 공급하도록 해도 좋다. 이 경우에는 산화 처리가 더욱 신속하게 실행된다.
그 후, 상기의 스텝 S54와 마찬가지로, 재차, 퍼지 가스가 3slm~5slm의 유량으로 처리 용기(31)내에 공급되고(제 2 치환 공정)(스텝 S56), 또한 하부 영 역(12)에 질소 가스가 공급된다. 이 때, 퍼지 가스는 제 2 토출 구멍(62a) 및 제 3 토출 구멍(63a)의 양쪽으로부터 공급된다. 이것에 의해, 도 9b에 나타내는 바와 같이, 제 2 가스 공급로(82)에 있어서의 퍼지 가스 공급로(82a)와의 분기점으로부터 하류측 및 중앙 영역(53)내의 오존 가스가 처리용기(31)내로 배출되어, 처리용기(31)내의 오존 가스가 웨이퍼(W)의 중심으로부터 방사상으로 등방적으로, 또한 신속하게 처리용기(3l)의 외부로 배출된다.
스텝 S53~스텝 S56까지의 처리를 예를 들면 100회 반복하는 것에 의해(스텝 S57), ZrO2의 분자층이 다층화되어 소정의 막두께 예를 들면 10㎚의 ZrO2막(91)이 성막된다. 이와 같이 해서 성막 처리가 종료한 후, 해당 웨이퍼(W)가 처리용기(31)내로부터 반출된다(스텝 S58).
상술한 실시형태에 의하면, 가스 샤워 헤드(51)로부터 처리 가스를 공급하고 있으므로, 기술한 바와 같이, 사이드 플로 방식에 비해 막두께나 막질에 대한 면내 균일성이 높아진다. 그리고, 가스 샤워 헤드(51)를 중앙 영역(53)과 주연 영역(54)으로 구획하고, 중앙 영역(53)으로부터 성막 가스(제 1 처리 가스) 및 오존 가스(제 2 처리 가스)를 교대로 공급하도록 하고 있기 때문에, 처리 가스로 채워지는 가스 샤워 헤드(51)내의 용적을 작게 할 수 있고, 가스 샤워 헤드(51)내의 처리 가스의 치환에 필요한 시간이 짧아진다. 그리고, 처리 분위기에 있어서의 처리 가스의 치환시에는 가스 샤워 헤드(51)의 주연 영역(54)으로부터도 퍼지 가스가 공급되기 때문에, 결국 처리 가스의 치환 시간을 짧게 할 수 있고, 스루풋을 향상시킬 수 있다. 또한, 가스 샤워 헤드(51)내의 처리 가스가 채워지는 용적이 작기 때문에, 처리 가스의 치환시마다 배기되는 헛된 처리 가스의 양을 줄일 수 있다.
또한, 성막 가스의 분해에 의한 생성물이 퇴적하는 면적(중앙 영역(53)의 내면적)이 작으므로, 수작업에 의한 클리닝에 필요한 시간이 짧아지기 때문에, 스루풋이 향상하는 동시에 메인터넌스가 용이하게 된다. 이와 같이 웨이퍼(W)의 직경에 대해, 작은 면적의 중앙 영역(53)으로부터 가스를 공급해도, 처리 영역(11)의 높이(웨이퍼(W)와 가스 샤워 헤드(51)의 사이의 거리)나 프로세스 조건 등을 조정하고 있으므로, 충분한 면내의 균일성이 얻어진다.
또한, 성막 가스에 비해, 오존 가스 쪽이 저렴하기 때문에, TEMAZ막(90)의 산화를 실행할 때에는 오존 가스를 주연 영역(54)으로부터도 공급함으로써, 신속하게 ZrO2막(91)을 생성시킬 수 있으므로, 스루풋을 높일 수 있다.
이와 같이, 웨이퍼(W)에 대해 위쪽으로부터 가스 샤워 헤드(51)에 의해 가스를 공급하고 있으므로, 후술하는 실험예로부터도 명확한 바와 같이, 신속하게 웨이퍼(W)의 표면 전면에 가스를 공급할 수 있고, 그 결과 가스의 사용량을 저감할 수 있으며, 또한 면내에 있어서의 막두께나 막질의 균일성이 향상한다. 또한, 위쪽으로부터 가스를 공급함으로써, 가스와 웨이퍼(W)의 충돌(접촉) 확률이 높아지므로, 성막 가스의 반응율(수율)이 향상하여, 저렴하게 성막할 수 있다. 특히, 처리 가스를 분해시키기 위해 예를 들면 250℃ 이상의 고온으로 하는 경우에는 반응의 진행 방법이 빠르기 때문에 사이드 플로 방식에서는 막두께나 막질의 면내 균일성이 나 빴지만, 본 실시형태에서는 웨이퍼(W) 표면상의 가스의 이동 거리가 짧으므로, 막질이나 막두께의 면내 균일성의 향상을 도모하는 동시에, 성막시간의 단축화를 도모할 수 있다.
또한, 기술한 ALD법에 의해 가스 샤워 헤드(51)로부터 처리 가스를 공급하여 성막함에 있어서, 스테이지(71)를 둘러싸도록 형성된 고리형상의 배기 공간(13)을 거쳐서, 둘레 방향으로 등간격으로 4개소의 배기구(32)로부터 처리 가스를 배기하고 있다. 이 때문에, 처리 영역(11)의 분위기를 등방적이고 또한 신속하게 배기할 수 있다. 이 때문에, 성막 가스와 산화성 가스를 전환할 때에, 예를 들면 3slm~5slm의 대유량의 퍼지 가스를 공급해도, 가스의 막힘을 억제하여 신속하게 예를 들면 5초 이내에 배기할 수 있으므로, 처리용기(31)내의 가스의 치환 시간을 짧게 할 수 있고, 스루풋을 향상시킬 수 있다. 또한, 처리 영역(11)으로부터 등방적으로 배기하고 있는 것에 부가해서, 가스 샤워 헤드(51)로부터 웨이퍼(W)에 가스를 균일하게 공급하고 있으므로, 예를 들면 280℃의 고온에서 ZrO2막(91)을 성막하는 경우에 있어서도, 면내에 있어서 막질이나 막두께의 균일한 성막 처리를 실행할 수 있기 때문에, 더욱 스루풋이 향상하여, 소위 ALD법이라고 불리고 있는 성막 방법을 실현하기 위한 극히 유효한 방법이다.
처리 영역(11)의 용적(V1)을 작게(1.07리터) 하고, 이 처리 영역(11)의 용적(V1)에 비해 배기 공간(13)의 용적(V2)을 크게(9.61리터) 하여, 양자의 비(V2÷V1)가 9.0으로 되도록 하고 있으므로, 가스를 치환할 때에, 처리 영역(11)의 분위 기를 신속하게 배기 공간(13)에 보낼 수 있기 때문에, 가스의 치환 시간을 짧게 할 수 있다. 또한, 이 비가 9.0이상으로 되도록, 예를 들면 처리용기(31)의 연직 방향의 치수를 늘리거나, 가스 샤워 헤드(51)와 웨이퍼(W)의 거리 h를 짧게 해도 좋다. 도 10은 도 2 중에 있어서의 높이 h를 8㎜, 13.5㎜, 25㎜로 변화시키고, V2/V1의 값을 변경해서 ZrO2막(91)을 성막했을 때의 막두께 균일성을 나타내는 데이터이며, 이것에 의하면 균일성 3% 이하를 달성하기 위해서는 V2/V1의 값이 9이상 필요한 것을 알 수 있다.
또한, 스테이지(71)의 아래쪽에, 배기 공간(13)과 구획된 하부 영역(12)이 형성되도록, 스테이지 커버(78)와 지지체(76)를 마련하고 있으므로, 스테이지(71)가 승강해도, 하부 영역(12)으로의 성막 가스 등의 돌아 들어감이 억제되어, 이 하부 영역(12)에의 성막종 등의 부착을 억제할 수 있기 때문에, 벨로우즈(74)의 파손을 억제할 수 있다. 스테이지 커버(78)와 지지체(76)를 마련하는 것에 의해서, 특히 다른 부재를 마련하는 일 없이, 상기의 배기 공간(13)을 형성할 수 있다고 하는 효과도 있다.
또한, 하부 영역(12)에 예를 들면 질소 가스를 공급하여, 처리용기(31)내(처리 영역(11))의 압력보다도 이 하부 영역(12)의 압력을 높게 하고 있으므로, 하부 영역(12)으로의 성막 가스 등의 돌아 들어감이 더욱 억제된다.
성막 가스와 오존 가스를 중앙 영역(53)내에서 접촉시키지 않도록 하고 있으므로, 중앙 영역(53)내에 있어서의 ZrO2의 생성을 억제할 수 있기 때문에, 처리 가 스의 사용량을 줄일 수 있는 동시에, 파티클의 발생을 억제할 수 있다. 또한, 중앙 영역(53)내에 있어서, 성막 가스와 오존 가스가 서로 섞이도록, 중앙 영역(53)에 있어서의 각각의 유로를 공통화하도록 해도 좋다. 이 예에 대해, 이하에 설명한다.
도 11 및 도 12는 본 발명의 제 2 실시형태의 가스 샤워 헤드(51a)의 일예를 나타내고 있다. 가스 샤워 헤드(51a) 이외의 구성은 기술한 성막 장치(20)와 동일하므로, 생략한다. 이 가스 샤워 헤드(51a)에 있어서는 주연 영역(54)에 대해서는 기술한 가스 샤워 헤드(51)와 동일한 구성이지만, 중앙 영역(56)은 제 1 처리 가스와 제 2 처리 가스의 유로를 구획하지 않고, 원통체(53a)의 하면의 전면에 형성된 토출 구멍(64)으로부터 가스를 토출하도록 구성되어 있다. 이 원통체(53a)의 내부 공간은 제 1 처리 가스 및 제 2 처리 가스의 각각이 확산하는 확산 공간(65)을 이루고 있다.
또한, 처리용기(31)의 천벽에는 덮개(39)가 마련되지 않고, 직접 제 1 가스 공급로(81)와 제 2 가스 공급로(82)가 접속된다. 또한, 이 예에 있어서도, 중앙 영역(56) 및 주연 영역(54)과 처리용기(31)의 천벽은 도시하지 않은 홈내에 수납된 시일체에 의해 밀착되어 있다. 또한, 기술한 도 4와 마찬가지로, 중앙 영역(56), 주연 영역(54) 및 처리용기(31)는 볼트와 나사 구멍에 의해서 밀착되어 있지만, 생략해서 나타내고 있다.
이 실시형태에 있어서도, 제 1 실시형태와 마찬가지로 성막 처리가 실행되어, 상기의 효과와 마찬가지의 효과가 얻어진다.
또한, 이상의 실시형태에서는 제 1 가스 공급로(81) 및 제 2 가스 공급 로(82)에 퍼지 가스 공급로(81a, 82a)를 접속하여, 중앙 영역(53)내에 제 1 가스 공급로(81) 및 제 2 가스 공급로(82)를 거쳐서 퍼지 가스를 공급하도록 구성했지만, 제 1 가스 공급로(81) 및 제 2 가스 공급로(82)로부터 독립해서 퍼지 가스를 공급하도록 해도 좋다. 그 경우에는 제 1 가스 공급로(81)에 성막 가스원(84)을 접속하고, 또한 도시하지 않은 퍼지 가스 유로에 의해서 퍼지 가스원(86)과 덮개(39)가 접속된다. 이 구성에서는 기술한 스텝 S54, S56에 있어서 중앙 영역(53)내의 처리 가스가 퍼지 가스에 의해서 배출되지만, 제 1 가스 공급로(81) 및 제 2 가스 공급로(82)내의 처리 가스에 대해서는 배출되지 않으므로, 상술한 제 1 및 제 2 실시형태의 구성으로 하는 쪽이 바람직하다.
또한, 상기의 예에서는 제 1 처리 가스로서 TEMAZ 가스를 이용하여 ZrO2막을 성막하는 예에 대해 설명했지만, 예를 들면 TEMAH(테트라키스에틸메틸아미노하프늄) 가스, 3DMAS(트리스디메틸아미노실란) 가스, Sr(METHD)2(비스(메톡시에톡시테트라메틸헵탄디오네이트)스트론튬) 가스, TDMAT(테트라키스디메틸아미노티탄) 가스, La(dpm)3(트리스디피바로일 메타네이토란탄(trisdipybaroil metanatolanthanum)) 가스 또는 Y(iPr2amd) 가스를 성막 가스로서 이용하여, 각각의 성막 가스를 웨이퍼(W)상에 흡착시키고, 그 후 산화하는 것에 의해, 각각 HfO2, HfSiO, SrTiO, LaO2 또는 Y doped HfO 등의 고유전체 재료를 성막해도 좋다. 이 경우에는 처리 조건(처리 시간, 처리 온도)이나 배기로(33)의 온도 등은 적절히 설정된다. 또한, 상기의 예에서는 웨이퍼(W)상에 있어서 TEMAZ 가스와 오존 가스를 반 응시키기 위한 에너지를 공급하기 위한 에너지 공급 수단으로서 히터(73)를 이용했지만, 이 에너지는 예를 들면 자외선 등의 광에너지라도 좋다.
실시예
(실시예 1)
다음에, 본 발명의 효과를 확인하기 위해 실행한 실험에 대해 설명한다.
실험은 이하의 처리 조건에 따라 성막을 실행하고, 그 후 막두께 등의 특성을 측정하였다.
(처리 조건)
가스종(성막 가스/산화성 가스): TEMAZ 가스/오존 가스=10, 25, 50, 100(mg/min)/200(g/Nm3)
처리 시간(성막/산화): 1.5/3sec
처리온도: 250℃
가스 치환 시간(성막/산화): 5/5sec
성막/산화의 반복 횟수: 100회
(실험예 1)
기술한 가스 샤워 헤드(51)를 구비한 성막 장치(20)에 있어서 실험을 실행하였다.
(비교예 1)
기술한 도 15에 나타낸 성막 장치(100)에 있어서 실험을 실행하였다.
(실험 결과)
도 13a 및 13b에 나타내는 바와 같이, 실험예 1에서는 TEMAZ 가스의 유량이 적은 상태에서도, 막두께와 막두께의 균일성이 양호하였다. 이것은 상술한 바와 같이, 성막 가스가 웨이퍼(W)의 위쪽측으로부터 등방적으로 공급되고, 또한 웨이퍼(W)에 대해 위쪽으로부터 가스를 공급함으로써, 가스와 웨이퍼(W)의 충돌(접촉) 확률이 높아지고, 성막효율이 높아진 것을 나타내고 있다고 고려된다. 즉, 적은 유량이라도 충분히 반응이 진행하고 있다고 고려된다. 또한, 도 13b에 나타내는 바와 같이, 막두께의 면내 균일성이 높아져 있었기 때문에, 등방적으로 원료 가스의 공급이 실행되는 동시에, 등방적으로 배기되는 것에 의해서, 균일하게 성막 처리가 실행되고 있는 것을 알 수 있다.
한편, 비교예 1에서는 유량이 적은 상태에서는 막두께와 균일성이 나빠져 있었다. 이것은 기술한 바와 같이, 웨이퍼(W)에 대해 횡방향으로부터 가스를 공급하고 있기 때문에, 웨이퍼(W)와 가스의 충돌 확률이 낮고, 또한 웨이퍼(W)의 일단측으로부터 타단측에 막두께의 구배가 형성되는 것에 의한 것으로 고려된다. 유량을 늘릴 때마다, 비교예 1에 있어서의 막두께와 균일성이 개선되어 있고, 이것은 모두, 유량이 적을 때에는 헛되이 폐기하고 있는 가스의 양이 많은 것을 나타내고 있다. 이 도 13a 및 13b로부터, 실험예 1에서는 충분한 막두께와 그 균일성을 얻기 위해서는 비교예 1의 약 20% 정도의 유량으로 충분한 것을 알 수 있었다.
(실시예 2)
다음에, 상기와 마찬가지로, 이하의 조건에 있어서 실험을 실행하였다.
(처리 조건)
처리 시간(성막/산화): 1.5/3sec
가스 치환 시간(성막/산화): 5/5sec
성막/산화의 반복 횟수: 100회
(실험예 2)
가스종(성막 가스/산화성 가스): TEMAZ 가스/오존 가스=10(mg/min)/200(g/Nm3)
처리온도: 240℃에서 270℃까지는 5℃ 간격으로 하고, 270℃에서 300℃까지는 10℃ 간격으로 하였다.
또한, 기술한 가스 샤워 헤드(51)를 구비한 성막 장치(20)에 있어서 실험을 실행하였다.
(비교예 2)
가스종(성막 가스/산화성 가스): TEMAZ 가스/오존 가스=100(mg/min)/200(g/Nm3)
처리온도: 235℃에서 270℃까지는 5℃ 간격으로 하였다.
또한, 기술한 도 15에 나타낸 성막 장치(100)에 있어서 실험을 실행하였다.
또한, 실시예 1의 결과로부터, 성막 장치(100)에서는 TEMAZ 가스의 유량이 적은 상태에서는 양호한 결과가 얻어지지 않았으므로, 비교예 2에서는 TEMAZ 가스의 유량을 100mg/min으로 하였다. 또한, 270℃ 이상에서는 실험예 2와 비교예 2의 사이의 차가 명확하였으므로, 비교예 2의 실험을 중지하였다.
(실험 결과)
도 14a 및 14b에 나타내는 바와 같이, 실험예 2에서는 비교예 2와는 대조적으로, 처리온도를 올려도, 막두께나 막두께의 균일성이 변화하지 않고, 안정되어 있는 것을 알 수 있었다. 이것으로부터, 실험예 2에서는 저온에서도 충분히 반응이 일어나고 있는 것을 알 수 있었다. 즉, 처리온도를 올릴수록, 성막 가스의 분해가 진행하고, 불순물이 적은 ZrO2막(91)이 얻어지기 때문에, 이 실험예 2에서는 면내에 있어서의 막두께의 균일성을 유지하면서, 높은 순도의 ZrO2막(91)이 얻어지는 것을 알 수 있었다. 즉, 가스를 균등하게 공급하는 동시에, 균등하게 배기하고 있으므로, 온도를 올려도 막두께에 편차가 생기기 않아, 더욱 순도가 높은 막이 얻어지는 것을 알 수 있었다.
한편, 비교예 2에서는 처리온도를 올리면 막두께의 면내 균일성이 저하하기 때문에, 실질적으로 고온 성막할 수 없는 것을 알았다. 또한, 막중의 불순물의 농도와 표면의 거칠음을 측정한 결과, 실험예 2에서는 비교예 2의 약 2배 정도 양호한 결과가 얻어졌다.
또한, 굴절율에 대해서도 실험예 2에서는 비교예 2보다도 양호한 결과가 얻어졌다.

Claims (12)

  1. 처리용기와,
    상기 처리용기내에 배치되고, 기판을 탑재하기 위한 탑재대와,
    상기 탑재대에 탑재된 기판에 대향해서 마련되는 동시에, 다수의 가스 공급구멍을 갖고, 해당 기판의 중앙부에 대향하는 중앙 영역과 해당 기판의 주연부에 대향하는 주연 영역으로 구획된 가스 샤워 헤드와,
    상기 가스 샤워 헤드의 상기 중앙 영역에 제 1 처리 가스를 공급하기 위한 제 1 처리 가스 공급로를 갖는 제 1 처리 가스 공급 수단과,
    상기 가스 샤워 헤드의 상기 중앙 영역에 제 2 처리 가스를 공급하기 위한 제 2 처리 가스 공급로를 갖는 제 2 처리 가스 공급 수단과,
    상기 기판상에서 제 1 처리 가스와 제 2 처리 가스를 반응시키기 위한 에너지를 공급하는 에너지 공급 수단과,
    상기 제 1 처리 가스의 공급과 상기 제 2 처리 가스의 공급을 전환할 때에, 상기 가스 샤워 헤드의 상기 중앙 영역 및 상기 주연 영역에 퍼지 가스를 공급하기 위한 퍼지 가스 공급 수단을 구비하는 것을 특징으로 하는 성막 장치.
  2. 제 1 항에 있어서,
    상기 가스 샤워 헤드의 상기 중앙 영역의 면적은 상기 주연 영역의 면적의 50% 이하인 것을 특징으로 하는 성막 장치.
  3. 제 1 항에 있어서,
    상기 제 1 처리 가스 공급로와 상기 제 2 처리 가스 공급로는 서로 독립하고 있는 것을 특징으로 하는 성막 장치.
  4. 제 1 항에 있어서,
    상기 제 1 처리 가스 공급로와 상기 제 2 처리 가스 공급로는 적어도 일부에서 공통화되어 있는 것을 특징으로 하는 성막 장치.
  5. 제 1 항에 있어서,
    상기 에너지 공급 수단은 상기 탑재대에 탑재된 기판을 가열하는 가열 수단으로 이루어지는 것을 특징으로 하는 성막 장치.
  6. 제 1 항에 있어서,
    상기 제 1 처리 가스는 Zr, Hf, Si, Sr, Ti, Y 및 La에서 선택되는 1종 이상 의 화합물을 성막하기 위한 성막 가스로 이루어지고,
    상기 제 2 처리 가스는 상기 화합물을 산화하여, 고유전체 재료를 얻기 위한 산화성 가스로 이루어지는 것을 특징으로 하는 성막 장치.
  7. 처리용기와, 상기 처리용기내에 배치된 탑재대와, 상기 탑재대에 탑재된 기판에 대향해서 마련되는 동시에, 다수의 가스 공급구멍을 갖고, 해당 기판의 중앙부에 대향하는 중앙 영역과 해당 기판의 주연부에 대향하는 주연 영역으로 구획된 가스 샤워 헤드와, 에너지를 공급하는 에너지 공급 수단을 갖는 성막 장치를 이용한 성막 방법으로서,
    처리용기내의 탑재대에 기판을 탑재하는 탑재 공정(a)과,
    상기 가스 샤워 헤드의 상기 중앙 영역에 제 1 처리 가스를 공급하여, 해당 중앙 영역으로부터 상기 기판에 제 1 처리 가스를 공급하는 제 1 처리 가스 공급 공정(b)과,
    상기 가스 샤워 헤드의 상기 중앙 영역 및 상기 주연 영역에 퍼지 가스를 공급하여, 상기 처리용기내의 제 1 처리 가스를 퍼지 가스로 치환하는 제 1 치환 공정(c)과,
    상기 가스 샤워 헤드의 상기 중앙 영역에 제 2 처리 가스를 공급하여, 해당 중앙 영역으로부터 상기 기판에 제 2 처리 가스를 공급하는 제 2 처리 가스 공급 공정(d)과,
    상기 에너지 공급 수단에 의해서, 상기 기판상에서 제 1 처리 가스와 제 2 처리 가스를 반응시키기 위한 에너지를 공급하는 에너지 공급 공정(e)과,
    상기 가스 샤워 헤드의 상기 중앙 영역 및 상기 주연 영역에 퍼지 가스를 공급하여, 상기 처리용기내의 제 2 처리 가스를 퍼지 가스로 치환하는 제 2 치환 공정(f)을 구비하고,
    상기 제 1 처리 가스 공급 공정(b), 상기 제 1 치환 공정(c), 상기 제 2 처리 가스 공급 공정(d) 및 상기 제 2 치환 공정(f)을 복수회 순차 반복하는 것을 특징으로 하는 성막 방법.
  8. 제 7 항에 있어서,
    상기 가스 샤워 헤드의 상기 중앙 영역의 면적은 상기 주연 영역의 면적의 50% 이하인 것을 특징으로 하는 성막 방법.
  9. 제 7 항에 있어서,
    상기 가스 샤워 헤드의 상기 중앙 영역에 공급되는 제 1 처리 가스와, 상기 가스 샤워 헤드의 상기 중앙 영역에 공급되는 제 2 처리 가스는 서로 다른 유로를 통과하는 것을 특징으로 하는 성막 방법.
  10. 제 7 항에 있어서,
    상기 가스 샤워 헤드의 상기 중앙 영역에 공급되는 제 1 처리 가스와, 상기 가스 샤워 헤드의 상기 중앙 영역에 공급되는 제 2 처리 가스는 적어도 일부에서 공통된 유로를 통과하는 것을 특징으로 하는 성막 방법.
  11. 제 7 항에 있어서,
    상기 에너지 공급 공정(e)은 상기 에너지 공급 수단에 의해 상기 탑재대에 탑재된 기판을 가열하는 것을 특징으로 하는 성막 방법.
  12. 컴퓨터에 성막 방법을 실행시키기 위한 컴퓨터 프로그램을 저장한 기억 매체에 있어서,
    해당 성막 방법은
    처리용기와, 상기 처리용기내에 배치된 탑재대와, 상기 탑재대에 탑재된 기판에 대향해서 마련되는 동시에, 다수의 가스 공급구멍을 갖고, 해당 기판의 중앙부에 대향하는 중앙 영역과 해당 기판의 주연부에 대향하는 주연 영역으로 구획된 가스 샤워 헤드와, 에너지를 공급하는 에너지 공급 수단을 갖는 성막 장치를 이용한 성막 방법으로서,
    처리용기내의 탑재대에 기판을 탑재하는 탑재 공정(a)과,
    상기 가스 샤워 헤드의 상기 중앙 영역에 제 1 처리 가스를 공급하여, 해당 중앙 영역으로부터 상기 기판에 제 1 처리 가스를 공급하는 제 1 처리 가스 공급 공정(b)과,
    상기 가스 샤워 헤드의 상기 중앙 영역 및 상기 주연 영역에 퍼지 가스를 공급하여, 상기 처리용기내의 제 1 처리 가스를 퍼지 가스로 치환하는 제 1 치환 공정(c)과,
    상기 가스 샤워 헤드의 상기 중앙 영역에 제 2 처리 가스를 공급하여, 해당 중앙 영역으로부터 상기 기판에 제 2 처리 가스를 공급하는 제 2 처리 가스 공급 공정(d)과,
    상기 에너지 공급 수단에 의해서, 상기 기판상에서 제 1 처리 가스와 제 2 처리 가스를 반응시키기 위한 에너지를 공급하는 에너지 공급 공정(e)과,
    상기 가스 샤워 헤드의 상기 중앙 영역 및 상기 주연 영역에 퍼지 가스를 공급하여, 상기 처리용기내의 제 2 처리 가스를 퍼지 가스로 치환하는 제 2 치환 공정(f)을 구비하고,
    상기 제 1 처리 가스 공급 공정(b), 상기 제 1 치환 공정(c), 상기 제 2 처리 가스 공급 공정(d) 및 상기 제 2 치환 공정(f)을 복수회 순차 반복하는 방법인 것을 특징으로 하는 기억 매체.
KR1020097020162A 2007-03-27 2008-03-13 성막 장치, 성막 방법 및 기억 매체 KR101131681B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2007-082533 2007-03-27
JP2007082533A JP5034594B2 (ja) 2007-03-27 2007-03-27 成膜装置、成膜方法及び記憶媒体
PCT/JP2008/054635 WO2008117675A1 (ja) 2007-03-27 2008-03-13 成膜装置、成膜方法及び記憶媒体

Publications (2)

Publication Number Publication Date
KR20090130006A KR20090130006A (ko) 2009-12-17
KR101131681B1 true KR101131681B1 (ko) 2012-03-28

Family

ID=39788411

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097020162A KR101131681B1 (ko) 2007-03-27 2008-03-13 성막 장치, 성막 방법 및 기억 매체

Country Status (6)

Country Link
US (1) US8539908B2 (ko)
JP (1) JP5034594B2 (ko)
KR (1) KR101131681B1 (ko)
CN (1) CN101647104B (ko)
TW (1) TWI431688B (ko)
WO (1) WO2008117675A1 (ko)

Families Citing this family (296)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7896967B2 (en) * 2006-02-06 2011-03-01 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
CN101657565A (zh) * 2007-04-17 2010-02-24 株式会社爱发科 成膜装置
JP5616591B2 (ja) * 2008-06-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
JP5597463B2 (ja) * 2010-07-05 2014-10-01 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP5689294B2 (ja) * 2010-11-25 2015-03-25 東京エレクトロン株式会社 処理装置
KR102001247B1 (ko) * 2011-06-30 2019-07-17 어플라이드 머티어리얼스, 인코포레이티드 고속 가스 교환, 고속 가스 전환 및 프로그램 가능한 가스 전달을 위한 방법 및 장치
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8916480B2 (en) * 2011-12-07 2014-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical vapor deposition film profile uniformity control
JP6038618B2 (ja) * 2011-12-15 2016-12-07 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
TW201335418A (zh) * 2012-02-17 2013-09-01 Tokyo Electron Ltd Mocvd反應器用淋灑頭、mocvd反應器、mocvd裝置、以及潔淨方法
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN203237432U (zh) * 2012-12-24 2013-10-16 鸿准精密模具(昆山)有限公司 贴膜机构
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6157942B2 (ja) * 2013-06-13 2017-07-05 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
JP6199619B2 (ja) * 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー 気相成長装置
KR102127715B1 (ko) * 2013-08-09 2020-06-29 에스케이실트론 주식회사 에피텍셜 반응기
JP6158025B2 (ja) * 2013-10-02 2017-07-05 株式会社ニューフレアテクノロジー 成膜装置及び成膜方法
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102268959B1 (ko) * 2014-03-31 2021-06-24 삼성디스플레이 주식회사 원자층 증착 장치 및 이를 이용한 원자층 증착 방법
KR20150140936A (ko) * 2014-06-09 2015-12-17 삼성전자주식회사 유도 결합형 플라즈마(Inductively Coupled Plasma : ICP)를 이용한 식각 장치
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP2016036018A (ja) 2014-07-31 2016-03-17 東京エレクトロン株式会社 プラズマ処理装置及びガス供給部材
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
DE102015209503B4 (de) * 2015-05-22 2016-12-08 Daniel Daferner Reaktor und Verfahren zur Behandlung eines Substrats
JP6054470B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
JP5990626B1 (ja) * 2015-05-26 2016-09-14 株式会社日本製鋼所 原子層成長装置
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10622189B2 (en) * 2016-05-11 2020-04-14 Lam Research Corporation Adjustable side gas plenum for edge rate control in a downstream reactor
US10829855B2 (en) 2016-05-20 2020-11-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6756853B2 (ja) * 2016-06-03 2020-09-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated チャンバ内部の流れを拡散させることによる低い粒子数及びより良好なウエハ品質のための効果的で新しい設計
CN109075007B (zh) * 2016-06-21 2021-07-06 应用材料公司 Rf返回条带屏蔽盖罩
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
JP6798185B2 (ja) * 2016-08-08 2020-12-09 東京エレクトロン株式会社 液処理方法、基板処理装置及び記憶媒体
US9818601B1 (en) * 2016-09-28 2017-11-14 Asm Ip Holding B.V. Substrate processing apparatus and method of processing substrate
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN109983568B (zh) * 2017-02-20 2023-02-28 村田机械株式会社 吹扫储料器
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
TWI633585B (zh) * 2017-03-31 2018-08-21 漢民科技股份有限公司 用於半導體製程之氣體噴射器與頂板之組合及成膜裝置
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
KR102493945B1 (ko) * 2017-06-06 2023-01-30 어플라이드 머티어리얼스, 인코포레이티드 Teos 유동의 독립적 제어를 통한 증착 반경방향 및 에지 프로파일 튜닝가능성
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) * 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) * 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
KR102154486B1 (ko) * 2018-10-11 2020-09-10 주식회사 테스 가스공급유닛
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US11306396B2 (en) 2018-11-30 2022-04-19 Meidensha Corporation Oxide film forming device
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
TWI725717B (zh) * 2019-03-28 2021-04-21 日商國際電氣股份有限公司 半導體裝置之製造方法、基板處理裝置及記錄媒體
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
KR20210036714A (ko) * 2019-09-26 2021-04-05 삼성전자주식회사 강유전 박막 구조체 및 그 제조방법과, 강유전 박막 구조체를 포함하는 전자 소자
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
DE102019129789A1 (de) 2019-11-05 2021-05-06 Aixtron Se Verfahren zum Abscheiden einer zweidimensionalen Schicht sowie CVD-Reaktor
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
CN113445029A (zh) * 2020-03-25 2021-09-28 拓荆科技股份有限公司 双面沉积设备及方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220050047A (ko) 2020-10-15 2022-04-22 에이에스엠 아이피 홀딩 비.브이. 예측 유지보수 방법 및 예측 유지보수 장치
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11940819B1 (en) * 2023-01-20 2024-03-26 Applied Materials, Inc. Mass flow controller based fast gas exchange

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004193173A (ja) * 2002-12-06 2004-07-08 Fujitsu Ltd 気相成長装置及び気相成長方法
JP2006287114A (ja) * 2005-04-04 2006-10-19 Tokyo Electron Ltd 成膜装置、成膜方法および記録媒体

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04348031A (ja) * 1990-12-28 1992-12-03 Mitsubishi Electric Corp 化学気相成長装置
JPH05152208A (ja) * 1991-11-29 1993-06-18 Fujitsu Ltd 半導体製造装置
JPH06295862A (ja) * 1992-11-20 1994-10-21 Mitsubishi Electric Corp 化合物半導体製造装置及び有機金属材料容器
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5591269A (en) * 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
JP3468859B2 (ja) * 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
JP3360265B2 (ja) * 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR100331544B1 (ko) * 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
JP2000306884A (ja) * 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
JP2002129337A (ja) * 2000-10-24 2002-05-09 Applied Materials Inc 気相堆積方法及び装置
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US20030000924A1 (en) * 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
JP4099092B2 (ja) * 2002-03-26 2008-06-11 東京エレクトロン株式会社 基板処理装置および基板処理方法、高速ロータリバルブ
US20050211167A1 (en) * 2002-06-10 2005-09-29 Tokyo Electron Limited Processing device and processing method
US20040050325A1 (en) * 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
TW587139B (en) * 2002-10-18 2004-05-11 Winbond Electronics Corp Gas distribution system and method for the plasma gas in the chamber
JP2005048208A (ja) * 2003-07-30 2005-02-24 Hitachi Kokusai Electric Inc 基板処理装置
JP4177192B2 (ja) * 2003-08-05 2008-11-05 株式会社日立ハイテクノロジーズ プラズマエッチング装置およびプラズマエッチング方法
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US20060021580A1 (en) * 2004-06-02 2006-02-02 Tokyo Electron Limited Plasma processing apparatus and impedance adjustment method
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
JP4515191B2 (ja) * 2004-08-03 2010-07-28 東京エレクトロン株式会社 成膜方法
JP2006210727A (ja) * 2005-01-28 2006-08-10 Hitachi High-Technologies Corp プラズマエッチング装置およびプラズマエッチング方法
US7314835B2 (en) * 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
JP4895167B2 (ja) * 2006-01-31 2012-03-14 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法
US7896967B2 (en) * 2006-02-06 2011-03-01 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
US20070249173A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch process using etch uniformity control by using compositionally independent gas feed
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US7431859B2 (en) * 2006-04-28 2008-10-07 Applied Materials, Inc. Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation
US7540971B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US7541292B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7884025B2 (en) * 2007-01-30 2011-02-08 Applied Materials, Inc. Plasma process uniformity across a wafer by apportioning ground return path impedances among plural VHF sources
US7674394B2 (en) * 2007-02-26 2010-03-09 Applied Materials, Inc. Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution
WO2008123391A2 (en) * 2007-03-23 2008-10-16 Panasonic Corporation Apparatus and method for plasma doping
US8235001B2 (en) * 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
JP5192214B2 (ja) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
JP5152208B2 (ja) 2010-01-20 2013-02-27 星光Pmc株式会社 変性ポリオレフィン樹脂水性分散体の製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004193173A (ja) * 2002-12-06 2004-07-08 Fujitsu Ltd 気相成長装置及び気相成長方法
JP2006287114A (ja) * 2005-04-04 2006-10-19 Tokyo Electron Ltd 成膜装置、成膜方法および記録媒体

Also Published As

Publication number Publication date
KR20090130006A (ko) 2009-12-17
TWI431688B (zh) 2014-03-21
CN101647104B (zh) 2012-04-04
US8539908B2 (en) 2013-09-24
US20100119727A1 (en) 2010-05-13
CN101647104A (zh) 2010-02-10
JP5034594B2 (ja) 2012-09-26
JP2008244142A (ja) 2008-10-09
WO2008117675A1 (ja) 2008-10-02
TW200903638A (en) 2009-01-16

Similar Documents

Publication Publication Date Title
KR101131681B1 (ko) 성막 장치, 성막 방법 및 기억 매체
JP5544697B2 (ja) 成膜装置
JP5347294B2 (ja) 成膜装置、成膜方法及び記憶媒体
JP5141607B2 (ja) 成膜装置
KR101504910B1 (ko) 성막 장치
KR101387289B1 (ko) 성막 장치 및 성막 방법
JP5315898B2 (ja) 成膜装置
JP5565242B2 (ja) 縦型熱処理装置
US20100272895A1 (en) Film deposition apparatus, film deposition method, storage medium, and gas supply apparatus
JP2018046114A (ja) 基板処理装置
JP2012164736A (ja) 基板処理装置及び半導体装置の製造方法
KR20100032328A (ko) 성막 장치, 성막 방법 및 컴퓨터 판독 가능 기억 매체
JP2010087236A (ja) 真空処理装置
KR20170007132A (ko) 기판 처리 장치
KR20180064983A (ko) 클리닝 방법
TWI392019B (zh) A substrate processing method, a recording medium, and a substrate processing apparatus
JP5176358B2 (ja) 成膜装置及び成膜方法
KR102491924B1 (ko) 성막 방법 및 성막 장치
KR20180066844A (ko) 기판 처리 장치
JP5083153B2 (ja) 真空処理装置
JP2004288899A (ja) 成膜方法および基板処理装置
JP2005142355A (ja) 基板処理装置及び半導体装置の製造方法
JP2010129983A (ja) 成膜装置
JP2022061961A (ja) ガス供給ユニットおよびガス供給ユニットを含む基材処理装置
JP2011187757A (ja) 半導体装置の製造方法及び基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150224

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160219

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170221

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180302

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190305

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20200302

Year of fee payment: 9