CN101647104A - 成膜装置、成膜方法和存储介质 - Google Patents

成膜装置、成膜方法和存储介质 Download PDF

Info

Publication number
CN101647104A
CN101647104A CN200880010113A CN200880010113A CN101647104A CN 101647104 A CN101647104 A CN 101647104A CN 200880010113 A CN200880010113 A CN 200880010113A CN 200880010113 A CN200880010113 A CN 200880010113A CN 101647104 A CN101647104 A CN 101647104A
Authority
CN
China
Prior art keywords
gas
supply
middle section
spray head
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200880010113A
Other languages
English (en)
Other versions
CN101647104B (zh
Inventor
高木俊夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101647104A publication Critical patent/CN101647104A/zh
Application granted granted Critical
Publication of CN101647104B publication Critical patent/CN101647104B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供成膜装置、成膜方法和存储介质。该成膜装置包括:处理容器(31);和配置在处理容器(31)内、用于载置基板W的载置台(71)。该成膜装置还包括:气体喷淋头(51),其具有多个气体供给孔(61a、62a、63a),被划分为与该基板(W)的中央部相对的中央区域(53)和与该基板(W)的周边部相对的周边区域(54);向中央区域(53)供给第一处理气体的第一处理气体供给单元;向中央区域(53)供给第二处理气体的第二处理气体供给单元;能量供给单元,其供给用于使第一处理气体和第二处理气体在基板(W)上反应的能量;和吹扫气体供给单元,其用于在切换第一处理气体的供给和第二处理气体的供给时,向气体喷淋头(51)的中央区域(53)和周边区域(54)供给吹扫气体。

Description

成膜装置、成膜方法和存储介质
优先权主张
本申请对2007年3月27日提出的日本专利申请2007-082533主张优先权,并在此引入该日本专利申请2007-082533的全部内容作为参照。
技术领域
本发明涉及通过多次交替切换地供给第一处理气体和第二处理气体,在基板上形成这些处理气体的反应生成物的膜的技术。
背景技术
已知存在下述半导体制造工艺中的成膜方法:使第一处理气体吸附在基板的表面,接着从第一处理气体切换为第二处理气体,通过两气体的反应形成一层或者少数层的原子层、分子层,进行多次该循环,从而对这些层进行叠层,在基板上进行成膜。该方法例如被称为ALD(Atomic Layer Deposition:原子层沉积)、MLD(Molecular LayerDeposition:分子层沉积)等,根据循环数能够高精度地控制膜厚,并且膜质的面内均匀性良好,能够有效地应对半导体器件的薄膜化。为了实施该方法,使用例如图15所示的成膜装置100(参照日本特开2004-6733([0056]和图8))。
在该成膜装置100中,例如,从设置在基板101的侧方(图15中右侧)的处理气体供给口102供给包含例如金属化合物的气体即第一处理气体,并且,从以与该处理气体供给口102相对的方式设置的排气口104排出第一处理气体,由此,使该处理气体吸附在基板101上。接着,从处理气体供给口102的相反侧(图15中左侧)的臭氧气体供给口103供给臭氧气体作为第二处理气体,并且从排气口105对该臭氧气体进行排气,对吸附在基板101上的第一处理气体进行氧化,形成氧化物的膜。以将由该第一处理气体的吸附和氧化构成的处理的循环反复进行多次例如100次的方式,多次切换第一处理气体和臭氧气体的供给,从而能够得到所希望的膜厚的氧化物。
例如每数秒即进行交替供给该第一处理气体和臭氧气体的循环,因此需要高速切换排气口104、105。于是,在该排气口104、105设置有高速旋转阀106,配合上述循环使该高速旋转阀106旋转,从而进行排气口104、105的开关,高速地切换排气方。
如上所述,该成膜按照使气体从基板101的一侧向另一侧(图中横向)流通的侧流(side flow)方式进行,因此,为了抑制横向的膜厚、膜质的偏析,在例如200~240℃左右的低温的稳定气氛下进行。
另一方面,在对氧化锆(ZrO2)等高电介质材料进行成膜的情况下,作为第一处理气体,使用例如TEMAZ(四乙基甲基氨基锆)气体等。这样的气体在低温下几乎不分解,所以如果在该状态下进行成膜,则杂质会进入膜中,造成膜质劣化。因此,这种材料在例如280℃左右的高温下进行成膜,但是,在这样的高温中,反应进行得快,具有在一次的循环中形成的膜厚会变厚的倾向,在侧流方式的情况下,基板101的表面的气体的移动距离较长,因此例如可能在气体的供给侧膜厚较厚,在排气侧膜厚较薄等,导致面内的膜厚的均匀性恶化。
另外,由于高电介质材料的气体价格很高,所以如果为了抑制其消耗量而减少流量,则如图16(a)所示,第一处理气体的供给侧的膜厚变厚。而且,在为了提高生产率(throughput),例如使供给臭氧气体的时间变短的情况下,如图16(b)所示,随着远离臭氧气体的供给源,臭氧气体的氧化能力变弱(臭氧气体被消耗),因此有可能出现基板101上的吸附膜没有被充分氧化的情况,在该情况下漏电流的面内均匀性恶化。
另外,如图16(c)所示,流过基板101附近的处理气体用于进行反应,另一方面,流过远离基板101的区域的处理气体则被直接排出,因此成膜效率(成膜比例)低,浪费了昂贵的处理气体,而且为了得到所希望的膜厚需要花费很长的时间。另外,上述的高速旋转阀106等也很昂贵,所以上述的成膜装置100的制造费用也很高,要求改善该情况。
于是,正在探讨例如使用在通常的CVD装置中运用的气体喷淋头(参照日本特开2006-299294([0021]~[0026]和图1)),从基板101的上方供给气体的方法。根据这样的气体的供给方法,因为气体从基板的中央朝向周边去,所以气体的移动距离与侧流方式相比更短,因此,对于成膜后的膜厚、膜质也能够期望得到高面内均匀性。但是,这样的气体喷淋头都是大型的,如上所述,为了将处理气体切换多次进行供给,在每次切换处理气体时都需要置换气体喷淋头内的气氛,会浪费大量的处理气体。此外,置换气体的容积(气体喷淋头内的容积)较大,在气体的置换中需要很长的时间,因此生产率也会降低。
进一步,作为上述高电介质材料的原料的TEMAZ气体的成膜物,在其除去作业中,还没有确立干式清洁的方法,而是进行手动操作的湿式清洁,因此,希望尽量减少这样的处理气体所接触的面积,希望使清扫时间变短。
但是,在使用气体喷淋头方式时,为了抑制在气体喷淋头内产生颗粒,在切换第一处理气体和第二处理气体时,需要向该气体喷淋头内供给吹扫气体,置换气体喷淋头内的气氛,但是,为了抑制生产率的降低,该气体置换需要增大吹扫气体的流量而快速地进行。
图17中表示了成膜装置200的一个例子,该成膜装置200具有大致蘑菇型的处理容器201和例如在内部埋设有加热器203的工作台202。在处理容器201的顶壁设置有用于向工作台202上的基板210供给处理气体的气体喷淋头205,处理气体在从气体喷淋头205供给到基板210之后,从设置在该处理容器201的下侧的侧壁的排气口208被排出。
但是,在上述的成膜装置200中,因为从处理容器201的下方侧的一端侧排出处理气体,所以处理容器201内的处理气体的流动产生偏差,其结果,基板210的表面的处理气体的流动变得不均匀,产生膜厚的参差不齐。另外,当气体流量增加时,膜厚的参差不齐会进一步变大,所以在该成膜装置200中,也存在不能够加大吹扫气体的流量的问题。进一步,在工作台202的下方侧连接未图示的升降部、通过处理容器201的外部的未图示的升降机构进行工作台202的升降的情况下,为了保持处理容器201内的气密性,需要在该升降部的周围和处理容器201的底面之间设置例如未图示的波纹管(bellows),并使得该波纹管随着工作台202的升降而一同伸缩,但是,在该情况下,在该波纹管处堆积处理气体、反应生成物等,波纹管有可能在收缩时破损,成为处理容器201的泄漏的原因。
另外,图18所示的成膜装置220采用下述结构:为了使基板210的表面的处理气体的流动均匀,以将从工作台202的侧方位置到形成有排气口208的处理容器201的下表面为止的环状空间与处理容器201内的空间分隔开的方式,设置环状的挡板209,并在该挡板209的上表面设置多处小径的孔211,由此,使朝向挡板209的内部流动的处理气体的流路变窄。通过缩小该孔211的面积,处理容器201内的压力均匀提高,因此,处理气体向着多个孔211均匀流动,从基板210的表面各向同性地进行排气。
但是,在这样的成膜装置220中,因为设置在挡板209的孔211为小径,所以不能够大幅度地增加吹扫气体的流量,上述成膜气体和氧化性气体切换时的气体置换需要花费较长的时间,因此导致生产率降低。
发明内容
本发明涉及一种成膜装置,其包括:
处理容器;
配置在上述处理容器内、用于载置基板的载置台;
气体喷淋头,其与载置在上述载置台上的基板相对地设置,并且具有多个气体供给孔,被划分为与该基板的中央部相对的中央区域和与该基板的周边部相对的周边区域;
第一处理气体供给单元,其具有用于向上述气体喷淋头的上述中央区域供给第一处理气体的第一处理气体供给通路;
第二处理气体供给单元,其具有用于向上述气体喷淋头的上述中央区域供给第二处理气体的第二处理气体供给通路;
能量供给单元,其供给用于使第一处理气体和第二处理气体在上述基板上反应的能量;和
吹扫气体供给单元,其用于在切换上述第一处理气体的供给和上述第二处理气体的供给时,向上述气体喷淋头的上述中央区域和上述周边区域供给吹扫气体。
在本发明涉及的成膜装置中,优选,上述气体喷淋头的上述中央区域的面积为上述周边区域的面积的50%以下。
在本发明涉及的成膜装置中,优选,上述第一处理气体供给通路和上述第二处理气体供给通路相互独立。
在本发明涉及的成膜装置中,优选,上述第一处理气体供给通路和上述第二处理气体供给通路,至少一部分被共用。
在本发明涉及的成膜装置中,优选,上述能量供给单元由对载置在上述载置台上的基板进行加热的加热单元构成。
在本发明涉及的成膜装置中,优选,上述第一处理气体由用于使选自Zr、Hf、Si、Sr、Ti、Y和La中的一种以上的化合物进行成膜的成膜气体构成,
上述第二处理气体由对上述化合物进行氧化,用于得到高电介质材料的氧化性气体构成。
本发明涉及一种成膜方法,该成膜方法使用的成膜装置包括:处理容器;配置在上述处理容器内的载置台;气体喷淋头,其与载置在上述载置台上的基板相对地设置,并且具有多个气体供给孔,被划分为与该基板的中央部相对的中央区域和与该基板的周边部相对的周边区域;以及供给能量的能量供给单元,该成膜方法包括:
将基板载置在处理容器内的载置台上的载置工序(a);
向上述气体喷淋头的上述中央区域供给第一处理气体,从该中央区域向上述基板供给第一处理气体的第一处理气体供给工序(b);
向上述气体喷淋头的上述中央区域和上述周边区域供给吹扫气体,将上述处理容器内的第一处理气体置换为吹扫气体的第一置换工序(c);
向上述气体喷淋头的上述中央区域供给第二处理气体,从该中央区域向上述基板供给第二处理气体的第二处理气体供给工序(d);
利用上述能量供给单元,供给用于使第一处理气体和第二处理气体在上述基板上反应的能量的能量供给工序(e);和
向上述气体喷淋头的上述中央区域和上述周边区域供给吹扫气体,将上述处理容器内的第二处理气体置换为吹扫气体的第二置换工序(f),
反复多次地依次进行上述第一处理气体供给工序(b)、上述第一置换工序(c)、上述第二处理气体供给工序(d)和上述第二置换工序(f)。
在本发明涉及的成膜方法中,优选,上述气体喷淋头的上述中央区域的面积为上述周边区域的面积的50%以下。
在本发明涉及的成膜方法中,优选,供给到上述气体喷淋头的上述中央区域的第一处理气体和供给到上述气体喷淋头的上述中央区域的第二处理气体,通过相互不同的流路。
在本发明涉及的成膜方法中,优选,供给到上述气体喷淋头的上述中央区域的第一处理气体和供给到上述气体喷淋头的上述中央区域的第二处理气体,通过至少一部分共用的流路。
在本发明涉及的成膜方法中,优选,上述能量供给工序(e)利用上述能量供给单元对载置在上述载置台上的基板进行加热。
本发明涉及一种存储介质,其存储有用于使计算机执行成膜方法的计算机程序,
其中,该成膜方法使用一种成膜装置,该成膜装置包括:处理容器;配置在上述处理容器内的载置台;气体喷淋头,其与载置在上述载置台上的基板相对地设置,并且具有多个气体供给孔,被划分为与该基板的中央部相对的中央区域和与该基板的周边部相对的周边区域;和供给能量的能量供给单元,
该成膜方法包括:
将基板载置在处理容器内的载置台上的载置工序(a);
向上述气体喷淋头的上述中央区域供给第一处理气体,从该中央区域向上述基板供给第一处理气体的第一处理气体供给工序(b);
向上述气体喷淋头的上述中央区域和上述周边区域供给吹扫气体,将上述处理容器内的第一处理气体置换为吹扫气体的第一置换工序(c);
向上述气体喷淋头的上述中央区域供给第二处理气体,从该中央区域向上述基板供给第二处理气体的第二处理气体供给工序(d);
利用上述能量供给单元,供给用于使第一处理气体和第二处理气体在上述基板上反应的能量的能量供给工序(e);和
向上述气体喷淋头的上述中央区域和上述周边区域供给吹扫气体,将上述处理容器内的第二处理气体置换为吹扫气体的第二置换工序(f),
反复多次地依次进行上述第一处理气体供给工序(b)、上述第一置换工序(c)、上述第二处理气体供给工序(d)和上述第二置换工序(f)。
本发明中,在交替切换第一处理气体和第二处理气体,在基板上形成这些气体的反应生成物的膜时,从与基板相对的气体喷淋头供给第一处理气体和第二处理气体,因此与侧流方式相比,能够得到薄膜的膜厚、膜质的高面内均匀性。而且,将气体喷淋头划分为中央区域和周边区域,从中央区域交替供给第一处理气体和第二处理气体,因此能够缩小被处理气体充满的气体喷淋头内的容积,能够缩短气体喷淋头内的处理气体的置换所需要的时间。而且,在处理容器内的处理气体的置换时,从气体喷淋头的周边区域也供给吹扫气体,因此能够缩短处理气体的置换时间,能够提高生产率。进一步,气体喷淋头内的被处理气体充满的容积小,因此也能够减少在置换处理气体时被排出而浪费的处理气体的量。
附图说明
图1是表示本发明的第一实施方式的成膜装置的一例的纵截面图。
图2是上述成膜装置的处理容器的放大图的截面。
图3是表示设置在上述成膜装置的气体喷淋头的一个例子的纵截面图。
图4是上述气体喷淋头的分解立体图。
图5是上述气体喷淋头的仰视平面图。
图6是表示本发明的成膜方法的一例的流程图。
图7是表示上述成膜的过程的示意图。
图8是表示上述成膜的过程中的处理容器内的状态的示意图。
图9是表示上述成膜的过程的示意图。
图10是表示处理区域的容积(V1)和排气空间的容积(V2)的比(V2/V1)、与膜厚的面内均匀性的相互关系的特性图。
图11是表示本发明的第二实施方式的成膜装置中设置的气体喷淋头的一个例子的纵截面图。
图12是上述气体喷淋头的分解立体图。
图13是表示本发明的实施例的结果的特性图。
图14是表示本发明的实施例的结果的特性图。
图15是表示现有的成膜装置的一例的纵截面图。
图16是表示使用上述成膜装置进行的成膜的状态的示意图。
图17是表示现有的成膜装置的一个例子的纵截面图。
图18是表示现有的成膜装置的一个例子的纵截面图。
具体实施方式
参照图1~图5对本发明的第一实施方式的成膜装置20的一个例子进行说明。该成膜装置20包括:处理容器31;构成气体供给部的气体喷淋头51;和用于载置作为基板的例如半导体晶片(下面,称为“晶片W”)的载置台,即扁平的圆柱状的工作台71。
气体喷淋头51以与载置在工作台71上的晶片W相对的方式设置在处理容器31的顶壁。该气体喷淋头51与晶片W之间的空间成为处理区域(处理空间)11。在该气体喷淋头51的外侧,以成为比气体喷淋头51的下端面更低的高度(成为接近晶片W的高度)的方式,设置有气流限制用的环状体52。该环状体52通过其平坦的下表面限制从处理区域11的处理气氛朝向外方的气流,从而使得处理气体在晶片W的外周部的流速的分布变得均匀,也可以使其下端面的高度与气体喷淋头51的下端面在相同的高度。
在工作台71设置有用于对晶片W进行静电吸附的静电吸盘72,该静电吸盘72与电源72a连接。另外,在工作台71设置有与电源73a连接的加热器(加热单元)73,能够将晶片W加热至例如600℃左右。该加热器73相当于供给用于使作为第一处理气体的成膜气体和作为第二处理气体的臭氧气体在晶片W上反应的能量的能量供给单元。
工作台71构成为,被作为升降部的柱状的支承部件71a从下表面侧支承,通过未图示的升降机构在用于进行晶片W的处理的处理位置、和用于通过处理容器31的壁面的搬送口35在其与外部之间进行晶片W的交接的搬送位置之间,能够升降规定的距离例如60mm左右。处理位置的气体喷淋头51和晶片W的距离h例如为8mm。此外,由于在搬送口35附近,有可能处理气体滞留,导致不能够均匀地进行成膜处理,因此,为了抑制该搬送口35附近的气体流动的影响地进行成膜处理,使工作台71的位置在处理位置和搬送位置之间改变。该支承部件71a在下表面侧与升降板71b连接。该升降板71b与处理容器31的下表面侧通过作为密封部的波纹管74气密地接合。波纹管74构成为能够伸缩,即使工作台71升降也能够保持处理容器31内的气密性。
另外,在工作台71,例如在三个位置的开口部设置有用于晶片W的升降的销75。该销75由针状的下部和比该下部的直径粗的上端部构成,利用设置在处理容器31的下表面的环状的升降部件75a进行升降,当升降部件75a向下方侧离开时,其上端的扩径部阻塞工作台71的开口部,将作为工作台71的下方侧的区域的下部区域(下部空间)12与处理区域11分开。此外,利用上述的结构,能够任意调整晶片W和气体喷淋头51的距离(处理区域11的高度)而进行处理。
在工作台71的下侧的处理容器31的底面上,设置有与该工作台71同轴、且与工作台71同径的环状的支承体76以作为第一环状壁,在该支承体76的周围,为了抑制反应生成物的堆积,设置有例如铝制的支承体罩76b。在工作台71的侧面和工作台71上的露出面(晶片W的外周侧),为了抑制反应生成物向工作台71的表面堆积,设置有例如石英制的工作台罩78以作为第二环状壁。该工作台罩78的侧壁伸长至工作台71的下侧,与上述支承体罩76b的外周面接近,而且位于与支承体76在高度方向上重合的位置,从而抑制处理气体向下部区域12蔓延。该工作台罩78构成为,即使上述工作台71上升到处理位置,也与支承体76在高度方向上重合,能够将下部区域12与放置晶片W的处理区域11的气氛划分开。
另外,在支承体76的上端面,以与该下部区域12连通的方式,例如在周方向等间隔地开有四个位置的气体供给口76a,经由例如气体供给通路76c与氮气等气体源77连接。该气体源77向下部区域12供给气体,通过使其成为比上述的处理区域11的压力稍高的压力,能够进一步抑制处理气体向下部区域12的蔓延。例如,在下部区域12设置未图示的压力计,将下部区域12的压力设定得比处理区域11的压力稍高。
被由工作台罩78的侧面构成的面和处理容器31的内壁包围的高度Hmm、外径Rmm、内径rmm的环状的空间,成为排气空间13。在该例子中,各尺寸H、R、r为152mm、250mm、206mm。此外,这里,处理区域11和排气空间13的容积V1、V2分别为1.07升、9.61升,两者的比(V2÷V1)为9.0。另外,外径R、内径r分别表示半径,处理区域11的容积V1在图2中是指(πr2h)。
在处理容器31的下表面,以包围支承体76的外侧的方式,例如沿着周方向等间隔地开有四个位置的例如半径25mm的排气口32,经由排气通路33与例如真空泵等真空排气单元34连接。此外,在该排气通路33,为了不堆积在处理区域11内生成的生成物,埋设有未图示的加热器,但是在此予以省略。该排气口32也可以设置在四个位置以上,例如设置在八个位置。这里,上述的排气空间13的下表面(处理容器31的下表面)的面积和排气口32的合计的面积的比(排气空间13的下表面的面积÷排气口32的合计面积)为8.0。
此外,在该处理容器31的内壁和排气通路33等的与处理气体接触的部位,贴设有例如铝制的未图示的防沉积罩(deposition shield),但是此处予以省略。
接着,对气体喷淋头51进行说明。如图3和图4所示,气体喷淋头51被划分为与晶片W的中央部相对的中央区域53、和与晶片W的周边部相对的周边区域54,中央区域53和周边区域54的底面的半径例如分别为85mm、160mm。该气体喷淋头51构成为能够从中央区域53供给后述的成膜气体、臭氧气体和吹扫气体,能够从周边区域54供给臭氧气体和吹扫气体。此外,作为第一处理气体的成膜气体和作为第二处理气体的臭氧气体,在该中央区域53内并不混合,而是相互独立地供给到处理区域11。即,向气体喷淋头51的中央区域53供给成膜气体用的第一气体供给通路(第一处理气体供给通路)81和向气体喷淋头51的中央区域53供给臭氧气体用的第二气体供给通路(第二处理气体供给通路)82相互独立。下面对该气体喷淋头51进行详细叙述。
中央区域53由上表面开口的扁平的圆筒体53a构成。该圆筒体53a的内部空间成为后述的臭氧气体进行扩散的第二扩散空间62。在圆筒体53a的底面,开有多个第二喷出孔62a,使得能够从第二扩散空间62向处理区域11供给臭氧气体。另外,在该圆筒体53a,设置有多个以其上端面的高度位置与圆筒体53a的周围壁的高度相等的方式形成的环状的柱部61b。该柱部61b内的开口部成为成膜气体的流路的一部分,下表面作为第一喷出孔(气体供给孔)61a与处理区域11连通。该第一喷出孔61a与第二喷出孔(气体供给孔)62a,如图5所示,呈多个直径不同的同心圆状,从内周侧向外周侧交替配置。此外,该图5是表示从下侧(晶片W侧)观察气体喷淋头51的状态的图。
周边区域54在内周边和外周边分别具有立起壁54b、54c,并且利用与圆筒体53a同心且高度相同的环状体54a而构成。该环状体54a的内部空间构成后述的吹扫气体、臭氧气体进行扩散的第三扩散空间63。在环状体54a的底面,沿周方向等间隔地在例如八个位置、在半径部分沿径向等间隔地在例如四个位置开有第三喷出孔(气体供给孔)63a。
中央区域53和周边区域54与处理容器31的顶壁气密地接合,成为气体喷淋头51。此外,在圆筒体53a的周围壁和环状体54a的立起壁54b、54c,在其上表面设置有槽和嵌入该槽的密封体,其上表面被研磨,以保持与处理容器31的顶壁的气密性,但在这里予以省略。
优选该中央区域53的下表面的面积(α)为周边区域54的下表面的面积(β)的50%以下,更优选为30%~40%。这里,α是圆筒体53a的下表面的面积,β是环状体54a的下表面的面积。此外,在该例子中,虽然将第一喷出孔61a和第二喷出孔62a配置为同心圆状,但这是为了方便而采用的布局,例如也可以配置为格子状。
在处理容器31的顶壁,第三气体供给口38沿着周方向等间隔地形成在例如四个位置,使得与上述的周边区域54的第三扩散空间63连通。另外,在处理容器31的顶壁,第二气体供给口37沿着周方向等间隔地形成在例如四个位置,使得与中央区域53的第二扩散空间62连通。在该处理容器31的顶壁的上端面(外侧)的中央部形成有圆柱状的凹部作为第一扩散空间61,在其底面形成有多个第一气体供给口36。该第一气体供给口36被配置在与上述的中央区域53的柱部61b相同的位置,经由柱部61b内的开口部使第一扩散空间61内和处理区域11气密地连通。
在处理容器31的上表面设置有盖体39,该盖体39气密地构成上述第一扩散空间61,并且形成有向该第一扩散空间61供给成膜气体用的第一气体供给口36a、和与第二气体供给口37连通的第二气体供给口37a。此外,在处理容器31的上表面的和第二气体供给口37a与盖体39的端面之间对应的位置,设置有槽和收纳在该槽内的密封体,但是在此处予以省略。另外,在处理容器31的顶壁和盖体39,在与上述的圆筒体53a的周围壁的上端面和环状体54a的立起壁54b对应的位置,分别沿周方向等间隔地设置有例如四个位置的孔部40,经由该孔部40,将螺钉41、42从盖体39的上表面插入在圆筒体53a的周围壁的上端面和环状体54a的立起壁54b中开出的螺纹孔55,从而,盖体39、处理容器31、圆筒体53a和环状体54a被紧密连接。同样,在处理容器31的顶壁,在与环状体54a的立起壁54c对应的位置,沿周方向等间隔地在例如四个位置开设有孔部40,螺钉43被插入立起壁54c的螺纹孔55。
如图1所示,在盖体39的第一气体供给口36a和第二气体供给口37a上,分别连接有第一气体供给通路81和第二气体供给通路82,在处理容器31的顶壁的第三气体供给口38上,连接有作为吹扫气体供给通路的第三气体供给通路83。在这些气体供给通路81、82、83的上游侧,分别经由阀87A、87B、87F和流量控制部88A、88B、88F,连接有储存有作为第一处理气体的例如TEMAZ(四乙基甲基氨基锆)气体的成膜气体源84、储存有作为第二处理气体的例如臭氧气体的氧化性气体源85以及储存有作为吹扫气体的例如氩气体的吹扫气体源86。另外,这些气体供给通路81、82分别分支出吹扫气体供给通路81a和吹扫气体供给通路82a,经由阀87D、87E和流量控制部88D、88E进而与上述的吹扫气体源86连接。在第三气体供给通路83进行分支而经由阀87C和流量控制部88C连接有氧化性气体源85。这些阀87A~87F和流量控制部88A~88F成为流量调整部89。
此外,在本实施方式中,通过成膜气体源84、阀87A、流量控制部88A和气体供给通路81构成第一处理气体供给单元。另外,通过氧化性气体源85、阀87B、流量控制部88B和气体供给通路82构成第二处理气体供给单元。进而,通过吹扫气体源86、阀87D、87E、87F、流量控制部88D、88E、88F、气体供给通路81、82、83,构成吹扫气体供给单元。
在成膜装置20设置有例如由计算机构成的控制部20A,该控制部20A具有程序、存储器、CPU构成的数据处理部等。在该程序中编入有命令,使得从控制部20A向成膜装置20的各部输送控制信号,执行后述的各步骤,从而进行晶片W的处理、搬送。另外,例如在存储器中,具有写入处理压力、处理温度、处理时间、气体流量或者功率值等处理参数的值的区域,CPU在执行程序的各命令时,读出这些处理的参数,依据该参数值的控制信号被送至该成膜装置20的各部位。该程序(也包含与处理参数的输入操作、显示相关的程序)被存储在计算机存储介质例如软盘、光盘、MO(光磁盘)、硬盘等存储部20B中,被安装于控制部20A。
此外,该控制部20A构成为对第一处理气体供给单元、第二处理气体供给单元和吹扫气体供给单元进行控制,使得多次地依次进行下述供给:从中央区域53向晶片W的TEMAZ气体(第一处理气体)的供给、从中央区域53和周边区域54向晶片W的Ar气体(吹扫气体)的供给、从中央区域53向晶片W的臭氧气体(第二处理气体)的供给、以及从中央区域53和周边区域54向晶片W的Ar气体(吹扫气体)的供给。
接着,参照图6~图9,对上述成膜装置20的作用进行说明。首先,使工作台71下降到搬送位置,通过未图示的搬送机构,经由搬送口35将晶片W搬入处理容器31内,载置在工作台71上,关闭未图示的闸阀(载置工序)。接着,利用静电吸盘72对晶片W进行静电吸附,并且使工作台71上升到处理位置(步骤S51)。然后,利用加热器73将晶片W加热至例如280℃(能量供给工序)(步骤S52),并且通过真空排气单元34对处理容器31内进行真空排气。接着,从成膜气体源84经由第一喷出孔61a按照规定的流量例如10mg/min将TEMAZ气体(第一处理气体)向晶片W供给例如1.5秒的时间(第一处理气体供给工序)(步骤S53)。另外,从上述的气体供给通路76b向下部区域12供给例如氮气。通过该处理,如图7(a)所示,TEMAZ气体吸附在晶片W的表面,均匀地形成TEMAZ膜90的例如0.1nm的薄膜。在该成膜过程中,为了防止TEMAZ气体侵入第三扩散空间63内,也可以从第三喷出孔63a流入300sccm左右的吹扫气体。此时,通过工作台罩78、支承体76和处理容器31的内壁形成环状的排气空间13,流路的中途的扩张缩小被抑制,因此TEMAZ气体能够以流动不发生紊乱的方式流向下方的排气通路33,气体向下部区域12的蔓延得到抑制。
另外,TEMAZ气体经由排气空间13从处理容器31的底面的排气口32进行排气,因此,从晶片W的表面朝向周边均匀地被排气。即,排气口32等间隔地配置在处理容器31的底面的四个位置,因此排气空间13的气氛在周方向上从四个方向进行排气。此时,在接近排气口32的部分,气体被强力吸引,因此在处理容器31的底面的附近,在周方向存在气体流速的参差不齐。但是,为了缓和该气体流速的差,在排气口32与晶片W之间形成环状的铅直方向长的排气空间13、使处理区域11的容积V1变小,因此,处理区域11的气氛从晶片W的中心呈放射状地进行排气。
另外,如图8所示,向下部区域12例如供给氮气,使得下部区域12的压力比处理区域11的压力稍大,因此,能够进一步抑制TEMAZ气体向下部区域12的蔓延。因此,能够抑制TEMAZ气体附着在销75、波纹管74上。供给到该下部区域12的氮气,有少量从工作台罩78与支承体罩76b之间的间隙流到排气空间13,与TEMAZ气体一同被排出。
接着,从第一喷出孔61a和第三喷出孔63a,向处理容器31内供给作为吹扫气体的Ar气体,按照比上述TEMAZ气体的流量还多的例如3slm的流量供给5秒钟(第一置换工序)(步骤S54)。另外,从上述的气体供给通路76c向下部区域12供给例如氮气。
通过该处理,如图7(b)所示,第一气体供给通路81中的与吹扫气体供给通路81a的分支点的下游侧以及与第一喷出孔61a连通的中央区域53内的空间的TEMAZ气体被赶出至处理容器31内。进而,该TEMAZ气体,由于来自中央区域53的吹扫气体和来自周边区域54的吹扫气体,被推到晶片W的周边侧,并经由排气口32和排气通路33被排气,这样,中央区域53内以及处理容器31内成为吹扫气体气氛。这时的吹扫气体的流量比步骤S53的TEMAZ气体的流量多很多,但是,由于在处理容器31的底面等间隔地开设有四个位置的排气口32,而且以包围工作台71的方式形成有环状的排气空间13,所以在晶片W的附近不会生成沉淀,能够迅速地进行排气。为了缩短处理气体的切换时间而提高生产率,优选这时的吹扫气体的流量例如为3slm~5slm,利用这样的大流量的吹扫气体,能够在例如5秒钟的短时间内置换处理容器31内的气氛。
之后,从氧化性气体源85经由第二喷出孔62a对晶片W供给臭氧气体(第二处理气体),按照规定的流量例如200g/Normalm3(下面记为Nm3)的流量供给3秒钟(第二处理气体供给工序)(步骤S55)。另外,与步骤S53同样,从气体供给通路76c向下部区域12供给氮气。如图9(a)所示,通过该处理,已经吸附在晶片W的表面上的TEMAZ膜90和臭氧利用加热器73的热能进行反应,生成作为ZrO2的分子层的ZrO2膜91。
在该成膜中,为了使得臭氧气体不侵入第三扩散空间63内,也可以从第三喷出孔63a流入300sccm左右的吹扫气体。在上述的步骤S53中,吸附在晶片W的表面上的TEMAZ膜90非常薄,因此即使在这样短的氧化时间下也能够均匀地被氧化,成为ZrO2膜91。在该工序中,同样,臭氧气体向下部区域12的蔓延受到抑制,并且能够均匀地被排气。此外,这时,也可以从第三喷出孔63a供给臭氧气体。在该情况下,能够更加迅速地进行氧化处理。
然后,与上述步骤S54同样,再次,以3slm~5slm的流量向处理容器31内供给吹扫气体(第二置换工序)(步骤S56),而且,向下部区域12供给氮气。此时,吹扫气体从第二喷出孔62a和第三喷出孔63a这两者被供给。由此,如图9(b)所示,第二气体供给通路82中的与吹扫气体供给通路82a的分支点的下游侧以及中央区域53内的臭氧气体被排出到处理容器31内,处理容器31内的臭氧气体从晶片W的中心呈放射状地各向同性且快速地被排出到处理容器31的外部。
通过反复进行例如100次步骤S53~步骤S56的处理(步骤S57),ZrO2的分子层被多层化,形成规定的膜厚例如10nm的ZrO2膜91。这样的成膜处理结束后,将该晶片W从处理容器31内搬出(步骤S58)。
根据上述的实施方式,从气体喷淋头51供给处理气体,因此如上所述,与侧流方式相比,能够提高膜厚、膜质的面内均匀性。而且,将气体喷淋头51划分为中央区域53和周边区域54,从中央区域53交替地供给成膜气体(第一处理气体)和臭氧气体(第二处理气体),因此能够缩小被处理气体充满的气体喷淋头51内的容积,气体喷淋头51内的处理气体的置换所需要的时间变短。而且,在处理气氛中的处理气体的置换时,从气体喷淋头51的周边区域54也供给吹扫气体,结果能够缩短处理气体的置换时间,能够提高生产率。而且,因为气体喷淋头51内的被处理气体充满的容积小,所以能够减少在每次置换处理气体时被排出而浪费的处理气体的量。
另外,成膜气体分解而得的生成物所堆积的面积(中央区域53的内面积)小,因此手动操作的清洁所需要的时间变短,于是生产率提高,并且容易维护。这样,即使从相对于晶片W的直径,面积较小的中央区域53供给气体,因为会调整处理区域11的高度(晶片W与气体喷淋头51之间的距离)、处理条件等,所以也能够得到充分的面内均匀性。
此外,与成膜气体相比,臭氧气体更为价廉,因此通过在进行TEMAZ膜90的氧化时,也从周边区域54供给臭氧气体,能够迅速地生成ZrO2膜91,从而能够提高生产率。
这样,对晶片W通过气体喷淋头51从上方供给气体,因此,如后述的实验例所明确的那样,能够迅速地向晶片W的整个表面供给气体,结果能够降低气体的使用量,进而提高面内的膜厚、膜质的均匀性。另外,通过从上方供给气体,气体与晶片W的冲撞(接触)概率变高,因此成膜气体的反应率(利用率)提高,能够价廉地进行成膜。特别是,在为了使得处理气体分解而采用例如250℃以上的高温的情况下,反应进行得快,因此在侧流方式下,膜厚、膜质的面内均匀性较差,但是在本实施方式下,因为晶片W表面上的气体的移动距离短,所以在实现膜质、膜厚的面内均匀性的同时,能够实现成膜时间的缩短。
进一步,在通过上述的ALD法从气体喷淋头51供给处理气体而进行成膜时,经由以包围工作台71的方式形成的环状的排气空间13,从沿着周方向等间隔形成的四个位置的排气口32对处理气体进行排气。因此,能够各向同性且快速地对处理区域11的气氛进行排气。因此,在切换成膜气体和氧化性气体时,即使供给例如3slm~5slm的大流量的吹扫气体,也能够抑制气体的沉淀,快速地例如在5秒以内进行排气,因此能够缩短处理容器31内的气体的置换时间,能够提高生产率。另外,在从处理区域11各向同性地进行排气之外,还从气体喷淋头51向晶片W均匀地供给气体,因此,即使是在例如280℃的高温下形成ZrO2膜91的情况下,也能够在面内进行膜质、膜厚均匀的成膜处理,于是能够进一步提高生产率,是用于实现所谓的被称作ALD法的成膜方法的极为有效的方法。
使处理区域11的容积(V1)较少(1.07升),相比于该处理区域11的容积(V1)使排气空间13的容积(V2)较大(9.61升),使得两者的比(V2÷V1)为9.0,因此,在置换气体时,能够快速地将处理区域11的气氛输送到排气空间13,于是能够缩短气体的置换时间。此外,也可以例如使处理容器31的铅直方向的尺寸延长,或者使气体喷淋头51与晶片W的距离h缩短,使得该比成为9.0以上。图10是表示将图2中的高度h变化为8mm、13.5mm、25mm,改变V2/V1的值而进行ZrO2膜91的成膜时的膜厚均匀性的数据,据此可知,为了使均匀性达到3%以下,须要使V2/V1的值为9以上。
另外,在工作台71的下方,以形成与排气空间13区分开的下部区域12的方式设置有工作台罩78和支承体76,因此即使工作台71升降,成膜气体等向下部区域12的蔓延也能够受到抑制,能够抑制成膜种等附着在该下部区域12,能够抑制波纹管74的破损。通过设置工作台罩78和支承体76,具有即使不特别另外设置其它的部件也能够形成上述的排气空间13的效果。
进一步,向下部区域12供给例如氮气,使得该下部区域12的压力比处理容器31内(处理区域11)的压力大,因此能够进一步抑制成膜气体等向下部区域12的蔓延。
使成膜气体与臭氧气体不在中央区域53内接触,因此能够抑制中央区域53内的ZrO2的生成,于是能够减少处理气体的使用量,并且能够抑制颗粒的产生。此外,也可以使中央区域53中的各流路共通,使得在中央区域53内,成膜气体与臭氧气体相互混合。关于该例子,在下面进行说明。
图11和图12表示本发明的第二实施方式的气体喷淋头51a的一个例子。气体喷淋头51a以外的结构与上述的成膜装置20相同,因此予以省略。在该气体喷淋头51a中,周边区域54是与上述的气体喷淋头51相同的结构,但是,中央区域56没有划分第一处理气体和第二处理气体的流路,以从形成在圆筒体53a的下表面的整个面的喷出孔64喷出气体的方式构成。该圆筒体53a的内部空间成为第一处理气体和第二处理气体分别进行扩散的扩散空间65。
另外,在处理容器31的顶壁未设置盖体39,直接与第一气体供给通路81和第二气体供给通路82连接。此外,在该例子中,中央区域56和周边区域54与处理容器31的顶壁,通过收纳在未图示的槽内的密封体紧密接合。另外,与上述图4同样,中央区域56、周边区域54和处理容器31通过螺钉和螺纹孔紧密接合,此处省略其说明。
在该实施方式中,也与第一实施方式同样地进行成膜处理,能够得到与上述效果相同的效果。
另外,在以上的实施方式中,构成为在第一气体供给通路81和第二气体供给通路82上连接吹扫气体供给通路81a、82a,经由第一气体供给通路81和第二气体供给通路82向中央区域53内供给吹扫气体,但是,也可以与第一气体供给通路81和第二气体供给通路82独立地供给吹扫气体。在这种情况下,在第一气体供给通路81上连接成膜气体源84,并且通过未图示的吹扫气体流路连接吹扫气体源86和盖体39。在该结构中,在已述的步骤S54、S56,中央区域53内的处理气体通过吹扫气体被排出,但是第一气体供给通路81和第二气体供给通路82内的处理气体并没有被排出,因此优选上述第一和第二实施方式的结构。
此外,在上述的例子中,说明了使用TEMAZ气体作为第一处理气体而形成ZrO2膜的例子,但是也可以使用例如TEMAH(四乙基甲基氨基铪)气体、3DMAS(三(二甲基氨基)硅烷)气体、Sr(METHD)2(二(甲氧基乙氧基四甲基庚二酮)锶)气体、TDMAT(四(二甲基氨基)钛)气体、La(dpm)3(三(二新戊酰)甲烷)镧气体或者Y(iPr2amd)气体作为成膜气体,使得各成膜气体吸附在晶片W上,之后进行氧化,从而分别形成HfO2、HfSiO、SrTiO、SrTiO、LaO2或者Y doped HfO等的高电介质材料的膜。在这种情况下,能够适当设定处理条件(处理时间、处理温度)、排气通路33的温度等。另外,在上述的例子中,作为供给用于使TEMAZ气体和臭氧气体在晶片W上反应的能量的能量供给单元,使用加热器73,但是该能量也可以是例如紫外线等光能。
实施例
(实施例1)
接着,说明为了确认本发明的效果而进行的实验。
该实验按照以下的处理条件进行成膜,之后测定膜厚等特性。
(处理条件)
气体种类(成膜气体/氧化气体):TEMAZ气体/臭氧气体=10、25、50、100(mg/min)/200(g/Nm3)
处理时间(成膜/氧化):1.5/3sec
处理温度:250℃
气体置换时间(成膜/氧化):5/5sec
成膜/氧化的反复次数:100次
(实验例1)
在具有上述的气体喷淋头51的成膜装置20中进行实验。
(比较例1)
在上述的图15所示的成膜装置100中进行实验。
(实验结果)
如图13(a)、(b)所示,在实验例1中,即使在TEMAZ气体的流量较少的状态下,膜厚和膜厚的均匀性也是良好的。认为这表示了,如上所述,从晶片W的上方侧各向同性地供给成膜气体,而且从上方对晶片W供给气体,从而气体和晶片W的冲撞(接触)概率变高,成膜效率变高。即,即使流量较少也能够充分地进行反应。另外,如图13(b)所示,膜厚的面内均匀性提高,于是可知,通过各向同性地进行原料气体的供给,并各向同性地进行排气,能够均匀地进行成膜处理。
另一方面,在比较例1中,在流量少的状态下,膜厚和均匀性变差。这是因为,如上所述,从横方向对晶片W供给气体,因此晶片W与气体的冲撞概率降低,而且从晶片W的一端侧向另一端侧形成膜厚的梯度。随着增加流量,比较例1中的膜厚和均匀性得到改善,这表示在流量少时,无端浪费的气体的量很多。根据该图13能够得知,在实验例1中,为了得到充分的膜厚及其均匀性,比较例1的大约20%左右的流量即足够。
(实验例2)
接着,与上述同样地在以下的条件下进行实验。
(处理条件)
处理时间(成膜/氧化):1.5/3sec
气体置换时间(成膜/氧化):5/5sec
成膜/氧化的反复次数:100次
(实验例2)
气体种类(成膜气体/氧化气体):TEMAZ气体/臭氧气体=10(mg/min)/200(g/Nm3)
处理温度:从240℃到270℃以5℃为间隔,从270℃到300℃以10℃为间隔。
此外,在具有上述的气体喷淋头51的成膜装置20中进行实验。
(比较例2)
气体种类(成膜气体/氧化气体):TEMAZ气体/臭氧气体=100(mg/min)/200(g/Nm3)
处理温度:从235℃到270℃以5℃为间隔。
此外,在上述图15所示的成膜装置100中进行实验。
根据实施例1的结果可知,在成膜装置100中,在TEMAZ气体的流量少的状态下不能够得到良好的结果,因此在比较例2中,使TEMAZ气体的流量为100mg/min。另外,在270℃以上,实验例2与比较例2之间的差很明显,因此中止比较例2的实验。
(实验结果)
如图14(a)、(b)所示,在实验例2中,与比较例2对照可知,即使提高处理温度,膜厚、膜质的均匀性也不变化,是稳定的。由此可知,在实验例2中,即使在低温下也充分地进行了反应。即,因为随着提高处理温度,成膜气体进行分解,能够得到杂质较少的ZrO2膜91,所以可知,在实验例2中,能够在保持面内的膜厚的均匀性的同时得到高纯度的ZrO2膜91。即,因为均等地供给气体并且均等地进行排气,所以即使提高温度也不会产生膜厚的偏差,能够得到纯度更高的膜。
另一方面,可知在比较例2中,当提高处理温度时膜厚的面内均匀性降低,因此不能够进行高温成膜。此外,测定膜中的杂质的浓度和表面的粗糙度可知,在实验例2中,能够得到比较例2的大约两倍左右的良好的结果。
另外,关于折射率,在实验例2中也能够得到优于比较例2的结果。

Claims (12)

1.一种成膜装置,其特征在于,包括:
处理容器;
配置在所述处理容器内,用于载置基板的载置台;
气体喷淋头,其与载置在所述载置台上的基板相对地设置,并且具有多个气体供给孔,被划分为与该基板的中央部相对的中央区域和与该基板的周边部相对的周边区域;
第一处理气体供给单元,其具有用于向所述气体喷淋头的所述中央区域供给第一处理气体的第一处理气体供给通路;
第二处理气体供给单元,其具有用于向所述气体喷淋头的所述中央区域供给第二处理气体的第二处理气体供给通路;
能量供给单元,其供给用于使第一处理气体和第二处理气体在所述基板上反应的能量;和
吹扫气体供给单元,其用于在切换所述第一处理气体的供给和所述第二处理气体的供给时,向所述气体喷淋头的所述中央区域和所述周边区域供给吹扫气体。
2.根据权利要求1所述的成膜装置,其特征在于:
所述气体喷淋头的所述中央区域的面积为所述周边区域的面积的50%以下。
3.根据权利要求1所述的成膜装置,其特征在于:
所述第一处理气体供给通路和所述第二处理气体供给通路相互独立。
4.根据权利要求1所述的成膜装置,其特征在于:
所述第一处理气体供给通路和所述第二处理气体供给通路,至少在一部分被共用。
5.根据权利要求1所述的成膜装置,其特征在于:
所述能量供给单元由对载置在所述载置台上的基板进行加热的加热单元构成。
6.根据权利要求1所述的成膜装置,其特征在于:
所述第一处理气体由用于使选自Zr、Hf、Si、Sr、Ti、Y和La中的一种以上的化合物进行成膜的成膜气体构成,
所述第二处理气体由对所述化合物进行氧化、用于得到高电介质材料的氧化性气体构成。
7.一种成膜方法,该成膜方法使用的成膜装置包括:处理容器;配置在所述处理容器内的载置台;气体喷淋头,其与载置在所述载置台上的基板相对地设置,并且具有多个气体供给孔,被划分为与该基板的中央部相对的中央区域和与该基板的周边部相对的周边区域;和供给能量的能量供给单元,该成膜方法的特征在于,包括:
将基板载置在处理容器内的载置台上的载置工序(a);
向所述气体喷淋头的所述中央区域供给第一处理气体,从该中央区域向所述基板供给第一处理气体的第一处理气体供给工序(b);
向所述气体喷淋头的所述中央区域和所述周边区域供给吹扫气体,将所述处理容器内的第一处理气体置换为吹扫气体的第一置换工序(c);
向所述气体喷淋头的所述中央区域供给第二处理气体,从该中央区域向所述基板供给第二处理气体的第二处理气体供给工序(d);
利用所述能量供给单元,供给用于使第一处理气体和第二处理气体在所述基板上反应的能量的能量供给工序(e);和
向所述气体喷淋头的所述中央区域和所述周边区域供给吹扫气体,将所述处理容器内的第二处理气体置换为吹扫气体的第二置换工序(f),
反复多次地依次进行所述第一处理气体供给工序(b)、所述第一置换工序(c)、所述第二处理气体供给工序(d)和所述第二置换工序(f)。
8.根据权利要求7所述的成膜方法,其特征在于:
所述气体喷淋头的所述中央区域的面积为所述周边区域的面积的50%以下。
9.根据权利要求7所述的成膜方法,其特征在于:
供给到所述气体喷淋头的所述中央区域的第一处理气体和供给到所述气体喷淋头的所述中央区域的第二处理气体,通过相互不同的流路。
10.根据权利要求7所述的成膜方法,其特征在于:
供给到所述气体喷淋头的所述中央区域的第一处理气体和供给到所述气体喷淋头的所述中央区域的第二处理气体,通过至少在一部分共用的流路。
11.根据权利要求7所述的成膜方法,其特征在于:
所述能量供给工序(e)利用所述能量供给单元对载置在所述载置台上的基板进行加热。
12.一种存储介质,其存储有用于使计算机执行成膜方法的计算机程序,该存储介质的特征在于:
该成膜方法使用一种成膜装置,该成膜装置包括:处理容器;配置在所述处理容器内的载置台;气体喷淋头,其与载置在所述载置台上的基板相对地设置,并且具有多个气体供给孔,被划分为与该基板的中央部相对的中央区域和与该基板的周边部相对的周边区域;和供给能量的能量供给单元,该成膜方法包括:
将基板载置在处理容器内的载置台上的载置工序(a);
向所述气体喷淋头的所述中央区域供给第一处理气体,从该中央区域向所述基板供给第一处理气体的第一处理气体供给工序(b);
向所述气体喷淋头的所述中央区域和所述周边区域供给吹扫气体,将所述处理容器内的第一处理气体置换为吹扫气体的第一置换工序(c);
向所述气体喷淋头的所述中央区域供给第二处理气体,从该中央区域向所述基板供给第二处理气体的第二处理气体供给工序(d);
利用所述能量供给单元,供给用于使第一处理气体和第二处理气体在所述基板上反应的能量的能量供给工序(e);和
向所述气体喷淋头的所述中央区域和所述周边区域供给吹扫气体,将所述处理容器内的第二处理气体置换为吹扫气体的第二置换工序(f),
反复多次地依次进行所述第一处理气体供给工序(b)、所述第一置换工序(c)、所述第二处理气体供给工序(d)和所述第二置换工序(f)。
CN2008800101132A 2007-03-27 2008-03-13 成膜装置和成膜方法 Expired - Fee Related CN101647104B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP082533/2007 2007-03-27
JP2007082533A JP5034594B2 (ja) 2007-03-27 2007-03-27 成膜装置、成膜方法及び記憶媒体
PCT/JP2008/054635 WO2008117675A1 (ja) 2007-03-27 2008-03-13 成膜装置、成膜方法及び記憶媒体

Publications (2)

Publication Number Publication Date
CN101647104A true CN101647104A (zh) 2010-02-10
CN101647104B CN101647104B (zh) 2012-04-04

Family

ID=39788411

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008800101132A Expired - Fee Related CN101647104B (zh) 2007-03-27 2008-03-13 成膜装置和成膜方法

Country Status (6)

Country Link
US (1) US8539908B2 (zh)
JP (1) JP5034594B2 (zh)
KR (1) KR101131681B1 (zh)
CN (1) CN101647104B (zh)
TW (1) TWI431688B (zh)
WO (1) WO2008117675A1 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103160922A (zh) * 2011-12-15 2013-06-19 纽富来科技股份有限公司 成膜装置以及成膜方法
CN107452590A (zh) * 2016-05-11 2017-12-08 朗姆研究公司 用于在下游反应器中边缘蚀刻速率控制的可调侧气室
CN107706131A (zh) * 2016-08-08 2018-02-16 东京毅力科创株式会社 液处理方法、基板处理装置以及存储介质
CN109983568A (zh) * 2017-02-20 2019-07-05 村田机械株式会社 吹扫储料器
CN110176391A (zh) * 2018-02-20 2019-08-27 Asm知识产权私人控股有限公司 衬底处理方法及设备
CN111755355A (zh) * 2019-03-28 2020-10-09 株式会社国际电气 半导体装置的制造方法、基板处理装置和记录介质
CN113196455A (zh) * 2018-11-30 2021-07-30 株式会社明电舍 氧化膜形成装置

Families Citing this family (289)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7896967B2 (en) * 2006-02-06 2011-03-01 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
CN101657565A (zh) * 2007-04-17 2010-02-24 株式会社爱发科 成膜装置
JP5616591B2 (ja) * 2008-06-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
JP5597463B2 (ja) * 2010-07-05 2014-10-01 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP5689294B2 (ja) * 2010-11-25 2015-03-25 東京エレクトロン株式会社 処理装置
US9305810B2 (en) * 2011-06-30 2016-04-05 Applied Materials, Inc. Method and apparatus for fast gas exchange, fast gas switching, and programmable gas delivery
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8916480B2 (en) * 2011-12-07 2014-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical vapor deposition film profile uniformity control
TW201335418A (zh) * 2012-02-17 2013-09-01 Tokyo Electron Ltd Mocvd反應器用淋灑頭、mocvd反應器、mocvd裝置、以及潔淨方法
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN203237432U (zh) * 2012-12-24 2013-10-16 鸿准精密模具(昆山)有限公司 贴膜机构
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6157942B2 (ja) * 2013-06-13 2017-07-05 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
JP6199619B2 (ja) * 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー 気相成長装置
KR102127715B1 (ko) * 2013-08-09 2020-06-29 에스케이실트론 주식회사 에피텍셜 반응기
JP6158025B2 (ja) * 2013-10-02 2017-07-05 株式会社ニューフレアテクノロジー 成膜装置及び成膜方法
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102268959B1 (ko) * 2014-03-31 2021-06-24 삼성디스플레이 주식회사 원자층 증착 장치 및 이를 이용한 원자층 증착 방법
KR20150140936A (ko) * 2014-06-09 2015-12-17 삼성전자주식회사 유도 결합형 플라즈마(Inductively Coupled Plasma : ICP)를 이용한 식각 장치
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP2016036018A (ja) 2014-07-31 2016-03-17 東京エレクトロン株式会社 プラズマ処理装置及びガス供給部材
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
DE102015209503B4 (de) * 2015-05-22 2016-12-08 Daniel Daferner Reaktor und Verfahren zur Behandlung eines Substrats
JP6054470B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
JP5990626B1 (ja) * 2015-05-26 2016-09-14 株式会社日本製鋼所 原子層成長装置
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10577690B2 (en) 2016-05-20 2020-03-03 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102303066B1 (ko) * 2016-06-03 2021-09-16 어플라이드 머티어리얼스, 인코포레이티드 챔버 내부의 유동을 확산시키는 것에 의한 더 낮은 입자 수 및 더 양호한 웨이퍼 품질을 위한 효과적이고 새로운 설계
KR102142557B1 (ko) * 2016-06-21 2020-08-07 어플라이드 머티어리얼스, 인코포레이티드 Rf 리턴 스트랩 차폐 커버
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US9818601B1 (en) * 2016-09-28 2017-11-14 Asm Ip Holding B.V. Substrate processing apparatus and method of processing substrate
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
TWI633585B (zh) * 2017-03-31 2018-08-21 漢民科技股份有限公司 用於半導體製程之氣體噴射器與頂板之組合及成膜裝置
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
KR102493945B1 (ko) 2017-06-06 2023-01-30 어플라이드 머티어리얼스, 인코포레이티드 Teos 유동의 독립적 제어를 통한 증착 반경방향 및 에지 프로파일 튜닝가능성
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) * 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102154486B1 (ko) * 2018-10-11 2020-09-10 주식회사 테스 가스공급유닛
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
KR20210036714A (ko) * 2019-09-26 2021-04-05 삼성전자주식회사 강유전 박막 구조체 및 그 제조방법과, 강유전 박막 구조체를 포함하는 전자 소자
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
DE102019129789A1 (de) 2019-11-05 2021-05-06 Aixtron Se Verfahren zum Abscheiden einer zweidimensionalen Schicht sowie CVD-Reaktor
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
CN113445029A (zh) * 2020-03-25 2021-09-28 拓荆科技股份有限公司 双面沉积设备及方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220050047A (ko) 2020-10-15 2022-04-22 에이에스엠 아이피 홀딩 비.브이. 예측 유지보수 방법 및 예측 유지보수 장치
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11940819B1 (en) * 2023-01-20 2024-03-26 Applied Materials, Inc. Mass flow controller based fast gas exchange

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04348031A (ja) * 1990-12-28 1992-12-03 Mitsubishi Electric Corp 化学気相成長装置
JPH05152208A (ja) * 1991-11-29 1993-06-18 Fujitsu Ltd 半導体製造装置
JPH06295862A (ja) * 1992-11-20 1994-10-21 Mitsubishi Electric Corp 化合物半導体製造装置及び有機金属材料容器
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5591269A (en) * 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
JP3468859B2 (ja) * 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
JP3360265B2 (ja) * 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR100331544B1 (ko) * 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
JP2000306884A (ja) * 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
JP2002129337A (ja) * 2000-10-24 2002-05-09 Applied Materials Inc 気相堆積方法及び装置
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US20030000924A1 (en) * 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
JP4099092B2 (ja) 2002-03-26 2008-06-11 東京エレクトロン株式会社 基板処理装置および基板処理方法、高速ロータリバルブ
US20050211167A1 (en) * 2002-06-10 2005-09-29 Tokyo Electron Limited Processing device and processing method
US20040050325A1 (en) * 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
TW587139B (en) * 2002-10-18 2004-05-11 Winbond Electronics Corp Gas distribution system and method for the plasma gas in the chamber
JP4880175B2 (ja) * 2002-12-06 2012-02-22 富士通株式会社 気相成長装置及び気相成長方法
JP2005048208A (ja) * 2003-07-30 2005-02-24 Hitachi Kokusai Electric Inc 基板処理装置
JP4177192B2 (ja) * 2003-08-05 2008-11-05 株式会社日立ハイテクノロジーズ プラズマエッチング装置およびプラズマエッチング方法
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US20060021580A1 (en) * 2004-06-02 2006-02-02 Tokyo Electron Limited Plasma processing apparatus and impedance adjustment method
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
JP4515191B2 (ja) * 2004-08-03 2010-07-28 東京エレクトロン株式会社 成膜方法
JP2006210727A (ja) * 2005-01-28 2006-08-10 Hitachi High-Technologies Corp プラズマエッチング装置およびプラズマエッチング方法
US7314835B2 (en) * 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
JP4689324B2 (ja) * 2005-04-04 2011-05-25 東京エレクトロン株式会社 成膜装置、成膜方法および記録媒体
JP4895167B2 (ja) * 2006-01-31 2012-03-14 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法
US7896967B2 (en) * 2006-02-06 2011-03-01 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US20070249173A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch process using etch uniformity control by using compositionally independent gas feed
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
US7431859B2 (en) * 2006-04-28 2008-10-07 Applied Materials, Inc. Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation
US7541292B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US7540971B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US20080193673A1 (en) * 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7884025B2 (en) * 2007-01-30 2011-02-08 Applied Materials, Inc. Plasma process uniformity across a wafer by apportioning ground return path impedances among plural VHF sources
US7674394B2 (en) * 2007-02-26 2010-03-09 Applied Materials, Inc. Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution
TW200849344A (en) * 2007-03-23 2008-12-16 Matsushita Electric Ind Co Ltd Apparatus and method for plasma doping
US8235001B2 (en) * 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
JP5192214B2 (ja) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
JP5152208B2 (ja) 2010-01-20 2013-02-27 星光Pmc株式会社 変性ポリオレフィン樹脂水性分散体の製造方法

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103160922A (zh) * 2011-12-15 2013-06-19 纽富来科技股份有限公司 成膜装置以及成膜方法
CN107452590A (zh) * 2016-05-11 2017-12-08 朗姆研究公司 用于在下游反应器中边缘蚀刻速率控制的可调侧气室
CN107452590B (zh) * 2016-05-11 2021-05-04 朗姆研究公司 用于在下游反应器中边缘蚀刻速率控制的可调侧气室
CN107706131A (zh) * 2016-08-08 2018-02-16 东京毅力科创株式会社 液处理方法、基板处理装置以及存储介质
CN107706131B (zh) * 2016-08-08 2023-07-14 东京毅力科创株式会社 液处理方法、基板处理装置以及存储介质
CN109983568A (zh) * 2017-02-20 2019-07-05 村田机械株式会社 吹扫储料器
CN109983568B (zh) * 2017-02-20 2023-02-28 村田机械株式会社 吹扫储料器
CN110176391A (zh) * 2018-02-20 2019-08-27 Asm知识产权私人控股有限公司 衬底处理方法及设备
CN110176391B (zh) * 2018-02-20 2024-01-05 Asm知识产权私人控股有限公司 衬底处理方法及设备
CN113196455A (zh) * 2018-11-30 2021-07-30 株式会社明电舍 氧化膜形成装置
CN111755355A (zh) * 2019-03-28 2020-10-09 株式会社国际电气 半导体装置的制造方法、基板处理装置和记录介质

Also Published As

Publication number Publication date
WO2008117675A1 (ja) 2008-10-02
CN101647104B (zh) 2012-04-04
TWI431688B (zh) 2014-03-21
TW200903638A (en) 2009-01-16
US20100119727A1 (en) 2010-05-13
JP2008244142A (ja) 2008-10-09
US8539908B2 (en) 2013-09-24
KR20090130006A (ko) 2009-12-17
JP5034594B2 (ja) 2012-09-26
KR101131681B1 (ko) 2012-03-28

Similar Documents

Publication Publication Date Title
CN101647104B (zh) 成膜装置和成膜方法
CN101010447B (zh) 基板处理装置及半导体装置的制造方法
US20100272895A1 (en) Film deposition apparatus, film deposition method, storage medium, and gas supply apparatus
KR101804597B1 (ko) 성막 장치
TWI416645B (zh) Film forming apparatus and film forming method
CN101842880B (zh) 气体供给装置、处理装置、处理方法
KR101657388B1 (ko) 성막 장치
CN101748387B (zh) 成膜装置
JP5315898B2 (ja) 成膜装置
JP5444599B2 (ja) ガス供給装置及び成膜装置
JP2010087238A (ja) 成膜装置
TWI683924B (zh) 成膜裝置
JP2010087236A (ja) 真空処理装置
CN105023861A (zh) 衬底处理装置及半导体器件的制造方法
CN103184429A (zh) 成膜方法
JP5176358B2 (ja) 成膜装置及び成膜方法
JP5083153B2 (ja) 真空処理装置
JP2016156094A (ja) 成膜装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120404

CF01 Termination of patent right due to non-payment of annual fee