KR101047089B1 - 온도 및 방사율/패턴 보상을 포함하는 필름 형성 장치 및방법 - Google Patents

온도 및 방사율/패턴 보상을 포함하는 필름 형성 장치 및방법 Download PDF

Info

Publication number
KR101047089B1
KR101047089B1 KR1020087010501A KR20087010501A KR101047089B1 KR 101047089 B1 KR101047089 B1 KR 101047089B1 KR 1020087010501 A KR1020087010501 A KR 1020087010501A KR 20087010501 A KR20087010501 A KR 20087010501A KR 101047089 B1 KR101047089 B1 KR 101047089B1
Authority
KR
South Korea
Prior art keywords
substrate
processing system
emissivity
temperature
substrate processing
Prior art date
Application number
KR1020087010501A
Other languages
English (en)
Other versions
KR20080055972A (ko
Inventor
주안 차친
아아론 헌터
크래이그 메츠너
로저 엔. 앤더슨
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080055972A publication Critical patent/KR20080055972A/ko
Application granted granted Critical
Publication of KR101047089B1 publication Critical patent/KR101047089B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Radiation Pyrometers (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

필름 형성 시스템은 측벽 및 상부 커버에 의해 경계를 형성하는 처리 챔버를 가진다. 일 실시예에서, 상부 커버는 기판으로 역으로 방사 에너지를 반사하기 위한 반사면, 다수의 존에 걸쳐 기판의 온도를 측정하기 위한 고온계, 및 기판의 실제 방사율을 측정하기 위한 하나 이상의 이미소미터를 가진다. 기판의 온도는 고온계 및 이미소미터로부터의 고온 데이터로부터 얻어진다.

Description

온도 및 방사율/패턴 보상을 포함하는 필름 형성 장치 및 방법 {FILM FORMATION APPARATUS AND METHODS INCLUDING TEMPERATURE AND EMISSIVITY/PATTERN COMPENSATION}
본 발명의 실시예들은 일반적으로 반응 챔버 및 필름 형성 장치 및 방법에 관한 것이다.
지난 수년에 걸쳐, 다양한 분야에서 단결정 실리콘 필름의 저온 증착에 대한 요구가 증가되었다. 이 같은 분야의 예는 블랭킷 실리콘 게르마늄 필름, 상승형 및/또는 리세스형 소스 드레인 선택 증착, 다른 인장 또는 압축 변형을 하는 필름, 등을 포함하지만, 이에 제한되는 것은 아니다. 특별한 분야에 따라, 이러한 공정들은 모두 필름을 성장시키기 위해 이용되는 압력, 온도 및 화학물이 상당히 상이하다. 그러나, 이러한 공정들이 공통으로 가지는 하나의 요구조건은 공정들이 모두 웨이퍼 온도의 매우 안전하고, 정확하고 균일한 제어를 요구한다는 것이다.
단일 웨이퍼 실리콘 증착 CVD 챔버(200 mm 및 300 mm 분야 둘다에 대해)는 현재 다년 동안 이용가능하였다. 그러나, 이러한 장비는 주로 고온(약 1100℃) 블랭킷 대기 에피택셜 상태로 설계되었다. 이러한 고온 분야로부터 더 새롭고 더 낮은 온도 분야로 이동할 때 이 같은 장비의 성능에 충격을 가하는 몇 개의 요소가 있다.
첫 번째, 실리콘 전구체 가스로서 트리클로로실란을 이용하는 고온 대기 에피택셜 분야는 대량-운반 제한 공정(mass-transport limited process)이다. 이는 반응물이 표면으로 전달되는 속도에 비해 화학적 증착 반응이 매우 신속하게 발생하는 것을 의미하며, 실리콘 필름의 균일도를 결정하는 주요 인자는 가스 유동의 균일도이다. 이 같은 분야에서 온도 제어는 제 2 인자이다. 예를 들면, 통상적인 3 미크론 1130℃ 대기 에피택셜 공정에 대해, 각각의 섭씨 온도 에러(degree Celcious of temperature error)(전체 또는 웨이퍼에 걸쳐)는 0.004 미크론 또는 단지 0.13%의 필름 두께 편차를 형성한다. 1σ에 대해 약 0.8%의 통상적인 산업 균일도 요구조건에서, 이는 챔버가 단지 웨이퍼에 걸친 온도 균일도의 1σ에 대해 약 6℃를 유지하는 것이 요구된다. 이러한 사양은 상대적으로 충족하기가 용이하다. 본 기술분야에서 알려진 바와 같이, σ는 데이터의 표준 편차를 표시하기 위하여 이용되는 통계 용어이다. 필름 두께는 통상적으로 다수의 지점에서 측정되고, σ는 확률 함수 또는 확률 밀도 함수가 평균 주위에 집중되는 방식을 표시한다.
반대로, 저온 에피택셜(epitaxial) 분야는 반응 속도 제한 공정의 카테고리 내에 있다. 통상적인 공정 온도(예를 들면, 약 600 내지 900℃)에서, 기판 표면상의 반응은 표면으로 가스 운반의 속도에 비해 느려지고, 전체 온도 및 기판에 걸친 온도 균일도는 필름 특성을 제어하는 가장 중요한 공정 매개변수이다. 예를 들면, 통상적이고 선택적인 에피택셜 필름은 두께가 약 300Å일 수 있고, 1σ에 대해 약 1.0%의 요구되는 균일도를 가지며, 이러한 값은 각각의 새로운 장치 세대에 따라 더 작아진다. 이러한 체제에서, 모든 섭씨 온도 변화는 약 3Å의 필름 두께 변화를 형성한다. 균일도가 1σ에 대한 1%의 균일도는 온도 제어의 1σ에 대해 약 1℃로 변환된다. 따라서, 에피택셜 증착 장비의 현 세대에 대한 개선에서 6개의 요소가 이러한 공정 제어에 대해 요구된다.
이러한 새로운 공정에 대한 장비 성능에 영향을 미치는 두 번째 인자는 온도 측정 뿐만 아니라 속도의 정확도 및 웨이퍼가 방사 램프에 의해 가열되는 방식 둘다에 영향을 미치는 웨이퍼 방사율(emissivity)에 대한 계산 및 정정을 하기 위한 요구이다. 현 세대의 에피택셜 챔버는 주로 블랭킷 실리콘 웨이퍼를 처리하기 위해 설계되며, 이는 전체 기판 표면에 걸쳐 일정하고 균일한 방사율 특성을 가진다. 이 때문에, 방사율 효과는 장비 내로 간단히 측정될 수 있다. 부상하는 선택적인 저온 공정은 장치 웨이퍼(그 위에 부분적으로 프린트된 집적 회로를 구비한 웨이퍼)를 향하여 타깃되고, 이는 방사율이 공지된 요소가 아니거나 이러한 특성이 웨이퍼에 걸쳐 일정한 것이 아니라는 것을 의미한다.
여전히 요구되는 타이트한 온도 제어를 유지하면서 이러한 변화를 보상할 수 있는 에피택셜 장비를 제공하는 것이 바람직하다. 따라서, 더 타이트한 온도 모니터링 및 제어, 뿐만 아니라 방사율 및 패턴 보상을 제공하는 필름 형성 시스템은 매우 바람직하다.
본 발명의 양태는 기판 온도의 정밀한 모니터링 및 제어를 제공하는 필름 형성 시스템 및 방법에 관한 것이다. 본 발명의 하나의 양태는 처리 챔버를 포함한다. 일 실시예에서, 처리 챔버는 측벽에 의해 경계가 정해진다. 처리 챔버 내로 연장하는 내측 주변 에지를 가지는, 제 1 주변 부재는 측벽의 적어도 일 부분 주위에 배치된다. 서셉터와 같은 기판 지지부는 시스템 내에 배치된다. 기판 지지부의 외측 에지부는 주변 부재의 내측 주변 에지와 중첩되어, 기판 아래로부터 발산되는 광을 차단한다.
본 발명의 또 다른 실시예에서, 상부 커버는 처리 챔버를 덮기 위해 제공된다. 이러한 실시예에 따라, 상부 커버는 기판 지지부 위에 배치되고 기판 지지부를 통하여 역으로 광을 반사하는 반사면을 포함한다. 일 실시예에서, 반사면은 기판으로 역으로 기판으로부터 나오는 반사 광을 반사하도록 설계하여 기판의 유효 방사율(emissivity)을 증가시키도록 한다. 또 다른 실시예에서, 다수의 광학 프로브는 다양한 위치에서 온도를 측정하도록 기판으로부터 나오는 광을 수집하기 위하여 제공될 수 있다. 반사면은 다수의 개구를 가질 수 있으며, 이 개구 각각은 각각의 광학 프로브에 시야를 제공한다. 일 실시예에서, 광학 프로브용 시야는 기판을 넘어 연장하지 않는다. 또 다른 실시예에서, 광학 프로브 모두는 실질적으로 기판의 방사형 방향을 따라 균등한 거리로 이격된다. 광학 프로브는 광학 고온계 및 신호를 신호 처리 장비로 전달하기 위한 광 섬유(fiber optics)를 포함할 수 있다.
또 다른 실시예에서, 기판의 활성 방사율(active emissivity)을 측정하기 위한 이미소미터(emissometer)가 제공될 수 있다. 이어서 기판의 온도에 의해 하나 또는 그 이상의 온도 프로브 및 이미소미터로부터 출력을 이용하는 것을 결정될 수 있다. 일 실시예에서, 이미소미터는, 개구의 영역 내에서, 반사면에 의해 발생되는 증가되는 유효 방사율을 실질적으로 제거하기에 충분할 정도로 넓으며, 고온 미러(hot mirror)가 기판 상으로 역으로 축선을 벗어나서 반사할 수 있는, 이러한 개구를 커버하기 위해 제공될 수 있다. 광학 프로브에는 고온 미러를 통과하는 기판으로부터 발산되는 광을 수집하기 위해 제공된다.
또 다른 실시예에서, 기판 지지부의 외측 에지부는 주변 부재의 내측 주변 에지와 중첩되어, 기판 아래로부터 방출되는 광을 차단한다. 일 실시예에서, 기판 지지부는 시스템 내에서 회전가능하게 장착될 수 있어, 주변 부재의 내측 주변 에지는 기판 지지부의 외측 에지와 접촉하지 않는다. 또 다른 실시예에서, 중첩면 중 어느 하나는 거칠게 가공되거나, 그루브가 형성될 수 있어, 광 확산 또는 광 흡수 효과를 강화하도록 하며, 선택적으로 활성의 얇은 필름이 중첩면의 흡수성을 강화하기 위하여 이용될 수도 있다. 또 다른 실시예에서, 제 2 주변 부재 또는 광 차폐부는 기판 지지부 및 주변 부재의 내측 및 외측 단부 위에서 중첩되도록 처리 챔버 내로 연장하는 측벽에 인접하여 배치될 수 있다.
본 발명의 또 다른 양태에서, 필름 형성 공정을 위해 요구되는 가열을 독점적으로 제공하는 가열 시스템이 기판 아래 제공된다. 일 실시예에서, 기판 지지부는 전도 및 방사를 통해 기판을 가열하는 서셉터이다. 서셉터의 바닥부는 기판의 바닥면을 완전히 덮는다. 또 다른 실시예에서, 서셉터의 바닥부는 가열 시스템에 의해 방사되는 광의 높은 흡수제가 되도록 설계된다. 서셉터는 흑연과 같은 유용한 열 전도체가 되는 균일한 재료로 제조될 수 있다. 일 실시예에서, 가열 시스템은 다수의 램프를 포함하며, 각각의 램프는 기판에 걸친 미리 결정된 특정 존을 가열한다. 존(zone)은 서로 중첩될 수 있어 기판에 걸쳐 실질적으로 균일한 가열 분포를 제공한다.
도 1a는 필름 형성 시스템의 일 실시예의 단면도이며,
도 1b는 도 1a에 도시된 필름 형성 시스템의 부분 단면도이며,
도 2는 상이한 방사율 값으로 기판에 대한 기판 온도의 함수로서 온도 측정 에러를 도시하는 그래프이며,
도 3은 일 실시예에 따라 강화된 방사율 효과를 도시하며,
도 4는 열전쌍에 의해 측정된 중간 웨이퍼 온도의 함수로서 평균 고온계의 온도 측정 에러의 그래프이며,
도 5는 열전쌍을 구비한 테스트 웨이퍼의 사시도이며,
도 6은 스트레이 램프 방사에 의해 발생되는 고온계의 온도 측정 에러를 도시하는 그래프이며,
도 7은 필름 형성 시스템의 또 다른 실시예의 부분 단면도이며,
도 8은 도 7에 도시된 실시예의 확대 부분 사시도이며,
도 9는 필름 형성 시스템의 또 다른 실시예의 단면도이며,
도 10은 도 9에 도시된 실시예에 대한 고온계 온도 데이터 및 열전쌍 데이터 대 시간의 실험 결과를 도시하는 그래프이며,
도 11은 도 9에 도시된 처리 챔버의 실제 온도의 함수로서 계산된 평균 고온 측정 에러의 그래프이며,
도 12는 필름 형성 시스템의 또 다른 실시예의 단면도이며,
도 13은 도 12에 도시된 이미소미터(emissometer)의 일 실시예의 단면도이며,
도 14는 필름 형성 시스템의 또 다른 실시예의 단면도이며,
도 15는 도 14에 도시된 가열 시스템의 가열 존에 대한 가열 분포 그래프이다.
본 발명의 수 개의 전형적인 실시예가 공개된다. 그러나, 공개된 실시예는 단지 수 개의 형태로 실시될 수 있는 본 발명의 예이다. 따라서, 본 명세서에서 공개되는 상세한 예로 제한되는 것으로 이해되지 않아야 하며, 단지 청구범위를 위한 기초로서 그리고 본 발명의 제조 및 이용 방법을 본 기술분야의 기술자에게 알려주기 위한 기초로서 이해되어야 한다.
필름 형성 시스템(10)의 개략도가 도 1a 및 도 1b에 도시되어 있다. 이 시스템(10)은 예를 들면, CVD 에피택셜 시스템, 폴리 실리콘 또는 실리콘 니트라이드 증착 시스템, 또는 고온 CVD 공정, 즉 약 400℃ 또는 그 이상이 되는 CVD 공정을 위한 소정의 다른 필름 증착 시스템일 수 있다. 시스템(10)은 측벽(18)에 의해 경계가 형성되는 처리 챔버(15)를 포함한다. 이러한 시스템의 예는 일반 양도된 미국 특허 제 5,108,792호, 제 5,258,824호, 및 제 6,083,323호에 공개되며, 상기 미 국 특허들은 본 명세서에 참조된다. 측벽(18)은 석영으로 제조되어 실리콘 증착에 이용되는 가연성 및 부식성 공정 가스로부터 장비를 보호하도록 한다. 기판 지지 샤프트(17)는 처리 챔버(15) 내에 회전가능하게 배치되며, 처리되는 기판(19)이 배치될 수 있는 기판 지지부(16)를 포함한다. 본 명세서에서 이용된 바와 같이, 용어 기판 지지부는 챔버(15) 내에 기판(19)을 지지하기 위해 이용되는 소정의 장치를 포함하며, 예를 들면, 기판(19)의 전체 바닥면에 걸쳐 기판(19)을 지지하는 서셉터, 기판(19)의 주변 에지를 따라서만 기판(19)을 지지하는 링형 지지부, 기판(19)의 바닥에 3개 또는 그 이상의 지점에 기판(19)을 지지하는 트리포드형 형상부, 기판(19) 등의 에지를 따라 3개 또는 그 이상의 지점에서 기판(19)을 지지하는 형상부를 포함할 수 있다. 필름이 형성되는 기판(19)의 상부면이 페이스 업(face up)하는 동안, 기판(19)의 바닥면, 또는 이의 부분은 기판 지지부(16)와 접촉한다. 소정의 실시예에 따라, 필름 형성 공정 동안, 기판 지지부(16)는 회전하여 기판(19)이 회전한다. 일 실시예에서, 서셉터 형태의 기판 지지부(16)는 흑연, 흑연이 코팅된 실리콘 카바이드, 고체 실리콘 카바이드, 알루미나 및 다른 적절한 세라믹 재료와 같은 균일한 특성, 유용한 열 전도성(100 Watts/(meter℃) 또는 그 이상) 및 낮은 매스를 구비하는 재료로 제조될 수 있다.
처리 챔버(15)의 상부는 상부 커버(11)에 의해 밀봉될 수 있다. 상부 커버(11)는 기판 지지부(16) 위에 배치되고, 따라서 기판(19) 위에 배치된다. 상부 커버(11)는 외부 커버(12), 내부 커버(14), 및 내부 커버(14)와 접하는 반사면(13)을 포함한다. 내부 커버(14)는 석영으로 제조될 수 있고, 외부 커버(12)는 강철로 상대적으로 깨지기 쉬운 내부 커버(14) 및 측벽(18)으로 제조될 수 있다. 반사면(13)은 금 필름, 또는 다른 높은 반사 물질로 제조되어, 외부 커버(12)와 내부 커버(14) 사이에 끼워진다. 수 개의 상이한 광학 스택은 또한 실리콘 디옥사이드로 덮혀진 반사면(13)을 형성할 수 있으며, 미리 마무리로 폴리싱된 단순 알루미늄 표면도 이용될 수 있다. 반사면(13)은 기판(19) 상으로 역으로 기판(19)으로부터 방사되는 광을 반사하도록 설계된다. 나중에 더 상세히 설명되는 바와 같이, 반사면(13)은 기판(19)을 위한 강화된 방사율 효과를 형성한다.
하우징(30)은 챔버(15)를 둘러싸서 지지한다. 서셉터 지지 샤프트(17)는 챔버(15)의 바닥 통공(32)을 통하여 연장한다. 이러한 연장부는 프로세싱 동안 구동 조립체(도시안됨)가 서셉터 지지 샤프트(17) 및 이에 따라 서셉터(19)가 회전하도록 한다. 이러한 회전이 수행되어 공정 균일성을 강화하도록 한다.
프로세싱 동안, 가스는 유입 포트(34)를 통하여 챔버(15)로 유입되어 배기 포트(36)를 통하여 제거된다. 또한 프로세싱 동안, 열이 방사 전구(38)에 의해 제공된다. 방사 전구(38)는 챔버(15) 근처의, 하우징(30)에 연결되는 지지 조립체(40) 상에 장착된다. 챔버(15)의 측벽(18)은 투명하여, 방사 전구(38)로부터 적외선 방사선이 기판(19)의 가열을 위해 반응 챔버(15) 내로 자유롭게 유입되도록 한다.
프로세싱 동안, 비록 투명하지만, 석영 윈도우(예를 들면, 투명한 챔버 측벽의 접근가능한 부분)는 여전히 가열된다. 챔버 측벽(18)을 냉각하기 위한 냉매 유동은, 유입 도관(44)을 경유하여 송풍기(42)로부터 하우징(30)으로 공급되고, 측 벽(18)의 외측면을 지나서 유출 도관(46)을 통하여 배출된다. 더욱 상세하게는, 냉매 유동은 도관(44)을 경유하여 상부 및 하부 유입 포트(48 및 50)를 통하여 하우징(30)으로 공급된다. 냉매 유동은 상부 및 하부 배기 포트(52 및 54)를 통하여 하우징(30)으로부터 배출된다. 하우징(30)은 챔버 측벽(18)을 지나 냉매가 흐르는 채널의 차폐부를 형성한다. 통상적으로, 냉매는 공기이다. 유입 도관(44)에 위치하는, 공기 베인(56) 또는 다른 냉매 유동 제어 장치는 하우징(30)으로의 공기 유동량을 제어하고 이어서 챔버(15) 측벽(18)의 온도를 제어한다. 이와 달리, 냉매 유동을 제어하기 위한 다른 장치, 예를 들면 조정가능한 아이리스, 밸브, 송풍기 속도 제어 회로계 등이 이용될 수 있다.
석영 챔버 측벽(18)의 온도는 종래의 광학 고온계(58)를 이용하여 모니터링될 수 있다. 광학 고온계(58)는 100℃ 내지 800℃의 범위로 온도를 측정할 수 있고, 약 4.8 내지 5.2 미크론(micron)의 파장을 감지할 수 있어야 한다. 이러한 광학 고온계는 미국 60648 일리노이스 닐스, 노쓰 나체스 애브뉴 7300의 상업적 주소를 가지고 있는, 아이리콘, 아이엔씨.(Iricon, Inc.)로부터 입수가능하거나, 미국 94089 캘리포니아 서니베일 해머우드 애브뉴 1290의 상업적 주소를 가지는 리니어 랩스(Linear Labs)로부터 입수가능하다. 광학 고온계(58)는 기판 지지부(16)의 온도를 측정하기 위해 이용될 수 있으며, 일 실시예에서 약 905 나노미터의 파장을 감지하는, 350℃ 내지 1300℃의 범위의 온도를 측정하기 위해 이용될 수 있다. 이러한 고온계는 예를 들면, 세키덴코(Sekidenco)로부터 입수가능하다. 905 나노미터 파장의 선택은 유용한 신호 차이를 제공하여 기판(19) 온도와 함께 기판(19) 방 사율의 변화를 감소시킨다.
도 1b를 참조하면, 필름 형성 시스템(10)은 기판(19)으로부터 방사되는 광을 수집하기 위해 다수의 광학 프로브(20)를 더 포함한다. 광학 프로브(20)는 기판(19) 위에 위치하고, 실질적으로 동일한 방사상 간격으로 기판(19)의 반경을 따라 배치될 수 있다. 광학 프로브(20)는 외부 커버(12) 위 또는 내에 장착될 수 있다. 소정의 실시예에서, 4개 이상의 광학 프로브(20)가 제공되지만, 다수의 광학 프로브(20)가 성능을 개선하거나 비용을 감소시키기 위한 요구에 따라 증가 또는 감소돌 수 있다. 각각의 광학 프로브(20)는 반사면(13) 내의 각각의 개구(21), 외부 커버(12)의 개구(22)를 통하여, 기판(19)의 상부면을 향하여 지향되어 기판(19)의 온도를 직접 측정하도록 한다. 각각의 광학 프로브(20)는 점선(23)에 의해 표시된 바와 같이 확장 시야를 가지며 가능한 기판(19)으로부터 더 많은 방사를 수집하도록 처리되지만, 기판(19)의 에지를 넘는 방사를 모니터링하지 않는다. 따라서, 도시된 실시예에서, 모든 광학 프로브(20)의 시야(23)는 기판(19) 내에서 형성되어 기판(19) 외부로 연장하지 않는다. 각각의 광학 프로브(20)는 예를 들면 개구(21, 22) 내에 배치되고 905 nm 광학 필터(24)에 광학적으로 연결되는 2mm 사파이어 광 파이프(33)를 포함할 수 있다. 광 파이프(33)는 반사면(13)과 단부가 동일 높이가 될 수 있다. 본 기술분야에 알려진 바와 같이, 광학 프로브(20)는 섬유 광학 케이블을 이용하여 신호 처리 전자 장치(signal processing electronics)로 연결될 수 있으며, 광학 프로브(20)에 의해 수집된 신호는 신호 처리 전자 장치에 의해 대응하는 온도로 변환될 수 있으며, 이어서 기판(19)에 걸쳐 균일한 온도를 유지하기 위해 요구되는 바와 같이 가열 파워를 조정하도록 온도 정보를 이용하는 제어 시스템을 온도를 보고한다. 이와 달리, 신호 처리 회로계는 광학 필터(24)가 장착될 수 있으며, 이는 이와 같은 구성이 섬유 광학 케이블과 관련된 손실을 감소시킬 때 일부 상황에서 바람직할 수 있다. 따라서, 광학 프로브(20)는 기판(19)의 각각의 시야(23) 내에서 상부면 온도를 측정하는 고온계로서 기능한다.
기판(19)을 가열하기 위한 에너지는 기판(19) 아래 위치하는 방사 가열 시스템으로부터 나온다. 방사 가열 시스템의 설계는 본 명세서에서 나중에 더욱 상세하게 설명된다.
광학 프로브(20)로부터 얻어진 고온계 내의 잠재적인 큰 에러를 도입하는 요소는 기판(19)의 방사율이다. 플랭크의 법칙은 온도와 방사 사이의 관계를 정량화한다.
Figure 112008031280646-pct00001
식 1
식 1에서, φ는 방사력이고, 고온계(20)에 의해 측정된 실제 양이며, C1 및 C2 는 상수이고, λ는 방사 파장이고(예를 들면, 상술된 실시예에서의 905 mm일 수 있는), T는 기판(19)의 온도이고, ε는 기판(19)의 방사율이다. 방사율이 알려진 경우, 플랭크의 법칙은 기판(19)의 온도를 매우 정밀하게 계산하기 위해 이용될 수 있다. 이러한 방사율이 알려지지 않은 경우, 정확한 온도 계산을 수행하는 것이 가능하지 않으며, 초래된 에러는 가정 방사율과 실제 방사율 사이의 차이에 따라 커질 수 있다. 도 2는 가정된 방사율이 1.0으로 설정되는 경우 상이한 방사율의 기판(19)에 대해 발생될 수 있는 이론적인 온도 측정 에러의 크기를 보여준다. 예를 들면, 0.35의 방사율을 가지는 기판(19)이 1.0의 방사율을 가지는 것을 부정확하게 측정하는 경우, 800℃에서 형성되는 에러는 70℃에 근접하게 된다. 선택적인 실리콘 증착 분야에 대해, 넓은 범위의 방사율을 가지는 기판(19)이 처리될 것이 요구되고, 이러한 방사율이 미리 알려지지 않는 것이 예상될 수 있다.
도 1b를 참조하여 설명하면, 하나의 실시예가 기판(19) 바로 위에 위치하는 높은 반사면(13)을 제공한다. 반사면(13)은 기판(19)에 의해 방사되는 광 방사선을 잡아서 이 광 방사선을 반사면 그 자체와 기판(19) 사이에서 전방 및 후방으로 반사하여, 방사율 강화 효과를 형성한다. 포함된 메카니즘은 도 3을 참조하여 설명될 수 있다. 반사면(13) 위에 배치되는 광학 프로브(20)는 기판(19)으로부터 도 3에서 Φ로 표시된 직접적인 방사 뿐만 아니라 두 개의 표면(13, 19) 사이의 다수의 반사를 수용하게 된다. 반사표면(13)을 이용하여 광학 프로브(20)에 도달하는 총 방사력은
Figure 112008031280646-pct00002
여기서, R은 반사면(13)의 반사율(1.0에 근접한)이다. 이의 식은 아래와 같다.
Figure 112008031280646-pct00003
여기서,
Figure 112008031280646-pct00004
ε겉보기(apparent)가 ε 및 R의 소정의 실제값 그리고 특히 1.0에 근접한 R의 값에 대해 1.0이 되는 것이 용이하게 계산될 수 있다. 이는 기판(19)의 실제 방사율에 관계없이, 광학 프로브(또는 고온계)(20)가 1.0에 근접한 유효 방사율을 가진 타깃으로 간주된다는 것을 의미한다.
따라서, 반사면(13)은 기판(19) 반사율에서의 변화에 대한 보상에 매우 효과적이다. 도 4는 반사율 효과에 의해 발생된 온도 에너지의 측정 에러를 보여준다. 도 1 및 도 5를 추가로 참조하면, 매우 낮은 방사율 상부면(약 0.35의 방사율을 가진 폴리실리콘 필름)을 가진 테스트 기판(100)이 열전쌍(101)으로 조립된다. 열전쌍(101)은 광학 프로브(20)와 동일한 반경에 위치하여 두 개의 기술에 의해 얻어진 온도 측정치가 비교된다. 도 4는 광학 프로브(20)에 의해 측정된 온도와 열전쌍(101)에 의해 측정된 온도 사이의 차이의 그래프이다. 도 4에 도시된 바와 같이, 방사율에 의한 에러는 최고 850℃ 온도에 대해 5℃ 보다 작다. 도 2를 참조하면, 반사면(13)에 의해 발생되는 강화되는 유효 방사율 없이 0.35의 방사율을 가진 기판(19)에 대해 측정 에러가 대신 850℃의 온도에서 70℃를 초과하게 된다. 따라서 반사면(13)은 90% 이상만큼 방사율 유도 에러를 감소시킨다.
방사 가열되는 처리 챔버에 대해 고온계를 이용할 때 발생되는 또 다른 문제점은 미로 방사선이다. 도 1을 참조하면, 기판(19)은 방사선 가열 시스템을 이용하여 가열될 수 있다. 방사 가열 시스템은 기판(19)을 가열하기 위하여 하나 또는 그 이상의 램프(38)를 이용한다. 결론적으로, 챔버(15)는 광으로 투광된다. 이러한 배열체에서의 하나의 문제점은 방사 가열 시스템에 의해 생성된 광이 기판(19)에 의해 방사된 광과 거의 구별할 수 없다는 것이다. 이는 고온계(20)가 이러한 성분 둘다, 기판(19)으로부터의 방사 및 가열 시스템으로부터의 방사선을 수집하게 되고 이러한 방사선 모두 기판(19)에 의해 생산된 것으로 이해된다는 것을 의미한다. 이는 크기가 수백 썹씨 온도에 용이하게 도달할 수 있는 직접적인 측정 에러를 보여준다. 예를 들면, 도 6은 미로 방사선 피쳐(feature)가 거의 또는 전혀 이용되지 않을 때 고온계 온도 판독의 비교 데이터를 제공하는 그래프이다. 도 6에서, 데이터는 챔버가 가열되는 시간을 가지지 않을 정도로 충분히 빠른 속도로 단계에서 방사 가열 시스템의 램프 파워를 증가시킴으로써 얻을 수 있다. 열전쌍으로 측정된 실제 기판 온도는 전체 테스트 동안 결코 140℃를 초과하지 않는다. 고온계에 의해 측정된 바와 같은 그래프에 도시된 겉보기 온도에서의 모든 점프가 미로 방사선에 의해 발생된 직접적인 측정 에러이다. 도 6에 도시된 바와 같이, 이러한 에러는 300℃를 초과한다.
본 발명의 하나의 양태는 미로 방사선과 관련된 문제점을 최소화하기 위해 시스템 부품 및 피쳐를 제공하는 것이고, 이러한 양태의 일 실시예는 도 7 및 도 8에 도시된다. 석영으로 제조될 수 있는 측벽(201)에 의해 둘러싸이는 처리 챔버(200)를 포함하는 시스템이 도시된다. 도 1에 대해 상술된 바와 같이, 시스템 내에 회전가능하게 배치되는 것은 필름 형성 공정 동안 기판(204)을 홀딩하기 위해 이용되는 기판 지지부(202)이다. 필름 형성 공정을 위해 요구되는 공정 가열 모두 기판 지지부(202) 아래 배치되어 기판(204) 아래 배치되는 방사 가열 시스템에 의해 제공된다. 필름 형성 시스템은 두 개의 영역, 즉 기판(204)의 상부 영역(206), 및 기판 지지부(202) 아래인 하부 영역(207)으로 분리되는 것으로 생각될 수 있다. 방사 가열 시스템이 하부 영역에 배타적으로 위치될 수 있기 때문에, 방사 가열 시스템으로부터의 광이 상부 영역(204)으로 유입되는 것을 방지하는 것이 바람직하며, 이는 고온계(또는 광학 프로브)가 기판(204)의 온도를 측정하기 위해 위치하기 때문이다.
측벽(201)의 적어도 일 부분 주위에 주변 부재(205)가 배치된다. 레지(ledge) 및 포켓은 측벽(201) 및 주변 부재(205)에 설계될 수 있어 상기 두 개 부재가 서로에 대해 운동하는 것을 방지하도록 하고, 주변 부재(205)의 외측 주변 에지는 측벽(201)의 지지 에지(203)와 접촉한다. 주변 부재(205)는 예를 들면, 예비 가열 링일 수 있다. 주변 부재(205)는 흑연으로 제조될 수 있어, 광이 측벽(201)을 통하여 처리 챔버 내로 전달되는 것을 방지하며, 처리 챔버는 석영으로 제조될 수 있어 따라서 투명성 또는 반투명성일 수 있다. 주변 부재(205)는 처리 챔버(200) 내로 연장하는 내측 단부(208)를 포함한다. 이러한 내측 단부(208)는 기판 지지부(202)의 외측 단부(209)와 중첩된다. 따라서, 주변 부재(205) 및 기판 지지부(202)는 각각 중첩되는 내측 및 외측 에지 부분(208, 209)이다. 갭(210)은 회전하는 기판 지지부(202)와 고정 주변 부재(205) 사이에 제공될 수 있다. 중첩되는 단부(208, 209)를 분리하는 갭(210)의 폭은 가능한 작게 제조되어 갭(210)을 통과하는 칩의 양이 최소가 되도록 유지하며, 일 실시예에서는 0.075 인치의 폭을 가진다. 한 세트의 중첩하는 표면(208, 209)을 제공함으로써, 하부 영역(207)으로부터 돌출되는 대부분의 광은 상부 영역(206) 내로 유입되는 것이 차단된다. 중첩하는 표면(208, 209)은 기판 지지부(202)의 외측 주변 에지 및 주변 부재(205)의 내측 주변 에지에 스텝형 피쳐(stepped feature)를 포함할 수 있다. 기판 지지부(202) 및 주변 부재(205)의 스텝형 피쳐는 상보적인 것으로 도시되어 있다. 기판 지지부(202)의 외측 주변 에지(209)와 주변 부재(205)의 내부 주변 부재(208) 사이의 상보적인 스텝형 설계를 제공함으로써, 내측 주변 에지(208)의 상부면은 기판 지지부(202)의 상부면 위로 상승하지 않는다는 것에 주목하여야 한다.
하부 영역(207)으로부터의 광이 갭(210)을 경유하여 상부 영역(206) 내로 누출되는 것을 추가로 방지하기 위하여, 중첩 표면(208, 209)은 광을 확산시키고 흡수하도록 설계되는 광학적으로 거친 마무리를 제공할 수 있다. 예를 들면, 기판 지지부(202)의 외측 단부(209)의 상부면은 일련의 좁은 그루브(211)를 제공함으로써 기계가공될 수 있다. 주변 부재(205)의 내측 단부(208)의 바닥면은 유사하게 그루브형 표면이 제공될 수 있다. 비록 그루브가 이용되었지만, 광을 흡수하는 소정의 적절한 표면이 다른 타입의 각도가 형성된 표면, 또는 방사 가열 시스템에 의해 방사되는 방사선을 흡수하도록 설계되는 광학 필름과 같은, 중첩 표면(208, 209)에 이용될 수 있는 것으로 이해되어야 한다.
미로 방사선을 추가로 차단하기 위하여, 도 7 및 도 8에 도시된 실시예는 상부 주변 부재, 또는 광 차폐부(212)를 추가로 제공한다. 상부 광 차폐부((212)는 흑연으로 제조될 수 있어, 주변 부재(205) 및 서셉터(202)의 중첩 단부(208, 209) 위에 배치된다. 상부 광 차폐부(212)는 상부 측벽(213)에 배치되거나 인접하게 배치된다. 상부 측벽(213)은 석영으로 제조된다. 레지 및 포켓은 상부 측벽(213) 및 광 차폐부(212)에 설계될 수 있어 이러한 두 개의 부재가 서로에 대해 운동하는 것을 방지하도록 한다. 갭(215)은 주변 부재(205) 및 기판 지지부(202)의 상부면들로부터 상부 광 차폐부(212)의 하부면(214)을 분리할 수 있다. 상부 광 차폐부(212)의 하부면(214)은 유사하게 갭(210)으로부터 나오는 광을 흡수하도록 일련의 미세한 그루브 또는 광학 활성 필름과 같은 광학적으로 세공되지 않거나 흡수성 표면을 제공할 수 있다. 상부 광 차폐부(212)는 측벽(201, 213)의 전체 매개변수를 따를 수 있다. 비록 불연속 요소로서 설명되었지만, 상부 측벽(213) 및 하부 측벽(201)은 주변 부재(205)를 수용하도록 적절한 그루브 등으로 제조되는, 일체형 요소로 제조될 수 있다.
도 9는 석영 측벽(302)에 의해 경계가 형성되는 처리 챔버(301), 및 상부 커버(303)를 포함하는, 또 다른 막 형성 시스템(300)이 도시된다. 기판 지지 샤프트(304)는 처리 챔버(301) 내에 회전가능하게 배치되고, 기판(306)을 홀딩하는 서셉터(305)를 포함한다. 서셉터(305)는 흑연 또는 고체형 실리콘 카바이드와 같은, 100 와트(미터 ℃) 이상의 열 전도도를 가지는 가볍고, 균일한 열 전도성 재료로 제조되어, 기판(306)의 바닥면을 완전히 덮어서, 전도 및 복사에 의해 기판(306)을 가열하도록 설계된다. 상부 커버(303)는 석영 내부 층(308)과 강철 외부 층(309) 사이에 끼워지는 반사면(307)을 포함한다. 반사면(307)은 기판(306)으로부터 역으로 기판(306)의 상부면을 향하여 방출되는 방사선을 반사하여 기판(306)의 유효 방사율을 증가시키도록 한다.
방사율 강화 효과로, 기판(306)은 기판(306)의 표면 상의 방사율 값 또는 패턴과 관계없이 균일한 등온 상태에 도달할 때까지 반사면(307)이 에너지를 기판(306)으로 역으로 일정하게 반사한다. 기판(306)의 온도의 고온계 측정을 위해 제공하기 위하여, 다수의 광학 프로브(310)는 기판(306)으로부터 나오는 광을 샘플링하며, 각각의 광학 프로브(310)는 기판(306)을 넘어 연장하지 않는 각각의 시야(311)를 가진다. 각각의 표면(307) 내의 개구(312)는 광학 프로브(310)로 각각의 시야(311)를 제공할 수 있다.
필름 형성 시스템(300)은 기판 지지부(305) 아래 배치되는 가열 시스템(313)을 포함한다. 가열 시스템(313)은 하나 또는 그 이상의 램프(314)를 포함하며, 이 램프는 기판 지지부(305)의 바닥부를 가열하기 위하여 챔버(301) 내로 광을 방사한다. 모든 공정 가열은 가열 시스템(313)에 의해 제공된다. 램프로부터의 광이 광학 프로브(310)에 도달하는 것을 방지하기 위하여, 시스템(300)은 서셉터(305)와 중복되는 예비 가열 링일 수 있는 흑연 주변 부재(315)를 더 포함한다. 따라서, 상기 실시예에서 설명된 바와 같이, 서셉터(305)와 주변 부재(315) 사이에 중첩 영역(316)이 존재한다. 이러한 중첩 영역(316) 내의 표면은 미세한 그루브 또는 광학의 얇은 필름을 구비하는 것과 같이 광학적으로 세공되지 않거나 흡수성이 될 수 있어, 주변 부재(315)로부터 서셉터(304)를 분리하는 갭을 통하여 산란되는 광을 더 흡수하도록 한다. 흑연 상부 주변 부재 또는 광 차폐부(317)는 또한 중복의 영역(316) 위에 배치될 수 있어 램프(314)로부터 산란되는 광을 추가로 차단하도록 한다. 광 차폐부(317)는 석영 상부 측벽(318)에 의해 지지될 수 있다.
필름 형성 시스템(300)은 통상적으로 기판(306)의 패턴 로딩 및 방사율 변화의 결과인 필름 형성 공정에서의 결함을 방지하도록 설계된다. 서셉터(305)는 가열 시스템(313)을 위한 일정 흡수율 타깃을 제공한다. 따라서 가열 시스템(313)은 서셉터(305)를 균일하게 가열하며, 이어서 기판을 균일 전도 방식으로 가열하며, 반사면(307)은 패턴 로딩 및 방사율 효과를 회피하도록 기판(306)에 걸친 등온 상태를 추가로 보장한다. 반사면(307)은 두 개의 구별되는 특징을 제공한다: 즉 1) 기판(306)의 전체 방사율과 관계없이, 프로브(310)가 기판(306)의 온도를 정확히 측정하고, 그리고 2) 기판(306)의 방사율에서의 국부적인, 나노 스케일, 변화에 의해 발생되는 패턴 로딩 효과를 감소시키도록 한다.
도 10은 필름 형성 시스템(300)을 위한 열전쌍 온도 데이터에 대한 고온계 온도 데이터의 실험적인 결과를 보여주는 그래프이다. 광학 프로브(310)에 의해 측정된 고온계 온도를 명확히 하기 위하여, 도 5에 도시된 것과 유사한 특별한 웨이퍼가 상부면에 용접되는 4개의 열전쌍을 가지는 것을 이용하였다. 열전쌍은 광학 프로브(310) 아래 직접 위치하여 광학 프로브(310)의 고온계 판독이 직접 비교되도록 한다. 고 방사율 필름(약 0.95의)은 열전쌍을 부착하기 전에 웨이퍼의 표면 상에서 성장되었다. 이에 의해, 광학 프로브(310)의 정밀도 및 반복가능성은 임의의 열적 사이클을 통하여 웨이퍼를 가열하고 광학 프로브(310)에 의해 얻어진 것과 열전쌍 판독치를 비교함으로써 테스트되었다. 기판의 중앙 근처에 위치하는 열전쌍 및 광학 프로브를 그래프로 도시하는 도 10에 도시된 바와 같이, 시스템(300)은 광학 프로브(310)를 경유하여 고온계를 통하여 측정된 바와 같이 열전쌍 대 온도에 의해 측정된 바와 같은 온도들 사이의 긴밀한 관계를 제공한다.
도 11은 이러한 테스트 동안 얻어지는 실제 챔버(301) 온도의 함수로서 모든 광학 프로브(310)에 걸쳐 계산된 평균 측정 에러의 윤곽(summary)을 보여준다. 도시된 바와 같이, 관심있는 온도 범위(> 550℃)에 대해, 미광에 의한 에러는 2℃ 정도(order) 상에 있다.
고온계 측정을 위한 방사율 효과 정정을 위해 추가로 제공되는 필름 형성 시스템(400)의 또 다른 실시예가 도 12에 도시된다. 필름 형성 시스템(400)은 도 9의 시스템(300)과 유사하지만, 시스템(400)은 기판(420)의 실제 방사율을 측정하기 위한 이미소미터(410)를 추가로 포함한다. 이미소미터(410)의 작동의 원리는 챔버(402) 내에 광학 프로브(403)를 위치설정하는 단계를 포함하지만, 광학 프로브(403)에 대해 반사면(401)에 의해 제공되는 강화되고 유효 방사율이 감소 또는 제거된다. 광학 프로브(403)는 어떠한 반사 방사선도 없이, 기판(420)으로부터의 직접적인 방사선만을 마주한다. 즉, 광학 프로브(403)가 측정한 방사 파워는,
Figure 112008031280646-pct00005
반사면(401)의 완전히 강화된 방사율 효과를 경험하는 이웃하는 광학 프로브(404)에 의해 측정된 온도에 광학 프로브(403)에 의해 측정된 온도를 비교함으로써, 기판(420)의 실제 방사율을 계산하는 것이 가능하다. 예를 드면, 기판(420)의 방사율이 아래와 같이 계산될 수 있다.
Figure 112008031280646-pct00006
Tmeas는 측정된 웨이퍼 온도이고, 델타(delta)는 온도 프로브(404) 및 이미소미터 프로브(403)에 의해 측정된 온도에서의 차이이고 R3는 반사면(401)의 반사율이고 RE는 이미소미터(410) 주위의 공동의 반사율이다. 다른 상수가 식 1로서 주어진다. 이와 달리, 0.3 내지 약 0.95의 공지된 방사율의 수 개의 기판(420)는 챔버(402) 내에서 작동될 수 있으며 이미소미터(410) 및 정규 고온계(404) 사이의 온도 델타는 측정 곡선을 형성하기 위하여 측정될 수 있다. 이러한 측정 곡선은 지수 함수와 맞추어질 수 있어 후속적으로 공지되지 않은 기판(420)의 방사율을 결정하기 위하여 이용된다. 방사율 값은 이어서 고온계 광학 프로브(405)에 의해 보고된 온도에 대한 정정을 수행하기 위하여 이용된다.
도 13은 이미소미터(410)의 일 실시예를 도시한다. 광학 프로브(403)는 상대적인 큰 직경 홀(406)이 드릴링 또는 에칭되는 반사면(401)의 영역 내에 위치된다. 홀(406)의 직경은 기판(420)에 대한 거리 및 광학 프로브(403)의 시야와 관련될 수 있다. 광학 프로브(403)는 반사면(401)으로부터 반사되지 않으면서 기판(420)으로부터 직접 나오는 광을 수집하여, 광학 프로브(403)가 반사면(401)에 의해 제공되는 방사율 강화 없이 수용되는 것이 바람직하다. 따라서, 홀(406)의 직경이 가능한 넓게 되는 것이 바람직하다. 그러나, 기판(420)이 거의 모든 각도에서 방사선을 방출하기 때문에, 홀(406)이 매우 크게 제조되지 않으면, 광학 프로브(403)는 거의 항상 소정의 방사율 강화 방사선을 수집한다. 매우 큰 홀(406)을 제조하는 것은 바람직하지 않을 수 있으며, 이는 기판(420) 상에 냉점을 형성하는 경향이 있기 때문이다. 기판(420)이 회전할 수 있기 때문에, 이러한 냉점은 기판(420) 상에 냉각 링을 형성하게 된다. 홀(406)의 직경을 광학 프로브(403)의 기판(420)의 표면 상의 시야와 동일한 크기로 제조함으로써 적절하게 타협될 수 있다. 일 실시예에서, 홀(406)의 직경이 0.5 내지 2인치의 범위일 수 있다. 일 실시예에서, 직경은 약 0.75 인치일 수 있다. 일반적으로, 삼각법이 이용될 수 있으며, 직경은 광학 프로브(403)와 기판(420)으로부터의 거리 및 광학 프로브(403)의 조망의 각도의 함수일 수 있다. 홀(406)의 폭은 효과적으로 프로브(403)에 도달하는 방사선에 대해 반사면(401)의 방사율 강화 효과를 효과적으로 제거한다. 반사면(401) 내의 대형 홀(406)이 기판(420)의 온도에 대한 역효과를 가지는 것을 방지하기 위하여, 고온 미러(407)가 구멍(406)을 덮기 위하여 위치설정된다. 고온 미러(407)는 광을 기판(420)으로 역으로 축선을 벗어나서 반사하도록 설계되며, 이는 홀(406)을 통한 열 손실 량을 최소화한다. 이와 같은 고온 미러가, 예를 들면, 세키덴코(Sekidenko)로부터 얻어질 수 있다. 고온 미러(407) 때문에, 프로브(403) 아래로 직접 방사되는 방사선만이 이미소미터(410)에 도달한다. 이러한 방사선은 반사면(401)에 의해 강화되지 않으며, 이어서 정규의 고온계 탐침(404, 405)과 비교될 수 있어, 상술된 바와 같이, 기판(420)의 방사율을 계산하도록 한다. 기판(420)의 방사율이 알려지면, 기판(420)의 온도는 식 1 및 광학 프로브(404, 405)에 의해 감지되는 방사 파워를 이용하여 정밀하게 결정될 수 있다.
물론, 소정의 적절한 이미소미터가 이용될 수 있다. 예를 들면, 리플렉토미터(reflectometer)가 기판의 방사율을 얻기 위해 이용될 수 있다. 본 기술분야에서 공지된 바와 같이, 리플렉토미터는 기판으로 광선을 보내서 반사 세기를 측정한다. 광의 파장에 대한 적절한 선택으로, 기판은 어떠한 광도 전달하지 않으며, 일부 광을 역으로 반사한다. 반사된 광의 세기가 측정될 수 있으며, 이로부터 기판의 방사율의 직접적인 측정을 할 수 있으며, 이는 방사율=1-반사율이기 때문이다. 이 같은 리플렉토미터는 상업적으로 입수가능하며, 예를 들면, 미국 91361-4681 캘리포니아 웨스트레이크 빌리지, 슈트 109 웨스트 아고우라 로드 30961에 소재하는 CI 시스템(CI System)으로부터 입수가능하다.
또한, 각각 기판의 시야를 구비하는 다중 이미소미터를 이용하여 대응하는 다수의 영역에 걸쳐 기판의 방사율을 결정하도록 하는 것이 가능하다. 상기 영역에 대해 이미소미터에 의해 측정된 바와 같은 하나의 영역 내의 기판의 방사율은 이어서 영역을 둘러싸는 시야를 구비하는 대응하는 고온계에 대한 상기 영역의 온도를 정밀하게 계산하기 위하여 이용될 수 있다. 이러한 방식으로, 기판에 걸친 온도 분포는 더욱 정밀하게 측정될 수 있어, 더욱 정밀하게 제어될 수 있다.
또 다른 실시예에 따라, 에너지의 조정가능한 소스가 필름 형성 챔버 내에서 온도를 제어하기 위해 제공된다. 도 14를 참조하면, 시스템(500)은 4개의 존 방사가열 시스템(510)을 포함하며, 각각의 존(501)은 고온계 광학 프로브(502)의 대응하는 방사형 분포로부터 수용되는 온도 피드백을 기초로하여 독립적으로 조정될 수 있다. 방사 가열 시스템(510)은 서셉터(505) 아래 배치되고, 다수의 램프(503) 및 반사기(504)를 포함한다. 램프(503) 및 반사기(504)의 배향 및 각도에 대한 조정은 독립적으로 조정가능한 가열 존(501)을 형성한다.
가열 영역(501)은 서셉터(505)의 바닥면을 가로질러 균일하게 되도록 조정될 수 있는 가열 패턴을 생산하기 위하여 조합된다. 서셉터(505)의 바닥면은 광학 필름, 그루브, 등을 이용하는 것과 같이, 램프(503)에 의해 방사되는 방사선을 최대로 흡수하도록 설계될 수 있다. 가열 시스템(510)에 의해 발생되는 가열 패턴의 그래프는 도 15에 제시되며, 독립적으로 턴온될 때 각각의 개별 가열 존(501)에 대해 서셉터(505)에 걸쳐 측정되는 가열 분포를 보여준다. 각각의 가열 존(501)은 서셉터(505) 상의 특정 반경에서 및 이에 따라 기판(507) 상의 특정 반경에서(각각의 존(501)의 가열 분포는 서셉터(505)의 회전 중앙에 대해 대칭이다) 기판(507)을 가열하며, 모든 가열 존(501)은 균일한 가열 분포를 형성하기에만 충분하게 중복된다. 도 15에 표시된 가열 패턴의 가열 존(501)이 1σ에 대해 1℃ 보다 더 우수한 기판(507)에 걸쳐 온도 분포를 형성하기 위하여 중첩된다. 더욱이, 상술된 바와 같이, 배타적으로 바닥으로부터 기판(507)을 가열함으로써 방사율 및 패턴 로드 효과를 감소시킨다.
상술된 것은 본 발명의 실시예들에 관한 것인 반면, 본 발명의 다른 및 추가 실시예는 본 발명의 기본 범위로부터 이탈하지 않고 고안될 수 있으며, 본 발명의 범위는 후술되는 청구범위에 의해 결정된다.

Claims (20)

  1. 기판 처리 시스템으로서,
    상기 기판에 필름을 형성하기 위한 처리 챔버로서, 상기 챔버의 주변을 둘러싸는 측벽을 포함하는, 처리 챔버,
    상기 시스템에 배치되어 상기 기판을 지지하는 기판 지지부,
    상기 처리 챔버를 둘러싸도록 상기 기판 지지부 위에 배치되는 상부 커버로서, 상기 기판 지지부를 향하여 역으로 광을 반사하기 위한 반사면을 포함하고 상기 기판에 대해 강화된 방사율 효과를 생성하는, 상부 커버,
    상기 기판을 필름 형성 공정에 충분한 온도로 가열하도록 상기 기판 지지부 아래 배치되는 가열 시스템으로서, 상기 기판의 공정 가열은 상기 가열 시스템에 의해서만 수행되는, 가열 시스템,
    상기 기판으로부터 방사되는 광을 수집하기 위한 복수의 광학 프로브로서, 상기 반사면은 상기 광학 프로브로 기판의 각각의 시야를 제공하도록 복수의 개구를 포함하고, 상기 광학 프로브가 상기 기판으로부터의 강화된 방사율 효과를 측정하는, 복수의 광학 프로브, 및
    상기 기판의 실제 방사율을 측정하고 상기 기판으로부터의 강화된 방사율 효과를 감소시키는 이미소미터로서, 상기 기판의 온도는 상기 광학 프로브 중 하나 이상 및 상기 이미소미터로부터의 출력을 이용하여 계산하는, 이미소미터를 포함하는,
    기판 처리 시스템.
  2. 제 1 항에 있어서,
    상기 광학 프로브의 시야가 상기 기판을 넘어서 연장되지 않는,
    기판 처리 시스템.
  3. 제 1 항에 있어서,
    상기 광학 프로브는 고온계인,
    기판 처리 시스템.
  4. 제 1 항에 있어서,
    상기 광학 프로브는 신호 처리 전자 장치로 광학적으로 연결되는,
    기판 처리 시스템.
  5. 제 1 항에 있어서,
    상기 광학 프로브는 상기 기판 위에 동일한 간격으로 방사상으로 이격되어 있는,
    기판 처리 시스템.
  6. 제 1 항에 있어서,
    상기 이미소미터는 상기 반사면 내의 개구,
    상기 개구를 덮기 위한 고온 미러, 및
    상기 기판으로부터 방사되는 광을 수집하여 상기 고온 미러를 통과시키기 위한 이미소미터용 광학 프로브를 포함하는,
    기판 처리 시스템.
  7. 제 6 항에 있어서,
    상기 이미소미터용 반사면 내의 개구는 상기 반사면에 의해 발생되는 상기 기판의 증가된 유효 방사율을 제거하기에 충분히 큰 단면적을 가지는,
    기판 처리 시스템.
  8. 제 1 항에 있어서,
    상기 측벽의 제 1 에지와 접촉하고 상기 가열 시스템으로부터의 광이 상기 상부 커버에 도달하는 것을 방지하도록 상기 기판 지지부의 외측 에지부와 중첩되는 상기 처리 챔버 내로 연장하는 내측 주변 에지를 가지는 주변 부재를 더 포함하는,
    기판 처리 시스템.
  9. 제 8 항에 있어서,
    상기 기판 지지부가 상기 시스템 내에 회전가능하게 장착되고 상기 외측 에지부는 상기 내측 주변 에지와 접촉하지 않는 ,
    기판 처리 시스템.
  10. 제 9 항에 있어서,
    상기 내측 주변 에지와 면하는 상기 외측 에지부의 표면이 상기 가열 시스템으로부터의 광을 광학적을 흡수하는,
    기판 처리 시스템.
  11. 제 10 항에 있어서,
    상기 내측 주변 에지와 면하는 상기 외측 에지부의 표면이 복수의 그루브를 포함하는,
    기판 처리 시스템.
  12. 제 9 항에 있어서,
    상기 외측 에지부와 면하는 상기 내측 주변 에지의 표면이 상기 가열 시스템으로부터의 광을 흡수하도록 하는,
    기판 처리 시스템.
  13. 제 12 항에 있어서,
    상기 외측 에지부와 면하는 상기 내측 주변 에지의 표면이 거친면을 포함하는,
    기판 처리 시스템.
  14. 제 10 항에 있어서,
    상기 측벽에 인접하고 상기 내측 주변 에지와 상기 외측 에지부와 중첩되도록 상기 처리 챔버 내로 연장하는 제 2 주변 부재를 더 포함하는,
    기판 처리 시스템.
  15. 제 1 항에 있어서,
    상기 반사면은 상기 기판의 유효 방사율을 증가시키도록 상기 기판으로부터 방사된 광이 상기 기판을 향하여 역으로 반사시키는,
    기판 처리 시스템.
  16. 제 15 항에 있어서,
    상기 기판 지지부는 상기 기판을 가열하기 위한 서셉터를 포함하고, 상기 서셉터의 바닥부는 상기 기판의 바닥면을 완전히 덮어서 상기 가열 시스템에 의해 가열되는,
    기판 처리 시스템.
  17. 제 16 항에 있어서,
    상기 가열 시스템은 방사선 가열 시스템이고, 상기 서셉터의 바닥부는 복수의 램프를 포함하는 상기 방사선 가열 시스템에 의해 발생되는 광을 흡수하고, 상기 램프는 상기 기판에 걸쳐 복수의 가열 존을 형성하고, 상기 가열 존은 상기 기판에 걸쳐 균일한 가열 분포를 형성하도록 중첩되는,
    기판 처리 시스템.
  18. 제 16 항에 있어서,
    상기 서셉터는 상기 기판을 균일하게 전도적으로 가열하도록 100 Watts/(meter ℃) 이상의 열 전도율을 가지는 균일 재료로 제조되는,
    기판 처리 시스템.
  19. 제 1 항에 있어서,
    상기 이미소미터(emissometer)는 리플렉토미터(reflectometer)인.
    기판 처리 시스템.
  20. 삭제
KR1020087010501A 2005-09-30 2006-09-11 온도 및 방사율/패턴 보상을 포함하는 필름 형성 장치 및방법 KR101047089B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/242,298 2005-09-30
US11/242,298 US7691204B2 (en) 2005-09-30 2005-09-30 Film formation apparatus and methods including temperature and emissivity/pattern compensation
PCT/US2006/035031 WO2007040908A2 (en) 2005-09-30 2006-09-11 Film formation apparatus and methods including temperature and emissivity/pattern compensation

Publications (2)

Publication Number Publication Date
KR20080055972A KR20080055972A (ko) 2008-06-19
KR101047089B1 true KR101047089B1 (ko) 2011-07-06

Family

ID=37902229

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087010501A KR101047089B1 (ko) 2005-09-30 2006-09-11 온도 및 방사율/패턴 보상을 포함하는 필름 형성 장치 및방법

Country Status (5)

Country Link
US (1) US7691204B2 (ko)
JP (1) JP5686952B2 (ko)
KR (1) KR101047089B1 (ko)
TW (1) TWI367958B (ko)
WO (1) WO2007040908A2 (ko)

Families Citing this family (382)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7921802B2 (en) * 2002-12-09 2011-04-12 Nxp B.V. System and method for suppression of wafer temperature drift in cold-wall CVD systems
US7691204B2 (en) * 2005-09-30 2010-04-06 Applied Materials, Inc. Film formation apparatus and methods including temperature and emissivity/pattern compensation
US8372203B2 (en) * 2005-09-30 2013-02-12 Applied Materials, Inc. Apparatus temperature control and pattern compensation
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
RU2010143546A (ru) * 2008-03-26 2012-05-10 ДжиТи СОЛАР, ИНКОРПОРЕЙТЕД (US) Реакторная система с золотым покрытием для осаждения поликристаллического кремния и способ
US8726837B2 (en) * 2008-06-23 2014-05-20 Applied Materials, Inc. Semiconductor process chamber vision and monitoring system
US8111978B2 (en) * 2008-07-11 2012-02-07 Applied Materials, Inc. Rapid thermal processing chamber with shower head
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
EP2409324A4 (en) * 2009-03-16 2013-05-15 Alta Devices Inc WAFER CARRIER TRACK
US20100248397A1 (en) * 2009-03-26 2010-09-30 Tokyo Electron Limited High temperature susceptor having improved processing uniformity
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
CN102041486A (zh) * 2009-10-23 2011-05-04 周星工程股份有限公司 基板处理设备
US9869021B2 (en) 2010-05-25 2018-01-16 Aventa Technologies, Inc. Showerhead apparatus for a linear batch chemical vapor deposition system
US9169562B2 (en) 2010-05-25 2015-10-27 Singulus Mocvd Gmbh I. Gr. Parallel batch chemical vapor deposition system
US8986451B2 (en) 2010-05-25 2015-03-24 Singulus Mocvd Gmbh I. Gr. Linear batch chemical vapor deposition system
TW201218301A (en) * 2010-10-28 2012-05-01 Applied Materials Inc Apparatus having improved substrate temperature uniformity using direct heating methods
US8967860B2 (en) 2011-02-07 2015-03-03 Applied Materials, Inc. Low temperature measurement and control using low temperature pyrometry
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
DE102011083245B4 (de) 2011-09-22 2019-04-25 Siltronic Ag Verfahren und Vorrichtung zum Abscheiden einer epitaktischen Schicht aus Silizium auf einer Halbleiterscheibe aus einkristallinem Silizium durch Gasphasenabscheidung in einer Prozesskammer
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9682398B2 (en) 2012-03-30 2017-06-20 Applied Materials, Inc. Substrate processing system having susceptorless substrate support with enhanced substrate heating control
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9905444B2 (en) * 2012-04-25 2018-02-27 Applied Materials, Inc. Optics for controlling light transmitted through a conical quartz dome
US10202707B2 (en) * 2012-04-26 2019-02-12 Applied Materials, Inc. Substrate processing system with lamphead having temperature management
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9200965B2 (en) * 2012-06-26 2015-12-01 Veeco Instruments Inc. Temperature control for GaN based materials
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9748121B2 (en) 2013-03-05 2017-08-29 Applied Materials, Inc. Thermal coupled quartz dome heat sink
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR20160003099A (ko) * 2013-04-26 2016-01-08 어플라이드 머티어리얼스, 인코포레이티드 흡수성 램프헤드 면
US9842753B2 (en) 2013-04-26 2017-12-12 Applied Materials, Inc. Absorbing lamphead face
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9814099B2 (en) 2013-08-02 2017-11-07 Applied Materials, Inc. Substrate support with surface feature for reduced reflection and manufacturing techniques for producing same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
DE102013114412A1 (de) 2013-12-18 2015-06-18 Aixtron Se Vorrichtung und Verfahren zur Regelung der Temperatur in einer Prozesskammer eines CVD-Reaktors unter Verwendung zweier Temperatursensoreinrichtungen
US11015244B2 (en) 2013-12-30 2021-05-25 Advanced Material Solutions, Llc Radiation shielding for a CVD reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160282886A1 (en) * 2015-03-27 2016-09-29 Applied Materials, Inc. Upper dome temperature closed loop control
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102040378B1 (ko) * 2016-12-20 2019-11-05 주식회사 티씨케이 지그를 이용한 반도체 제조용 부품의 제조방법 및 제조장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10655226B2 (en) * 2017-05-26 2020-05-19 Applied Materials, Inc. Apparatus and methods to improve ALD uniformity
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
JP7018744B2 (ja) * 2017-11-24 2022-02-14 昭和電工株式会社 SiCエピタキシャル成長装置
JP7012518B2 (ja) * 2017-11-24 2022-01-28 昭和電工株式会社 SiCエピタキシャル成長装置
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR20200092375A (ko) 2017-12-01 2020-08-03 엠케이에스 인스트루먼츠 인코포레이티드 라디칼 가스 및 단기 분자를 위한 다중 센서 가스 샘플링 검출 시스템 및 사용 방법
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6179466B1 (en) * 1994-12-19 2001-01-30 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US6455814B1 (en) * 2001-11-07 2002-09-24 Applied Materials, Inc. Backside heating chamber for emissivity independent thermal processes
US20050258824A1 (en) * 2003-09-02 2005-11-24 Kiyotaka Uehira Device for detecting rotation angle and torque

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4919542A (en) * 1988-04-27 1990-04-24 Ag Processing Technologies, Inc. Emissivity correction apparatus and method
US4956538A (en) * 1988-09-09 1990-09-11 Texas Instruments, Incorporated Method and apparatus for real-time wafer temperature measurement using infrared pyrometry in advanced lamp-heated rapid thermal processors
US5083323A (en) * 1990-01-08 1992-01-28 Cannan Robert M Toilet control device
US5108792A (en) * 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
US5258824A (en) * 1990-08-09 1993-11-02 Applied Materials, Inc. In-situ measurement of a thin film deposited on a wafer
US5255286A (en) * 1991-05-17 1993-10-19 Texas Instruments Incorporated Multi-point pyrometry with real-time surface emissivity compensation
US5156461A (en) * 1991-05-17 1992-10-20 Texas Instruments Incorporated Multi-point pyrometry with real-time surface emissivity compensation
US5180226A (en) * 1991-10-30 1993-01-19 Texas Instruments Incorporated Method and apparatus for precise temperature measurement
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
JPH06204143A (ja) 1992-12-28 1994-07-22 Hitachi Ltd Cvd装置
US5476548A (en) * 1994-06-20 1995-12-19 Applied Materials, Inc. Reducing backside deposition in a substrate processing apparatus through the use of a shadow ring
US5855677A (en) 1994-09-30 1999-01-05 Applied Materials, Inc. Method and apparatus for controlling the temperature of reaction chamber walls
US5601366A (en) * 1994-10-25 1997-02-11 Texas Instruments Incorporated Method for temperature measurement in rapid thermal process systems
US5660472A (en) * 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US5755511A (en) * 1994-12-19 1998-05-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US5738440A (en) * 1994-12-23 1998-04-14 International Business Machines Corp. Combined emissivity and radiance measurement for the determination of the temperature of a radiant object
US6374150B2 (en) * 1998-07-30 2002-04-16 Applied Materials, Inc. Method and apparatus for monitoring and/or end point detecting a process
US6395099B1 (en) * 1999-02-08 2002-05-28 Micron Technology Method of processing selected surfaces in a semiconductor process chamber based on a temperature differential between surfaces
US6280081B1 (en) * 1999-07-09 2001-08-28 Applied Materials, Inc. Methods and apparatus for calibrating temperature measurements and measuring currents
JP4698807B2 (ja) * 2000-09-26 2011-06-08 東京エレクトロン株式会社 半導体基板熱処理装置
US6492625B1 (en) * 2000-09-27 2002-12-10 Emcore Corporation Apparatus and method for controlling temperature uniformity of substrates
US20030036877A1 (en) * 2001-07-23 2003-02-20 Schietinger Charles W. In-situ wafer parameter measurement method employing a hot susceptor as a reflected light source
US6740196B2 (en) * 2002-02-21 2004-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. RTA chamber with in situ reflective index monitor
JP2003282385A (ja) * 2002-03-27 2003-10-03 Hitachi Kokusai Electric Inc 基板処理装置
JP2005197542A (ja) * 2004-01-09 2005-07-21 Hitachi Kokusai Electric Inc 基板処理装置
US8658945B2 (en) * 2004-02-27 2014-02-25 Applied Materials, Inc. Backside rapid thermal processing of patterned wafers
US7642205B2 (en) * 2005-04-08 2010-01-05 Mattson Technology, Inc. Rapid thermal processing using energy transfer layers
US7691204B2 (en) * 2005-09-30 2010-04-06 Applied Materials, Inc. Film formation apparatus and methods including temperature and emissivity/pattern compensation
US8372203B2 (en) * 2005-09-30 2013-02-12 Applied Materials, Inc. Apparatus temperature control and pattern compensation

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6179466B1 (en) * 1994-12-19 2001-01-30 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US6455814B1 (en) * 2001-11-07 2002-09-24 Applied Materials, Inc. Backside heating chamber for emissivity independent thermal processes
US20050258824A1 (en) * 2003-09-02 2005-11-24 Kiyotaka Uehira Device for detecting rotation angle and torque

Also Published As

Publication number Publication date
TWI367958B (en) 2012-07-11
TW200724712A (en) 2007-07-01
WO2007040908A3 (en) 2009-04-16
KR20080055972A (ko) 2008-06-19
US7691204B2 (en) 2010-04-06
JP5686952B2 (ja) 2015-03-18
WO2007040908A2 (en) 2007-04-12
US20070077355A1 (en) 2007-04-05
JP2009510262A (ja) 2009-03-12

Similar Documents

Publication Publication Date Title
KR101047089B1 (ko) 온도 및 방사율/패턴 보상을 포함하는 필름 형성 장치 및방법
KR101047088B1 (ko) 장치 온도 제어 및 패턴 보상 장치 및 방법
US6839507B2 (en) Black reflector plate
KR101057853B1 (ko) 열처리 챔버에서 온도 측정 장치를 캘리브레이팅하기 위한 시스템 및 프로세스
JP6286463B2 (ja) 高温測定のための加熱源反射フィルタを含む装置
KR100396423B1 (ko) 기판 온도 측정장치 및 방법
KR100342796B1 (ko) 기판온도 측정방법 및 장치
KR101545282B1 (ko) 보정 기판 및 보정 방법
US7041931B2 (en) Stepped reflector plate
US9230837B2 (en) Multizone control of lamps in a conical lamphead using pyrometers
JPH1098084A (ja) 基板温度測定法及び基板温度測定装置
KR20020019016A (ko) 열처리 챔버의 고온계 교정 시스템 및 방법
US10948353B2 (en) Thermal processing chamber with low temperature control
KR102539074B1 (ko) 자화율로 인한 파들의 속도의 변화 및 굴절에 의해 온도를 측정하기 위한 방법
US10930530B2 (en) Methods and apparatus for wafer temperature measurement
US20230282500A1 (en) Apparatus, system, and method for non-contact temperature monitoring of substrate supports
WO2024091455A1 (en) Low temperature measurement of semiconductor substrates

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140529

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 7