KR101033445B1 - 나노 튜브/나노 와이어 전계 효과 트랜지스터의 자기 정렬공정 - Google Patents

나노 튜브/나노 와이어 전계 효과 트랜지스터의 자기 정렬공정 Download PDF

Info

Publication number
KR101033445B1
KR101033445B1 KR1020077015390A KR20077015390A KR101033445B1 KR 101033445 B1 KR101033445 B1 KR 101033445B1 KR 1020077015390 A KR1020077015390 A KR 1020077015390A KR 20077015390 A KR20077015390 A KR 20077015390A KR 101033445 B1 KR101033445 B1 KR 101033445B1
Authority
KR
South Korea
Prior art keywords
layer
dimensional
gate
metal
substrate
Prior art date
Application number
KR1020077015390A
Other languages
English (en)
Other versions
KR20070093085A (ko
Inventor
페이돈 아보리스
로이 캐루더스
지아 첸
크리스토페 데타페르니어
크리스티안 라보이
혼-섬 필립 웡
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20070093085A publication Critical patent/KR20070093085A/ko
Application granted granted Critical
Publication of KR101033445B1 publication Critical patent/KR101033445B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having a potential-jump barrier or a surface barrier
    • H10K10/40Organic transistors
    • H10K10/46Field-effect transistors, e.g. organic thin-film transistors [OTFT]
    • H10K10/462Insulated gate field-effect transistors [IGFETs]
    • H10K10/464Lateral top-gate IGFETs comprising only a single gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/20Carbon compounds, e.g. carbon nanotubes or fullerenes
    • H10K85/221Carbon nanotubes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/842Manufacture, treatment, or detection of nanostructure for carbon nanotubes or fullerenes
    • Y10S977/847Surface modifications, e.g. functionalization, coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/902Specified use of nanostructure
    • Y10S977/932Specified use of nanostructure for electronic or optoelectronic application
    • Y10S977/936Specified use of nanostructure for electronic or optoelectronic application in a transistor or 3-terminal device
    • Y10S977/938Field effect transistors, FETS, with nanowire- or nanotube-channel region

Abstract

본 발명에서는, 기판 위에 위치하는 하나 이상의 게이트 영역을 포함하는 기판, 하나 이상의 1차원 나노 구조로 된 층을 포함하는 하나 이상의 상기 게이트 영역 및 하나 이상의 1차원 나노 구조로 된 상기 층의 가장자리에 정렬되는 상기 기판의 표면 위에 위치하는 금속 탄화물 접촉부를 포함하는 것인 반도체 구조가 개시된다.

Description

나노 튜브/나노 와이어 전계 효과 트랜지스터의 자기 정렬 공정{SELF-ALIGNED PROCESS FOR NANOTUBE/NANOWIRE FETS}
본 발명은 반도체 구조 및 반도체 구조의 제작 방법에 관한 것이다. 보다 구체적으로, 본 발명은, 예컨대 전계 효과 트랜지스터(FET)이며 일반적으로 탄소 계열의 나노 재료인 하나 이상의 1차원 나노 구조를 디바이스 채널로서 포함하는 상보적인 금속 산화물 반도체(CMOS) 디바이스 및 이 디바이스의 게이트 영역에 자기 정렬되는, 즉 게이트 영역의 가장자리에 정렬되는 금속 탄화물 접촉부에 관한 것이다. 또한, 본 발명은 이러한 CMOS 디바이스의 제작 방법을 제공한다.
분자 나노 전기장치 분야에서, 소수의 재료들은 1차원 나노 구조, 구체적으로는 직경이 수 Angstrom인 중공 실린더 형태의 흑연을 포함하는 탄소 나노 튜브를 가질 것으로 예상된다. 나노 튜브 및 다른 유사 1차원 나노 구조는, 예컨대 다이오드 및 트랜지스터와 같은 전자 장치에서 나노 입자의 전기적 특징에 따라 실시될 수 있다. 1차원 나노 구조는 그 크기, 형상 및 물리적 성질에 있어서 독특하다. 예를 들면, 탄소 계열의 나노 튜브는 실린더에 감긴 탄소의 육방 격자와 유사하다.
상온에서도 흥미로운 양자 거동을 보이는 것 이외에도, 탄소 계열의 나노 튜브는 2가지 이상의 중요한 특징을 보이며, 나노 튜브는 그 키랄성(chirality), 즉 배좌 구조에 따라 금속성이거나 반도체성일 수 있다. 금속성 나노 튜브는 일정한 저항으로 매우 높은 전류 밀도를 전달할 수 있다. 반도체성 나노 튜브는 전계 효과 트랜지스터(FET)로서, 전기적으로 스위치 "온" 또는 스위치 "오프"될 수 있다. 전술한 두 가지 유형은 공유 결합(전자를 공유함)될 수도 있다. 이들 특징 때문에 나노 튜브는 나노미터 크기의 반도체 회로를 만드는 데 있어서 훌륭한 재료가 된다. 다른 1차원 나노 구조의 경우에도 유사한 특성이 존재한다.
따라서, 탄소 계열 나노 튜브 및 다른 유사 1차원 나노 구조는 포스트-Si FET 스케일링에 있어서 전략적으로 중요해지고 있다. 그러나, 종래의 CMOS 기술에 비교할만한 공지된 자기 정렬 공정이 없다. 1차원 나노 구조를 포함하는 CMOS 디바이스에 대한 자기 정렬 공정은, 비자기 정렬 공정에 비해 공정 단계의 시퀀스를 보다 간단하게 하고 비자기 정렬 공정이 사용되는 경우에 일반적으로 발생하는 공정 오차를 줄여준다. 또한, 비자기 정렬 구조와 비교할 때 자기 정렬 공정은 기생성이 감소된 구조를 제공한다.
전술한 관점에서, 나노 튜브 및 나노 와이어와 같은 1차원 나노 구조를 포함하는 CMOS 디바이스의 제작을 위한 자기 정렬 공정을 제공할 필요가 있다.
본 발명은 자기 정렬되는 1차원 나노 구조를 포함하는 전계 효과 트랜지스터(FET)뿐만 아니라 그 제작 방법을 제공한다. 본 발명에 따라 자기 정렬되는 1차원 나노 구조를 포함하는 FET는, 디바이스 채널로서의 나노 구조를 포함하는 게이트 영역의 가장자리에 정렬되는 접촉부로서의 금속 탄화물을 포함한다.
본 발명에서는, 하나 이상의 나노 튜브 및/또는 하나 이상의 나노 와이어를 설명하기 위해 "1차원 나노 구조"라는 용어가 사용된다. 나노 튜브는 일반적으로 빈 공동을 갖는 반면 나노 와이어는 완전히 채워진 나노 재료이기 때문에, 나노 튜브는 나노 와이어와 서로 다르다. 때때로 나노 와이어를 설명할 때 "나노 로드"라는 용어가 사용된다. 1차원 나노 구조는 나노미터 크기의 직경과 훨씬 더 긴 길이를 갖는 구조이다. 환언하면, 상기 1차원 나노 구조는 큰 종횡비를 가지며 이들 시스템에서는 양자 효과가 중요해진다.
구체적으로 그리고 대체로, 본 발명에 따른 1차원 나노 구조를 포함하는 FET는, 그 위에 위치하는 하나 이상의 게이트 영역을 포함하며, 하나 이상의 상기 게이트 영역은 하나 이상의 1차원 나노 구조로 된 층을 포함하는 것인 기판과, 하나 이상의 1차원 나노 구조로 된 상기 층의 가장자리에 정렬된 상기 기판의 표면 위에 위치하는 금속 탄화물 접촉부를 포함한다.
본 발명의 일실시예에서, 1차원 나노 구조는 나노 튜브이다. 본 발명의 다른 실시예에서, 1차원 나노 구조는 나노 와이어이다. 본 발명에 사용된 하나 이상의 1차원 나노 구조는, 일반적으로 나노 기술 분야의 당업자에게 익히 알려진 기법을 사용하여 형성된 탄소 계열 나노 재료이다.
전술한 반도체 구조를 제공하는 것 이외에, 본 발명은 이 반도체 구조의 제작 방법도 제공한다. 본 발명에 따른 방법은,
하나 이상의 1차원 나노 구조로 된 층의 표면 위에 하나 이상의 게이트 스택을 포함하는 구조부를 제공하는 단계,
하나 이상의 1차원 나노 구조로 된 상기 층을 적어도 포함하는 구조 상에 소스/드레인 금속을 형성하는 단계 및
하나 이상의 1차원 나노 구조로 된 상기 층과 상기 소스/드레인 금속을 반응시킴으로써 금속 탄화물을 형성하는 단계를 포함한다.
본 발명의 일부 실시예에서는, 하나 이상의 1차원 나노 구조로 된 층의 일부가 하나 이상의 게이트 스택에 의해 보호되지 않고 도핑된다. 이러한 실시예에서는, 하나 이상의 1차원 나노 구조로 된 층 중 도핑되지 않고 노출된 부분 위에 금속 탄화물이 형성된다.
본 발명의 다른 실시예에서는, 금속 탄화물을 형성하기에 앞서 하나 이상의 게이트 스택의 측벽 상에 스페이서가 형성된다. 스페이서는, 자기 정렬 규화물의 어닐링 공정이 사용되는 경우에 사용된다. 비자기 정렬 규화물 어닐링이 사용되는 경우, 스페이서는 생략될 수도 있다.
본 발명의 다른 실시예에서는, 탄소 또는 산화물을 포함하는 하부 기판과 소스/드레인 금속의 반응에 의해 생성되는 전도성 화합물 내에 하나 이상의 1차원 나노 구조가 매립된다. 이러한 매립은 전술한 탄화물 어닐링 단계에서 이루어진다.
본 발명의 바람직한 실시예는 단지 예일 뿐이며, 이후의 도면을 참조하여 상세히 설명될 것이다.
도 1a 및 도 1b는 본 발명에 채용될 수 있는 다양한 유형의 초기 기판을 도시하는 단면도이다.
도 2는 도 1a의 초기 기판 위에 하나 이상의 1차원 나노 구조를 갖는 층을 형성한 이후의 상기 초기 기판을 도시하는 단면도이다.
도 3은 도 2의 구조 위에 게이트 유전체 및 패턴화된 게이트 전극을 형성한 이후의 구조를 도시하는 단면도이다.
도 4는, 도 1b에 도시된 초기 기판이 사용된다는 점과 정렬 마크가 사용된다는 점을 제외하고는 도 3에 도시된 구조와 유사한 구조를 도시하는 단면도이다. 게이트가 접촉부보다 더 작은 경우에는 디바이스 일부분이 반도체성 나노튜브 대신에 금속성 나노튜브로 형성될 수도 있다는 점에 주의하라.
도 5는 게이트 유전체를 패턴화한 후의 도 3의 구조를 도시한 단면도이다.
도 6은 하나 이상의 1차원 나노 구조로 된 층 중 노출된 부분에 선택적인 도핑 중일 때의 도 5의 구조를 도시하는 단면도이다.
도 7은 선택적인 제1 유전체층 및 제2 유전체층을 형성한 후의 도 6의 구조를 도시하는 단면도이다.
도 8은 제2 유전체층이 선택적으로 에칭된 후의 도 7의 구조를 도시하는 단면도이다.
도 9는 제1 유전체층이 선택적으로 에칭된 후의 도 8의 구조를 도시하는 단면도이다.
도 10은 금속 화합물이 하나 이상의 1차원 나노 구조로 된 층 중 선택적으로 도핑된 부분에 걸쳐 형성되는 것인 선택적인 단계를 행한 후의 도 9의 구조를 도시하는 단면도이다.
도 11은 도 9의 구조 위에 소스/드레인 금속층을 형성한 후의 구조를 도시하는 단면도이다.
도 12는 탄화물 어닐링 단계를 행한 후의 도 11의 구조를 도시하는 단면도이다.
도 13은 과잉 소스/드레인 금속을 에칭으로 제거한 후의 도 12의 구조를 도시하는 단면도이다.
도 14는 도 1b에 도시된 초기 기판이 채용된다는 점을 제외하고는 도 13에 도시된 구조와 동일한 구조를 도시하는 단면도이다.
본 발명의 다양한 도면은 설명을 목적으로 제공되며, 이에 따라 일정한 비율로 도시되지 않는다. 또한, 도면들은 하나의 게이트 영역의 존재를 표시하고 있으며, 본 명세서에서 "게이트 영역"이란 용어는 게이트, 게이트 전극 및 하부에 있는 디바이스 채널을 지칭하는 데 사용된다. 하나의 게이트 영역이 도시되고 설명되지만, 또한 본 발명은 복수의 상기 게이트 영역을 형성하며, 이에 따라 기판의 표면 상에 1차원 나노 구조를 포함하는 복수 개의 FET를 형성하는 것으로 고려된다.
도 1a 및 도 1b는 초기 기판(10A 및 10B)을 도시한다. 도 1a에 도시된 초기 기판(10A)은 그 위에 유전체층(14)을 구비하는 반도체층(12)을 포함한다. 반도체층(12)은 Si, SiGe, SiC, SiGeC, GaAs, InAs, InP 또는 임의의 다른 III/V 혹은 II/VI 화합물 반도체를 비롯한 임의의 유형의 반도체 재료를 포함하지만, 이들로 한정되지는 않는다. 또한, 반도체층(12)은, 예컨대 Si/SiGe 또는 Si/SiGeC와 같은 층상구조의 반도체를 포함할 수도 있다. 대안적으로, 반도체층(12)은 실리콘-온-인슐레이터(SOI) 또는 실리콘 게르마늄-온-인슐레이터(SGOI)를 포함할 수도 있다. 반도체층(12)은 도핑되지 않거나 하나 이상의 도핑 영역에 도핑될 수 있다. 또한, 반도체층(12)은 변형되거나 변형되지 않을 수도 있으며, 예컨대 (111), (110) 또는 (100)을 비롯한 임의의 결정 방위를 가질 수도 있다. 또한, 반도체 기판(12)은 통상적인 기법을 이용하여 (동일하거나 상이한 칩 상에) 이웃한 다른 디바이스를 형성하기 위해 또는 백 게이트를 위해 사용될 수 있다.
본 발명의 몇몇 실시예에서는, 유전체층(14)이 두꺼운 경우, 반도체층(12)이 금속 또는 유리와 같은 핸들링 기판으로 대체될 수도 있다. 또한, 전체 기판을 선택적으로 유전체층(14)으로 구성할 수도 있다는 것은 당업자에게 명백하다.
유전체층(14)은 산화물과, 질화물과, 산질화물과, 다이아몬드상 카본(DLC) 또는 플루오르화 DLC와 같은 유전체를 포함하는 탄소와, 높은 k의 유전체(k는 4.0보다 크며 일반적으로 7.0보다 큼), 유기 유전체와, 또는 이들의 다중층을 포함할 수도 있다. 일실시예에서, 유전체층(14)은 SiO2와 같은 산화물 또는 Si3N4와 같은 질화물을 포함한다. 다른 실시예에서는, 유전체층(14)이 DLC 층을 포함한다.
도 1a에 도시된 유전체층(14)은, 예컨대 화학적 기상 증착(CVD), 플라즈마 화학 증착(PECVD), 화학 용액 증착, 스퍼터링, 원자층 증착(ALD), 물리적 기상 증착(PVP), 스핀-온 코팅, 에피텍셜 성장 등의 증착 공정과 같은 통상적인 증착 공정을 이용하여 반도체층(12)의 표면에 형성된다. 본 발명의 변형예에서는, 또한 유 전체층(14)이 열산화 반응, 열질화 반응, 또는 열산질화 반응에 의해 형성될 수 있다.
반도체층(12) 위에 형성된 유전체층(14)의 두께는, 유전체층을 형성하는 데 사용된 기법 뿐만 아니라 채용된 유전체 재료의 유형에 따라 변할 수도 있다. 일반적으로, 유전체층(14)은 대략 수 분의 1 nm 내지 약 500 nm의 두께를 가지며, 약 1 내지 10 nm의 두께를 갖는 것이 보다 일반적이다. 이 범위는 반도체 기판 및 백 게이트 공정에 대한 것이다. 전기적인 기능이 없는 기판의 경우에는, 전체 기판이 유전체일 수 있거나 유전체 두께는 매우 두꺼울 수 있다.
도 1b는 본 발명의 실시예에서 사용될 수 있는 다른 기판(10B)을 도시하고 있다. 구체적으로, 초기 기판(10B)은 반도체층(12), 유전체층(14) 및 유전체층(14) 내에 매립된 탄소-함유 화합물(16)의 영역을 포함한다. 탄소-함유 화합물(16)은, 예컨대 DLC 또는 플루오르화 DLC와 같이 탄소를 포함하는 임의의 화합물 재료일 수 있다. 탄소-함유 화합물(16)은, 반도체층(12)의 표면 상에 유전체층(14)의 비선택적 증착을 행하고, 패턴화된 포토레지스트(도시 생략)를 유전체층(14)의 표면에 형성하며, 매립된 탄소-함유 화합물(16)을 위한 영역이 형성되는 유전체층(14)에 개구를 에칭함으로써 형성된다. 패턴화된 포토레지스트는, 포토레지스트를 유전체층(14)의 표면에 도포하는 단계, 포토레지스트를 방사 패턴에 노출시키는 단계 및 노출된 포토레지스트를 통상적인 레지스트 현상기로 현상하는 단계를 포함하는 통상적인 공정에 의해 형성된다. 유전체층(14)에 개구를 형성하는 에칭 단계는 반응성 이온 에칭, 이온 빔 에칭, 플라즈마 에칭 또는 레이저 제거와 같은 건식 에칭 공정을 포함한다. 선택적으로, 유전체층(14) 내에 매립된 영역을 형성하기 위한 개구를 마련하기 위해 습식 에칭이 사용될 수 있다.
적소에 패턴화된 마스크를 이용하여, 탄소-함유 화합물(16)은, 예컨대 도 1b에 도시된 구조를 형성하도록 증착된다. 유전체층(14)에 형성된 탄소-함유 화합물(16)의 깊이는, 유전체층(14)의 상면으로부터 측정할 때, 약 1 nm 내지 약 500 nm 또는 그 이상이며, 약 5 내지 약 20 nm의 깊이를 갖는 것이 보다 일반적이다.
별도로 언급이 없는 경우, 후술하는 설명에서는 초기 기판(10A)을 이용한다. 구체적으로는 초기 기판(10A)이 사용되지만, 도 1b에 도시된 대안적인 초기 기판(10B) 또는 반도체성이 아닌 기판이 사용될 수 있다.
다음으로, 도 2에 도시된 바와 같이, 하나 이상의 1차원 나노 구조로 된 층(18)이 유전체층(14) 위에 형성되며, 초기 기판(10B)이 이용되는 경우에는, 하나 이상의 1차원 나노 구조로 된 층(18)이 유전체층(14)과 매립된 탄소-함유 화합물(16) 모두의 표면 위에 형성된다.
하나 이상의 1차원 나노 구조로 된 층(18)은 나노 튜브, 나노 와이어 또는 이들 2가지 유형의 나노 재료의 조합을 포함할 수도 있다. 전술한 바와 같이, 나노 튜브는 일반적으로 빈 공동을 갖는 데 반해서 나노 와이어는 완전히 채워진 나노 재료이기 때문에 나노 튜브는 나노 와이어와 다르다. 1차원 나노 구조는 nm 크기의 직경과 이 직경보다 훨씬 더 긴 길이를 갖는 구조이다. 환언하면, 상기 나노 구조는 큰 종횡비를 가지며 이들 시스템에서는 양자 효과가 중요해진다.
본 발명의 바람직한 일실시예에서, 하나 이상의 1차원 나노 구조로 된 층(18)은 나노 튜브를 포함하는 반면, 본 발명의 바람직한 다른 실시예에서는 하나 이상의 1차원 나노 구조로 된 층(18)이 나노 와이어를 포함한다.
본 발명의 바람직한 실시예에서 사용될 수 있는 나노 튜브는, 일반적으로 외경이 약 0.4 nm 내지 약 30 nm이고, 약 0.8 nm 내지 약 2.5 nm인 것이 보다 일반적이며, 길이는 일반적으로 약 5 nm 내지 약 100 ㎛이고, 약 10 nm 내지 약 10 ㎛인 것이 보다 일반적인 단일벽 또는 다중벽 나노 재료이다. 외경을 갖는 것 이외에, 본 발명의 바람직한 실시예에서 사용될 수 있는 나노 튜브는 내경이 일반적으로 약 0.4 nm 내지 약 15 nm이며, 약 0.8 nm 내지 약 2.5 nm의 내경을 갖는 것이 훨씬 더 일반적이다. 유용한 나노 튜브는, 일반적으로 약 5 이상의 큰 종횡비를 가지며, 약 5 내지 약 5000의 종횡비를 갖는 것이 보다 일반적인 것을 추가적인 특징으로 한다.
본 발명의 바람직한 실시예에서 사용될 수 있는 나노 와이어는 다양한 원자층, 즉 외경은 일반적으로 약 0.4 nm 내지 약 100 nm이고, 약 0.8 nm 내지 약 50 nm인 것이 보다 일반적이며, 길이는 약 5 nm 내지 100 ㎛이며, 약 10 nm 내지 약 10 ㎛인 것이 보다 일반적인 2개 이상의 쉘을 포함한다. 나노 와이어는 일반적으로 약 5 이상의 종횡비를 가지며, 약 5 내지 약 5000의 종횡비를 갖는 것이 보다 일반적인 것을 추가적인 특징으로 한다.
일반적으로 하나 이상의 1차원 나노 구조로 된 층(18)은 감겨진 육방 격자 구조를 갖는 탄소 계열의 나노 재료를 포함한다. 즉, 나노 구조는 탄소, 예컨대 흑연을 포함하는 것이 바람직하다. 탄소 계열의 나노 재료가 사용되는 것이 바람 직하지만, 금속 또는 탄소 계열과 금속의 조합과 같은 다른 유형의 나노 재료들이 대안적으로 사용될 수 있다.
형성된 하나 이상의 1차원 나노 구조로 된 층(18)의 두께는 상기 층을 형성하기 위해 사용되는 기법에 따라 달라질 수 있다. 일반적으로, 하나 이상의 1차원 나노 구조로 된 층(18)은 약 0.4 내지 약 500 nm의 두께를 가지며, 약 0.8 내지 약 50 nm의 두께를 갖는 것이 보다 일반적이다. 나노 튜브가 사용된 실시예에서는, 나노 튜브로 된 층(18)이 일반적으로 약 0.8 내지 약 3 nm의 두께를 갖는다.
본 명세서에서 "하나 이상의 1차원 나노 구조로 된 층"이라는 어구는, 제어되고 선택된 개수의 상기 1차원 나노 구조를 포함하는 층 뿐만 아니라 하나 이상의 나노 튜브 또는 나노 와이어를 포함하는 층을 지칭한다. 바람직하게는, 상기 층(18)은 복수의 1차원 나노 구조를 포함하므로, 이후의 명세서에서는 "1차원 나노 구조들로 된 층"이라는 어구를 사용한다.
1차원 나노 구조들로 된 층(18)은 당업계에 공지된 기법을 이용하여 형성될 수 있다. 예를 들면, 탄소 계열의 나노 튜브는 아크 방전 및 탄소 타겟의 레이저 제거에 의해 제작될 수 있다. 대안적으로, 탄소 계열의 나노 튜브는 금속 입자가 있는 상황에서 화학적 기상 증착에 의해 제작될 수 있다. 사용 가능한 나노 튜브의 형성을 위한 구체적인 공정의 세부 내용은, 예컨대 S. Iijima 등이 1991년에 Nature 354, 56에 발표한 "Helical Microtubes of Graphite Carbon," D. S. Bethune 등이 1993년에 Nature 363, 605에 발표한 "Cobalt Catalyzed Growth of Carbon Natotubes with Single-Atomic-Layer Walls" 및 R. Saito 등이 1998년에 Imperial College Press에 발표한 "Physical Properties of Carbon Natotubes"에서 찾을 수 있으며, 이들 각각의 전체 내용은 참조로써 본 명세서에 포함된다. 또한,공동으로 양도된 미국 특허 출원 제2004/0035355호(A1)에 개시된 무촉매 성장법은 상기 층(18)으로 사용될 수 있는 나노 튜브를 제작하는 데 사용될 수 있다. 상기 미국 특허 출원의 전체 내용 역시 참조로써 본 명세서에 포함된다. 본 발명의 일실시예에서는, 탄소 나노 튜브들로 된 층(18)은 Fe 촉매 입자를 이용하여 900 ℃에서 10분 동안 화학적 기상 증착에 의해 형성된다.
또한, 탄소 계열의 나노 와이어는 아크 방전 및 탄소 타겟의 레이저 제거에 의해 제작될 수 있다. 대안적으로, 탄소 계열의 나노 와이어는 금속 입자가 있는 상황에서 화학적 기상 증착에 의해 제작될 수 있다. 나노 와이어 형성에 대한 구체적인 공정의 세부 내용은, 예컨대 S. Botti 등이 Chemical Physics Letters vol. 355, no.5-6, 395~399에 2002년 4월 8일자로 발표한 내용에서 찾을 수 있으며, 그 전체 내용은 참조로써 본 명세서에 포함된다. 본 발명의 일실시예에서, 탄소 나노 와이어들로 된 층(18)은 (에틸렌 및 아세틸렌의 혼합물로부터) 레이저 유도 화학적 기상 증착에 의한 비정질 수소화 탄소 나노입자들을 전구체로서 이용하고[예컨대, S. Botti, et al, J. Appl. Phys. 88, 3396, (2000) 참조], 가열된 표면에 다음의 조건을 이용하여 이들을 증착시킴으로써 형성된다. 압력은 약 0.04 기압이고, 기판의 온도는 약 1100 ℃이며, Ar 담체의 유량이 약 300 sccm일 때 증착 시간은 약 90분이다.
1차원 나노 구조들로 된 층(18)을 형성하기 위한 전술한 기법에 더하여, 상 기 나노 구조를 형성할 수 있는 다른 기법들이 대안적으로 채용될 수 있다. 예를 들면, 용액상 분해법, 졸-겔 전기이동법, 또는 습식 화학적 열수 합성법이 1차원 나노 구조의 형성에 사용될 수 있다.
도 1a 또는 도 1b에 도시된 초기 기판들 중 하나의 표면 위에 1차원 나노 구조들로 된 층(18)을 형성한 이후, 게이트 유전체(20)는 상기 층(18)의 표면 위에 형성된다. 도 3을 참조하라. 하나 이상의 1차원 나노 구조가 반도체 기판 내에 직접 형성되는 실시예의 경우, 게이트 유전체(20)는, 예컨대 산화, 질화 또는 산질화와 같은 열적 성장 공정에 의해 형성될 수 있다. 대안적으로, 게이트 유전체(20)는, 예컨대 화학적 기상 증착(CVD), 플라즈마 보조 CVD, 원자층 증착(ALD), 기화, 반응성 스퍼터링, 화학 용액 증착, 또는 이와 유사한 다른 증착 공정과 같은 증착 공정에 의해 형성될 수 있다. 또한, 게이트 유전체(20)는 전술한 공정들의 임의의 조합을 이용하여 형성될 수도 있다.
게이트 유전체(20)는 산화물, 질화물, 산질화물 및/또는 금속 규산염과 질화된 금속 규산염을 비롯한 규산염을 포함하지만, 이에 한정되지는 않는다. 일실시예에서는, 게이트 유전체(20)가, 예컨대 SiO2, HfO2, ZrO2, Al2O3, TiO2, La2O3, SrTiO3, LaAlO3 또는 이들의 혼합물과 같은 산화물을 포함하는 것이 바람직하다.
게이트 유전체(20)의 물리적인 두께는 변할 수도 있지만, 일반적으로 게이트 유전체(20)는 약 0.5 내지 약 100 nm의 두께를 가지며, 약 0.5 내지 10 nm의 두께를 갖는 것이 보다 일반적이다.
게이트 유전체(20)를 형성한 후에, 게이트 전극(22)의 블랭킷 층(blanket layer)이, 예컨대 물리적 기상 증착, CVD 또는 기화와 같은 공지된 증착 공정을 이용하여 게이트 유전체(20) 상에 형성된다. 증착된 게이트 전극(22)의 두께, 즉 높이는 채용된 증착 공정에 따라 변할 수 있다. 일반적으로, 게이트 전극(22)은 수직 두께가 약 5 내지 약 180 nm이며, 약 5 내지 약 50 nm의 두께를 갖는 것이 보다 일반적이다.
게이트 전극(22)은 CMOS 구조의 게이트로서 일반적으로 채용되는 임의의 전도성 재료를 포함할 수 있다. 게이트 전극(22)으로서 채용될 수 있는 상기 전도성 재료의 실례에는 폴리실리콘, 전도성 금속류, 전도성 금속 합금류, 전도성 규화물, 전도성 질화물, 폴리실리콘게르마늄, 또는 이들의 조합을 포함하지만 이에 한정되는 것은 아니며, 이들의 다중층을 포함한다. 몇몇 실시예(도시 생략)에서는, 산화물 또는 질화물을 포함하는 게이트 캡이 게이트 전극(22) 위에 형성될 수 있다. 또한, 게이트 전극 재료들의 다중층들 사이에 배리어 층(barrier layer)을 형성하는 것이 가능하다.
그 후, 게이트 전극(22)의 블랭킷 층이 도 3에 도시된 구조로 패턴화된다. 게이트 전극(22)의 블랭킷 층의 패턴화는 당업계에 공지된 통상적인 기법을 이용하여 행해질 수 있다. 예를 들면, 게이트 전극(22)의 패턴화는 리소그래피 및 에칭에 의해 행해질 수 있다. 리소그래피 단계는 포토레지스트(도시 생략)를 게이트 전극(22)(또는, 만약 존재한다면 선택적인 게이트 캡)의 상면에 도포하는 단계, 포토레지스트를 원하는 패턴의 방사에 노출시키는 단계 및 노출된 포토레지스트를 통 상적인 레지스트 현상기를 이용하여 현상하는 단계를 포함한다. 그 후, 포토레지스트의 패턴은 게이트 전극(22)[또는, 1차적으로 선택적인 게이트 캡, 그 이후에 게이트 전극(22)]의 블랭킷 층에 하나 이상의 에칭 단계를 이용하여 전사된다. 에칭 단계는 반응성 이온 에칭, 이온 빔 에칭, 플라즈마 에칭 또는 레이저 제거와 같은 건식 에칭 공정을 포함한다. 또한, 게이트 전극(22)을 패턴화하는 데 습식 에칭이 사용될 수 있다. 도시된 바와 같이, 에칭 단계는 게이트 전극(22) 중 일부를 선택적으로 에칭하며, 게이트 유전체(20) 위에서 종료된다. 일반적으로, 패턴화된 포토레지스트는, 통상적인 스트립핑 공정을 이용하여 상기 패턴이 게이트 유전체(20)에 전사된 후에 제거된다. 형성된 게이트의 치수는 약 3 nm 내지 수 ㎛까지 다양할 수 있으며, 바람직하게는 7 nm 내지 1 ㎛이다.
도 4는, 사용된 초기 기판이 도 1b에 도시된 것이며 정렬 마크(100 및/또는 101)가 사용된다는 점을 제외하고는 도 3에 도시된 구조와 유사한 구조를 도시하고 있다. 정렬 마크(100)는 기판에 형성되는 반면, 정렬 마크(101)는 게이트 유전체(20)의 표면 위에 형성된다. 정렬 마크(100 및 101)는 당업계에 공지된 통상적인 공정을 이용하여 형성되며, 하부 기판에 대해 게이트 레벨을 정렬하는 역할을 한다.
도 5는, 패턴화된 게이트 전극(22)(그리고 존재한다면 게이트 캡)을 포함하지 않는 게이트 유전체(20)의 노출된 영역이 제거된 후의 구조를 도시하고 있다. 게이트 유전체(20) 중 노출된 부분의 제거는, 게이트 전도체 및/또는 1차원 나노 구조들로 된 층(18)과 비교할 때 선택적으로 게이트 유전체 재료를 제거하는 에칭 공정을 이용하여 행해진다. 본 발명에서는 게이트 유전체(20) 중 노출된 부분을 선택적으로 제거하기 위해 건식 에칭 또는 습식 에칭을 고려한다. 설명한 바와 같이, 이 제거 단계는 게이트 스택(24)에 이웃한 상기 층(18)의 일부를 노출시킨다. 게이트 스택(24)은 패턴화된 게이트 전극(22)과 패턴화된 게이트 유전체(20)를 적어도 포함한다. 하나의 게이트 스택(24)이 도시되어 있지만, 전술한 바와 같이 복수의 게이트 스택이 형성될 수 있다.
다음으로, 도 6에 도시된 바와 같이, 이후 상기 층(18) 중 노출된 부분이 제1 전도 유형 도펀트(26)(n-타입 또는 p-타입)로 선택적으로 도핑되어 선택적인 도펀트 영역(28)을 제공한다. 상기 층(18) 중 노출된 부분의 도핑은 선택적이며 모든 경우에 사용되는 것은 아니라는 점을 강조한다. 상기 도핑은 정전기적 도핑, 가스상 도핑, 또는 유사한 다른 도핑 기법에 의해 행해질 수 있다. 1차원 나노 구조들로 된 층(18)이 나노 와이어를 포함하는 경우에는 이온 주입이 사용될 수 있다. 도펀트(26)는, 원소 주기율표의 그룹 VA 중 하나 이상의 원소를 포함하는 n-타입일 수 있거나, 원소 주기율표의 그룹 IIIA 중 하나 이상의 원소를 포함하는 p-타입 도펀트일 수 있다. 상기 도핑 단계는, 일반적으로 약 1019 내지 약 1022 atoms/㎤의 도핑 농도를 갖는 도펀트 영역(28)을 제공한다. 보다 일반적으로는, 상기 층(18) 중 노출된 부분에 위치하는 도펀트 영역(28)은 약 1021 내지 1022 atoms/㎤의 도펀트 농도를 갖는다.
다음으로, 도 7에 도시된 바와 같이, 하나 이상의 유전체층을 포함하는 유전 체 스택(29)이 선택적으로 형성된다. 유전체 스택(29)은 게이트 스택(24)의 측벽 상에 스페이서를 제공하는 데 사용된다는 점에 주의하라. 자기 정렬 어닐링이 사용되는 몇몇 실시예에서는, 스페이서 형성이 필요하다. 그러나, 비자기 정렬 어닐링이 사용되는 다른 실시예에서는, 스페이서 형성이 필요하지 않다.
본 발명의 몇몇 실시예에서는, 도펀트 주입 단계가, 스페이서의 형성 이전 또는 스페이서들 중 하나의 형성 이후 및 다른 스페이서의 형성 이후에 행해질 수 있다.
도시된 실시예에서는, 유전체 스택(29)이 제1 유전체층(30) 및 제2 유전체층(32)을 포함한다. 유전체 스택(29)은, 예컨대 산화물, 질화물, 또는 산질화물과 같은 유전체 재료를 포함한다. 게이트 유전체(20)의 형성에 관해 설명한 바와 같이, 유전체 스택(29)을 형성하는 단계에 통상적인 증착 공정이 채용될 수 있다. 대안적으로, 유전체 스택(29)은 열적 공정에 의해 형성된다. 유전체 스택(29)의 두께는 다양할 수도 있지만, 일반적으로 유전체 스택(29)의 전체 두께는 약 5 내지 약 100 nm이다.
몇몇 실시예에서는, 유전체 스택(29) 및 후속하는 스페이서 형성이 전술한 선택적인 도핑 단계 이전에 행해질 수 있다.
도 7에서는, 유전체 스택(29)이, 상이한 유전체 재료를 포함하는 제1 유전체층(30)(즉, 내부 스페이서 재료) 및 제2 유전체층(32)(즉, 외부 스페이서 재료)을 포함한다. 일실시예에서, 제1 유전체층(30)은 실리콘 질화물과 같은 질화물을 포함하고, 제2 유전체층(32)은 실리콘 이산화물과 같은 산화물을 포함한다.
그 후, 증착 중에, 이미 덮혀 있는 모든 수평 표면으로부터 유전체 스택(29)을 제거하기 위한 에칭 단계가 행해진다. 상기 에칭 단계는 도 8 및 도 9에 도시되어 있다. 구체적으로, 건식 에칭, 습식 에칭, 또는 이들의 조합이 사용된다. 특정 실시예에서 도시된 바와 같이, 제1 에칭은 구조부(도 8 참조)의 수평 표면으로부터 제2 유전체층(32)을 선택적으로 제거하는 데 사용되며, 제2 에칭은 이후 구조부의 수평 표면으로부터 제1 유전체층(30)을 제거하는 데 사용된다. 그 결과 형성되는 내부 스페이서(30') 및 외부 스페이서(32')를 포함하는 구조가 도 9에 도시되어 있다. 2중 스페이서가 도시되어 있지만, 대안적으로 게이트 스택(24)의 측벽에 위치하는 단일 스페이서 또는 다중 스페이서도 사용될 수 있다.
본 발명의 변형예에서는, 도 10에 도시된 바와 같이, 금속 화합물로 된 층(34)이 이미 1차원 나노 구조들로 된 층(18)에 형성된 도펀트 영역(28) 위에 형성된다. 금속 화합물로 된 층(34)이 채용되는 경우, 금속 화합물로 된 층(34)은 또한 상기 층(18)의 노출되고 도핑되지 않은 부분 위에 형성될 수 있다. 금속 화합물로 된 층(34)은 도펀트 영역(28)[또는 대안적으로 상기 층(18)의 노출된 부분 및 도핑되지 않은 부분]을 기능하게 하며, 금속 탄화물 영역의 형성에서 도움이 된다. 금속 화합물로 된 층(34)은, 예컨대 H. Oudghiri-Hassani et al. "Passivation of metal carbide surfaces : relevance to carbon nanotube-metal interconnections", Applied Surface Science, 212-213, p 4-9 (2003)에서 기술된 바와 같은 c-C4H6=Mo=O (c는 고리형 화합물을 의미함)을 포함하며, 전술한 문헌에서 는 유기 그룹과 전도성 탄화물 사이의 이중 결합이 1) 분자 전자공학 용례 및 2) 일부 몰리브덴 알킬리덴 화합물이 활성화되어 복분해류의 반응이 금속 탄화물 접촉부의 제작 및 패시베이션을 위해 공유 결합된 폴리머 층을 성장시키는 데에 유용할 수 있다[예컨대, K. J. Ivin et al., Olefin Metathesis and Metathesis Polymerization, Academic Press, San Diego, 1997; A. Furstner et al., Angew. Chem. Int. Ed. Engl. 39 3012 (2000) 참조].
금속 화합물로 된 층(34)은 선택적으로 증착될 수 있어서 1차원 나노 구조로 된 층과 화학적으로 반응한다. 선택적인 증착을 채택하는 경우, 상기 층(34)은 하부를 도려내는 경우(이는 무방향성 에칭이 사용되는 경우에 발생함)에 측벽 아래에 위치할 수 있다. 몇몇 실시예에서는, 상기 층(34)의 증착 중에 마스크 레벨[반응성 이온 에칭 또는 리프트오프(liftoff)에 의해 형성됨]이 사용되므로 상기 층(34)은 측벽 아래로 연장되지 않는다. 금속 화합물로 된 층(34)의 두께는 이 층을 형성하는 데 사용된 기법 뿐만 아니라 사용된 착화합물(complex)에 따라 변할 수도 있다.
다음으로, 소스/드레인 금속(36)은, 적어도 도 11에 도시된 바와 같이 상기 층(18)의 일부에 이미 형성되어 노출된 도펀트 영역(28) 위에 형성된다. 다른 실시예에서는, 소스/드레인 금속(36)이, 적어도 도 10에 도시된 금속 화합물로 된 층(34) 위에 형성된다. 본 발명의 또 다른 실시예에서는, 소스/드레인 금속(36)이 적어도 상기 층(18) 중 도핑되지 않고 노출된 부분에 형성된다. 소스/드레인 금속(36)은, 예컨대 CVD, PECVD, 화학적 용액 증착, ALD, 스퍼터링, 플레이팅, 기화 또는 기타 공정과 같은 균일 증착 공정(conformal deposition process)을 사용하여 형성된다. 본 발명의 일실시예에서는, 소스/드레인 금속(36)이 탄소-함유 타겟/소스로부터 증착된다. 본 발명의 한 가지 바람직한 실시예에서는, 금속으로부터 나노 구조까지 전류 주입 영역을 넓히기 위해, ALD에 의해 소스/드레인 금속(36)이 증착된다. 특히, ALD는 각각의 나노 구조의 둘레 주위에 소스/드레인 금속(36)을 균일하게 덮는 방법을 제공한다. 즉, 소스/드레인 금속(36)의 슬리브는 상기 층(18) 내의 각각의 나노 구조 둘레에 형성될 수 있다.
소스/드레인 금속(36)은, 탄소와 반응하여 안정한 2금속 탄화물 상을 형성할 수 있는 임의의 금속 또는 유사 금속 원소를 포함한다. 대안으로, 소스/드레인 금속은 탄소를 포함할 수도 있으며, 선택적으로 다른 원소들을 포함할 수도 있다. 이러한 소스/드레인 금속의 예에는 Al, Si, Sc, Ti, V, Cr, Mn, Fe, Y, Zr, Nb, Mo, Hf, Ta, W 및 이들의 혼합물 또는 합금이 포함된다. 바람직하게는, Al, Ti, Cr, Mo, W, Zr, Hf 또는 Ta 중 하나 이상이 소스/드레인 금속(36)으로서 사용된다. 화합물 형성은, 예컨대 질소, 성형 가스(forming gas), 염화물, 브롬화물, 플루오르화물, 산소 및 다른 물질과 같은 상이한 분위기 중에서 행해질 수 있다. 분위기 가스는, 나노 튜브로부터의 탄소를 포함하거나 나노 튜브 자체를 매립하는 상이한 전도성 화합물의 형성을 위해 변경될 수 있다.
소스/드레인 금속(36)으로 된 층의 두께는 소스 드레인 금속을 형성하는 데 사용된 기법 뿐만 아니라 사용된 금속에 따라 변할 수도 있다. 일반적으로 상기 소스/드레인 금속(36)으로 된 층의 두께는 약 3 내지 약 200 nm이며, 약 5 내지 약 20 nm의 두께를 갖는 것이 보다 일반적이다.
소스/드레인 금속(36)을 전술한 구조 위에 형성한 후에, 동일한 금속을 포함하는 상기 구조는, 나노 구조를 포함하는 도펀트 영역(28)과 소스/드레인 금속(36)이 반응하도록 하는 조건 하에서 행해지는 어닐링 단계를 거친다. 어닐링이 행해진 후에 형성되는 결과적인 구조는 도 12에 도시되어 있다. 도시된 바와 같이, 금속 탄화물 영역(38)은 게이트 스택(24)에 이웃하게 형성되며, 1차원 나노 구조들로 된 잔류 층(18)의 가장자리 뿐만 아니라 게이트 스택(24)의 가장자리에 정렬된다. 1차원 나노 구조들로 된 잔류 층은 디바이스 채널로서의 기능을 한다. 어닐링 단계에서 상기 구조 위에 일부 소스/드레인 금속(36)이 잔류할 수도 있다는 점에 주의하라.
다른 실시예(도시 생략)에서는, 상기 어닐링 단계가 소스/드레인 금속(36)과 상기 층(18) 중 도핑되지 않고 노출된 영역 사이의 반응을 유발한다. 또 다른 실시예에서는, 상기 어닐링 단계가 소스/드레인 금속(36), 선택적으로 금속 화합물로 된 층(34)과 상기 층(18) 중 도핑되거나 도핑되지 않은 영역 사이의 반응을 유발한다. 본 발명의 또 다른 실시예에서는, 어닐링 단계에서, 탄소 또는 산화물을 포함하는 하부의 기판과 금속 사이의 반응에 의해 생성되는 전도성 화합물 영역 내에 1차원 나노 구조가 매립되도록 한다. 매립이 이루어지면, 에칭 선택도가 나빠지지 않도록 스페이서는 질화물을 포함할 필요가 있다.
금속 탄화물(38)을 형성하는 데 사용되는 어닐링 단계는 약 600 ℃ 이상의 온도에서 행해진다. 일반적으로 금속 탄화물의 형성을 위한 어닐링은 약 750 ℃ 내지 약 1100 ℃의 온도에서 행해진다. 금속 탄화물의 형성을 위한 어닐링은 He, Ar, Ne, Kr, Xe, N2, 또는 He-Ar과 같은 이들의 혼합물 등의 불활성 분위기에서 행해진다. 상기 어닐링 단계는 밀리초 미만 또는 그 이상의 시간 간격 동안 행해지며, 약 10 초 내지 30 분의 어닐링 시간이 보다 일반적이다. 매우 짧은 시간의 어닐링은 레이저 어닐링을 이용하여 행해진다. 상기 어닐링은, 하나의 어닐링 온도 또는 여러 개의 어닐링 온도를 이용하여 행해질 수 있다. 또한, 상기 어닐링은 필요에 따라 다양한 램프-업 사이클, 소크 사이클(soak cycle) 및 쿨다운 사이클을 포함할 수도 있다.
금속 탄화물 영역(38)을 형성한 후에, 금속 탄화물과 비교할 때 금속을 선택적으로 제거하는 에칭 공정을 이용하여 상기 구조로부터 잔류하는 소스/드레인 금속(36)을 제거한다. 도 13은 전술한 공정 단계를 이용하여 형성될 수 있는, 가능한 구조를 도시하고 있다. 도 14는 형성될 수 있는 다른 가능한 구조를 도시하고 있으며, 도 14는 사용된 초기 기판의 유형에 있어서 도 13과 상이하다. 두 가지 예에서는, 기판(10A 및 10B)이 그 위에 위치한 하나 이상의 게이트 영역을 포함하는 반도체 구조가 도시되어 있다. 하나 이상의 게이트 영역은 1차원 나노 구조들로 된 잔류 층(18) 뿐만 아니라 패턴화된 게이트 스택도 포함한다. 금속 탄화물 접촉부, 즉 영역(38)은 기판의 표면 위에 위치하며, 1차원 나노 구조들로 된 잔류 층(18)뿐만 아니라 하나 이상의 게이트 영역의 가장자리에 정렬된다.

Claims (10)

  1. 반도체 구조에 있어서,
    그 위에 위치하는 하나 이상의 게이트 영역을 포함하는 기판으로서, 상기 하나 이상의 상기 게이트 영역은 하나 이상의 1차원 나노 구조로 된 층과, 상기 하나 이상의 1차원 나노 구조의 표면 상에 위치하는 게이트 유전체와, 상기 게이트 유전체의 표면 상에 위치하는 게이트 전극을 포함하고, 상기 하나 이상의 1차원 나노 구조로 된 층은 상기 기판과 상기 게이트 전극 사이에 삽입된 것인 기판;
    상기 하나 이상의 1차원 나노 구조로 된 층의 표면 상에 위치하는 하나 이상의 스페이서로서, 상기 하나 이상의 스페이서의 내측 가장자리는 상기 게이트 전극의 측벽과 상기 게이트 유전체의 측벽 양자 모두와 측면으로 인접하는 것인 하나 이상의 스페이서; 및
    상기 기판의 표면 상에 위치하는 금속 탄화물 접촉부로서, 상기 금속 탄화물 접촉부는 상기 하나 이상의 1차원 나노 구조로 된 층의 측벽 가장자리와 상기 하나 이상의 스페이서의 측벽 가장자리 양자 모두에 측면으로 인접하면서 중첩되지 않도록 정렬된 것인 금속 탄화물 접촉부
    를 포함하는 반도체 구조.
  2. 제1항에 있어서, 상기 기판은 반도체층을 포함하며, 이 반도체층 내에는 유전체층이 위치하는 것인 반도체 구조.
  3. 제1항에 있어서, 상기 금속 탄화물 접촉부는 소스/드레인 금속과, 상기 하나 이상의 1차원 나노 구조가 선택적으로 사전 도핑된 영역을 포함하는 것인 반도체 구조.
  4. 삭제
  5. 반도체 기판을 제작하는 방법에 있어서,
    하나 이상의 1차원 나노 구조로 된 층의 표면 위에 하나 이상의 패턴화된 게이트 스택을 포함하는 구조부를 제공하는 단계로서, 상기 패턴화된 게이트 스택은 상기 하나 이상의 1차원 나노 구조의 표면 상에 위치하는 게이트 유전체와, 상기 게이트 유전체의 표면 상에 위치하는 게이트 전극을 포함하는 것인 구조부를 제공하는 단계;
    상기 하나 이상의 1차원 나노 구조로 된 층의 표면 상에 위치하는 하나 이상의 스페이서를 제공하는 단계로서, 하나 이상의 상기 스페이서의 내측 가장자리는 상기 게이트 전극의 측벽과 상기 게이트 유전체의 측벽 양자 모두와 측면으로 인접하는 것인 하나 이상의 스페이서를 제공하는 단계;
    상기 하나 이상의 1차원 나노 구조로 된 층을 적어도 포함하는 상기 구조부 상에, 그리고 상기 하나 이상의 패턴화된 게이트 스택 둘레에 소스/드레인 금속을 형성하는 단계; 및
    상기 소스/드레인 금속을 상기 하나 이상의 1차원 나노 구조로 된 층과 반응시킴으로써 금속 탄화물을 형성하는 단계로서, 상기 금속 탄화물은 상기 하나 이상의 1차원 나노 구조로 된 층의 측벽 가장자리와 상기 하나 이상의 스페이서의 측벽 가장자리 양자 모두에 측면으로 인접하면서 중첩되지 않도록 정렬된 것인 금속 탄화물을 형성하는 단계
    를 포함하는 반도체 기판의 제작 방법.
  6. 제5항에 있어서, 상기 구조부는 1차원 나노 구조로 된 상기 층 아래에 위치하는 기판을 포함하는 것인 반도체 기판의 제작 방법.
  7. 제5항에 있어서, 상기 소스/드레인 금속을 형성하는 단계 이전에 하나 이상의 상기 게이트 스택에 의해 보호되지 않는 하나 이상의 1차원 나노 구조로 된 상기 층 부분을 도핑하는 단계를 더 포함하며, 상기 도핑은 정전기적 도핑, 이온 주입 또는 가스상 도핑을 포함하는 것인 반도체 기판의 제작 방법.
  8. 제5항에 있어서, 상기 소스/드레인 금속을 형성하는 단계 이전에 하나 이상의 상기 게이트 스택에 의해 보호되지 않는 하나 이상의 1차원 나노 구조로 된 상기 층 부분들을 기능화하는 금속 화합물을 형성하는 단계를 더 포함하는 것인 반도체 기판의 제작 방법.
  9. 제5항에 있어서, 상기 금속 탄화물을 형성하는 단계는, 어닐링 단계 및 하나 이상의 1차원 나노 구조로 된 상기 층의 노출된 부분과 반응하지 않고 남아있는 소스/드레인 금속을 제거하는 단계를 포함하는 것인 반도체 기판의 제작 방법.
  10. 제5항에 있어서, 상기 금속 탄화물은 어닐링에 의해 형성되며, 상기 어닐링은 또한 상기 1차원 나노 구조들 중 하나 이상이 전도성 화합물 영역 내에 매립되도록 하는 것인 반도체 기판의 제작 방법.
KR1020077015390A 2005-01-07 2005-12-13 나노 튜브/나노 와이어 전계 효과 트랜지스터의 자기 정렬공정 KR101033445B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/031,168 2005-01-07
US11/031,168 US7598516B2 (en) 2005-01-07 2005-01-07 Self-aligned process for nanotube/nanowire FETs

Publications (2)

Publication Number Publication Date
KR20070093085A KR20070093085A (ko) 2007-09-17
KR101033445B1 true KR101033445B1 (ko) 2011-05-09

Family

ID=36095653

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077015390A KR101033445B1 (ko) 2005-01-07 2005-12-13 나노 튜브/나노 와이어 전계 효과 트랜지스터의 자기 정렬공정

Country Status (7)

Country Link
US (4) US7598516B2 (ko)
EP (1) EP1839346B1 (ko)
JP (1) JP5132320B2 (ko)
KR (1) KR101033445B1 (ko)
CN (1) CN101099248B (ko)
TW (1) TWI463654B (ko)
WO (1) WO2006072538A1 (ko)

Families Citing this family (384)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2868209B1 (fr) * 2004-03-25 2006-06-16 Commissariat Energie Atomique Transistor a effet de champ a canal en carbone diamant
US7687841B2 (en) * 2005-08-02 2010-03-30 Micron Technology, Inc. Scalable high performance carbon nanotube field effect transistor
US7452759B2 (en) * 2005-11-29 2008-11-18 Micron Technology, Inc. Carbon nanotube field effect transistor and methods for making same
US7919400B2 (en) * 2007-07-10 2011-04-05 Stion Corporation Methods for doping nanostructured materials and nanostructured thin films
US8598569B2 (en) 2008-04-30 2013-12-03 International Business Machines Corporation Pentacene-carbon nanotube composite, method of forming the composite, and semiconductor device including the composite
US8138102B2 (en) * 2008-08-21 2012-03-20 International Business Machines Corporation Method of placing a semiconducting nanostructure and semiconductor device including the semiconducting nanostructure
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
TWI437106B (zh) * 2008-12-03 2014-05-11 Tatung Co 磁性奈米一維金屬線及其製作方法
US8013324B2 (en) * 2009-04-03 2011-09-06 International Business Machines Corporation Structurally stabilized semiconductor nanowire
US7943530B2 (en) * 2009-04-03 2011-05-17 International Business Machines Corporation Semiconductor nanowires having mobility-optimized orientations
US7902541B2 (en) * 2009-04-03 2011-03-08 International Business Machines Corporation Semiconductor nanowire with built-in stress
US8237150B2 (en) * 2009-04-03 2012-08-07 International Business Machines Corporation Nanowire devices for enhancing mobility through stress engineering
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8108802B2 (en) 2009-04-29 2012-01-31 International Business Machines Corporation Method for forming arbitrary lithographic wavefronts using standard mask technology
US8368125B2 (en) 2009-07-20 2013-02-05 International Business Machines Corporation Multiple orientation nanowires with gate stack stressors
US20110012177A1 (en) * 2009-07-20 2011-01-20 International Business Machines Corporation Nanostructure For Changing Electric Mobility
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8106383B2 (en) * 2009-11-13 2012-01-31 International Business Machines Corporation Self-aligned graphene transistor
US8841652B2 (en) * 2009-11-30 2014-09-23 International Business Machines Corporation Self aligned carbide source/drain FET
US20110127492A1 (en) * 2009-11-30 2011-06-02 International Business Machines Corporation Field Effect Transistor Having Nanostructure Channel
US8173993B2 (en) * 2009-12-04 2012-05-08 International Business Machines Corporation Gate-all-around nanowire tunnel field effect transistors
US8384065B2 (en) * 2009-12-04 2013-02-26 International Business Machines Corporation Gate-all-around nanowire field effect transistors
US8129247B2 (en) * 2009-12-04 2012-03-06 International Business Machines Corporation Omega shaped nanowire field effect transistors
US8455334B2 (en) * 2009-12-04 2013-06-04 International Business Machines Corporation Planar and nanowire field effect transistors
US8097515B2 (en) * 2009-12-04 2012-01-17 International Business Machines Corporation Self-aligned contacts for nanowire field effect transistors
US8143113B2 (en) * 2009-12-04 2012-03-27 International Business Machines Corporation Omega shaped nanowire tunnel field effect transistors fabrication
KR101659815B1 (ko) * 2009-12-08 2016-09-27 삼성전자주식회사 탄소나노튜브 트랜지스터 어레이 및 탄소 나노튜브 트랜지스터의 제조 방법
US8101474B2 (en) * 2010-01-06 2012-01-24 International Business Machines Corporation Structure and method of forming buried-channel graphene field effect device
US8263477B2 (en) * 2010-01-08 2012-09-11 International Business Machines Corporation Structure for use in fabrication of PiN heterojunction TFET
US8722492B2 (en) * 2010-01-08 2014-05-13 International Business Machines Corporation Nanowire pin tunnel field effect devices
US8324940B2 (en) 2010-04-13 2012-12-04 International Business Machines Corporation Nanowire circuits in matched devices
US8361907B2 (en) 2010-05-10 2013-01-29 International Business Machines Corporation Directionally etched nanowire field effect transistors
US8324030B2 (en) 2010-05-12 2012-12-04 International Business Machines Corporation Nanowire tunnel field effect transistors
US8513099B2 (en) 2010-06-17 2013-08-20 International Business Machines Corporation Epitaxial source/drain contacts self-aligned to gates for deposited FET channels
US9368599B2 (en) 2010-06-22 2016-06-14 International Business Machines Corporation Graphene/nanostructure FET with self-aligned contact and gate
US8404539B2 (en) * 2010-07-08 2013-03-26 International Business Machines Corporation Self-aligned contacts in carbon devices
US8697467B2 (en) * 2010-07-26 2014-04-15 The Regents Of The University Of California Surface and gas phase doping of III-V semiconductors
US8835231B2 (en) 2010-08-16 2014-09-16 International Business Machines Corporation Methods of forming contacts for nanowire field effect transistors
US8536563B2 (en) 2010-09-17 2013-09-17 International Business Machines Corporation Nanowire field effect transistors
US8546246B2 (en) * 2011-01-13 2013-10-01 International Business Machines Corporation Radiation hardened transistors based on graphene and carbon nanotubes
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013048417A1 (en) 2011-09-29 2013-04-04 Intel Corporation Electropositive metal containing layers for semiconductor applications
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8580624B2 (en) 2011-11-01 2013-11-12 International Business Machines Corporation Nanowire FET and finFET hybrid technology
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US8741751B2 (en) 2012-08-10 2014-06-03 International Business Machines Corporation Double contacts for carbon nanotubes thin film devices
US8741756B2 (en) 2012-08-13 2014-06-03 International Business Machines Corporation Contacts-first self-aligned carbon nanotube transistor with gate-all-around
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8685817B1 (en) * 2012-11-19 2014-04-01 International Business Machines Corporation Metal gate structures for CMOS transistor devices having reduced parasitic capacitance
US8796096B2 (en) 2012-12-04 2014-08-05 International Business Machines Corporation Self-aligned double-gate graphene transistor
US8609481B1 (en) 2012-12-05 2013-12-17 International Business Machines Corporation Gate-all-around carbon nanotube transistor with selectively doped spacers
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) * 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9287516B2 (en) 2014-04-07 2016-03-15 International Business Machines Corporation Forming pn junction contacts by different dielectrics
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9299939B1 (en) 2014-12-09 2016-03-29 International Business Machines Corporation Formation of CMOS device using carbon nanotubes
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9543535B1 (en) 2015-06-29 2017-01-10 International Business Machines Corporation Self-aligned carbon nanotube transistor including source/drain extensions and top gate
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9627330B2 (en) * 2015-07-13 2017-04-18 International Business Machines Corporation Support for long channel length nanowire transistors
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
CN106575610B (zh) 2015-08-12 2019-12-24 新电元工业株式会社 碳化硅半导体装置的制造方法以及碳化硅半导体装置
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10319926B2 (en) 2015-11-05 2019-06-11 International Business Machines Corporation End-bonded metal contacts on carbon nanotubes
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US10396300B2 (en) 2015-12-03 2019-08-27 International Business Machines Corporation Carbon nanotube device with N-type end-bonded metal contacts
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9698363B1 (en) * 2015-12-30 2017-07-04 International Business Machines Corporation RF-transistors with self-aligned point contacts
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR20180130097A (ko) * 2016-03-30 2018-12-06 인텔 코포레이션 트랜지스터 집적을 위한 나노와이어
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10665798B2 (en) 2016-07-14 2020-05-26 International Business Machines Corporation Carbon nanotube transistor and logic with end-bonded metal contacts
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10665799B2 (en) 2016-07-14 2020-05-26 International Business Machines Corporation N-type end-bonded metal contacts for carbon nanotube transistors
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN108269802B (zh) * 2017-01-04 2020-11-06 上海新昇半导体科技有限公司 一种碳纳米管束场效应晶体管阵列及其制造方法
US10410931B2 (en) 2017-01-09 2019-09-10 Samsung Electronics Co., Ltd. Fabricating method of nanosheet transistor spacer including inner spacer
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10333088B1 (en) 2017-12-12 2019-06-25 International Business Machines Corporation Carbon nanotube transistor with carrier blocking using thin dielectric under contact
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11373871B2 (en) 2018-11-21 2022-06-28 Applied Materials, Inc. Methods and apparatus for integrated selective monolayer doping
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
CN110364438B (zh) * 2019-05-29 2023-05-05 北京华碳元芯电子科技有限责任公司 晶体管及其制造方法
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN110571332B (zh) * 2019-08-02 2023-06-23 北京元芯碳基集成电路研究院 晶体管及其制造方法
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040253805A1 (en) * 2003-01-02 2004-12-16 Dubin Valery M. Microcircuit fabrication and interconnection
US20050224807A1 (en) 2004-03-25 2005-10-13 Ravi Kramadhati V Low dielectric constant carbon films

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002050277A (ja) * 1998-06-18 2002-02-15 Matsushita Electric Ind Co Ltd 電子放出素子及び電子放出源とそれらを使用した画像表示装置
US6472705B1 (en) 1998-11-18 2002-10-29 International Business Machines Corporation Molecular memory & logic
WO2002003482A1 (de) * 2000-07-04 2002-01-10 Infineon Technologies Ag Feldeffekttransistor
US7084507B2 (en) * 2001-05-02 2006-08-01 Fujitsu Limited Integrated circuit device and method of producing the same
JP2003017508A (ja) * 2001-07-05 2003-01-17 Nec Corp 電界効果トランジスタ
US7385262B2 (en) * 2001-11-27 2008-06-10 The Board Of Trustees Of The Leland Stanford Junior University Band-structure modulation of nano-structures in an electric field
KR100837393B1 (ko) * 2002-01-22 2008-06-12 삼성에스디아이 주식회사 탄소와 친화도가 높은 금속을 전극으로 구비하는 전자소자
JP4259023B2 (ja) * 2002-02-05 2009-04-30 富士ゼロックス株式会社 カーボンナノチューブデバイスの作製方法、およびカーボンナノチューブデバイス
US6891227B2 (en) * 2002-03-20 2005-05-10 International Business Machines Corporation Self-aligned nanotube field effect transistor and method of fabricating same
JP4974263B2 (ja) * 2002-05-20 2012-07-11 富士通株式会社 半導体装置の製造方法
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7051945B2 (en) * 2002-09-30 2006-05-30 Nanosys, Inc Applications of nano-enabled large area macroelectronic substrates incorporating nanowires and nanowire composites
US20040144972A1 (en) * 2002-10-04 2004-07-29 Hongjie Dai Carbon nanotube circuits with high-kappa dielectrics
DE10250829B4 (de) * 2002-10-31 2006-11-02 Infineon Technologies Ag Nichtflüchtige Speicherzelle, Speicherzellen-Anordnung und Verfahren zum Herstellen einer nichtflüchtigen Speicherzelle
DE10250868B8 (de) * 2002-10-31 2008-06-26 Qimonda Ag Vertikal integrierter Feldeffekttransistor, Feldeffekttransistor-Anordnung und Verfahren zum Herstellen eines vertikal integrierten Feldeffekttransistors
JP4401094B2 (ja) * 2003-03-20 2010-01-20 富士通株式会社 炭素元素円筒型構造体へのオーミック接続構造及びその作製方法
JP4171917B2 (ja) * 2003-04-22 2008-10-29 コミサリア ア レネルジィ アトミーク ナノチューブまたはナノワイヤの少なくとも1つの電気特性を変更するための方法およびそれを含むトランジスタ
US7456476B2 (en) * 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
EP1508926A1 (en) * 2003-08-19 2005-02-23 Hitachi, Ltd. Nanotube transistor device
US7101761B2 (en) * 2003-12-23 2006-09-05 Intel Corporation Method of fabricating semiconductor devices with replacement, coaxial gate structure
US7306823B2 (en) * 2004-09-18 2007-12-11 Nanosolar, Inc. Coated nanoparticles and quantum dots for solution-based fabrication of photovoltaic cells
US7253431B2 (en) * 2004-03-02 2007-08-07 International Business Machines Corporation Method and apparatus for solution processed doping of carbon nanotube
JP2005285822A (ja) * 2004-03-26 2005-10-13 Fujitsu Ltd 半導体装置および半導体センサ
US7316960B2 (en) * 2004-07-13 2008-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Strain enhanced ultra shallow junction formation
US20060063318A1 (en) * 2004-09-10 2006-03-23 Suman Datta Reducing ambipolar conduction in carbon nanotube transistors
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US7374984B2 (en) * 2004-10-29 2008-05-20 Randy Hoffman Method of forming a thin film component
US7151296B2 (en) * 2004-11-03 2006-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. High voltage lateral diffused MOSFET device
KR100592302B1 (ko) * 2004-11-03 2006-06-22 삼성에스디아이 주식회사 박막 트랜지스터를 구비한 기판의 제조방법, 이에 따라제조된 박막 트랜지스터를 구비한 기판, 평판 표시장치의제조방법, 및 이에 따라 제조된 평판 표시장치
US7582534B2 (en) * 2004-11-18 2009-09-01 International Business Machines Corporation Chemical doping of nano-components
US7393733B2 (en) * 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7365003B2 (en) * 2004-12-29 2008-04-29 Intel Corporation Carbon nanotube interconnects in porous diamond interlayer dielectrics

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040253805A1 (en) * 2003-01-02 2004-12-16 Dubin Valery M. Microcircuit fabrication and interconnection
US20050224807A1 (en) 2004-03-25 2005-10-13 Ravi Kramadhati V Low dielectric constant carbon films

Also Published As

Publication number Publication date
US20080026534A1 (en) 2008-01-31
US8003453B2 (en) 2011-08-23
EP1839346B1 (en) 2014-03-19
EP1839346A1 (en) 2007-10-03
WO2006072538A1 (en) 2006-07-13
US20080227259A1 (en) 2008-09-18
TWI463654B (zh) 2014-12-01
CN101099248A (zh) 2008-01-02
CN101099248B (zh) 2010-09-08
JP5132320B2 (ja) 2013-01-30
US7598516B2 (en) 2009-10-06
KR20070093085A (ko) 2007-09-17
TW200644240A (en) 2006-12-16
US8119466B2 (en) 2012-02-21
US20060151844A1 (en) 2006-07-13
US20110256675A1 (en) 2011-10-20
JP2008527700A (ja) 2008-07-24

Similar Documents

Publication Publication Date Title
KR101033445B1 (ko) 나노 튜브/나노 와이어 전계 효과 트랜지스터의 자기 정렬공정
US20220093772A1 (en) Graphene/nanostructure fet with self-aligned contact and gate
EP1748503B1 (en) Carbon nanotube field effect transistor and method of fabricating a self-aligned carbon nanotube field effect transistor
US7709827B2 (en) Vertically integrated field-effect transistor having a nanostructure therein
US9923086B2 (en) CMOS device having carbon nanotubes
US20100068828A1 (en) Method of forming a structure having a giant resistance anisotropy or low-k dielectric

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140326

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee