JP2008527700A - ナノチューブ/ナノワイヤfetのための自己整合プロセス - Google Patents

ナノチューブ/ナノワイヤfetのための自己整合プロセス Download PDF

Info

Publication number
JP2008527700A
JP2008527700A JP2007549844A JP2007549844A JP2008527700A JP 2008527700 A JP2008527700 A JP 2008527700A JP 2007549844 A JP2007549844 A JP 2007549844A JP 2007549844 A JP2007549844 A JP 2007549844A JP 2008527700 A JP2008527700 A JP 2008527700A
Authority
JP
Japan
Prior art keywords
layer
dimensional nanostructure
semiconductor structure
carbon
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007549844A
Other languages
English (en)
Other versions
JP2008527700A5 (ja
JP5132320B2 (ja
Inventor
アボリス、ファエドン
カラザース、ロイ
チェン、チア
デタバーニアー、クリストフ
ラボイエ、クリスチャン
ウォン、ホン−サム、フィリップ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2008527700A publication Critical patent/JP2008527700A/ja
Publication of JP2008527700A5 publication Critical patent/JP2008527700A5/ja
Application granted granted Critical
Publication of JP5132320B2 publication Critical patent/JP5132320B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K99/00Subject matter not provided for in other groups of this subclass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/40Organic transistors
    • H10K10/46Field-effect transistors, e.g. organic thin-film transistors [OTFT]
    • H10K10/462Insulated gate field-effect transistors [IGFETs]
    • H10K10/464Lateral top-gate IGFETs comprising only a single gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/20Carbon compounds, e.g. carbon nanotubes or fullerenes
    • H10K85/221Carbon nanotubes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/842Manufacture, treatment, or detection of nanostructure for carbon nanotubes or fullerenes
    • Y10S977/847Surface modifications, e.g. functionalization, coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/902Specified use of nanostructure
    • Y10S977/932Specified use of nanostructure for electronic or optoelectronic application
    • Y10S977/936Specified use of nanostructure for electronic or optoelectronic application in a transistor or 3-terminal device
    • Y10S977/938Field effect transistors, FETS, with nanowire- or nanotube-channel region

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

【課題】 ナノチューブ及びナノワイヤのような一次元ナノ構造体を含むCMOSデバイスを製造するための自己整合プロセスを提供すること。
【解決手段】 半導体構造体は、少なくとも1つのゲート領域が上に配置され、少なくとも1つのゲート領域が少なくとも1つの一次元ナノ構造体の層を含む、基板と、基板の表面上に配置され、少なくとも1つの一次元ナノ構造体の層の縁と整合される、金属カーバイド・コンタクトと、を含む。
【選択図】 図14

Description

本発明は、半導体構造体及びその製造方法に関する。より詳細には、本発明は、相補型金属酸化物半導体(CMOS)デバイス、例えば、デバイス・チャネルとしての典型的には炭素ベースのナノ材料である少なくとも1つの一次元ナノ構造体と、デバイスのゲート領域と自己整合された、すなわちゲート領域の縁と整合された金属カーバイド・コンタクトとを備えた電界効果トランジスタ(FET)に関する。本発明はまた、こうしたCMOSデバイスの製造方法を提供する。
分子ナノエレクトロニクスの分野においては、幾つかの材料、特に、数オングストロームの直径を有するグラファイトの中空シリンダが、一次元ナノ構造体と同程度の有望性を示している。ナノチューブその他の同様の一次元ナノ構造体は、ナノ粒子の電気特性に応じて、例えばダイオード及びトランジスタのような電子デバイスに実装される。一次元ナノ構造体は、それらのサイズ、形状及び物理特性に関して固有である。例えば、炭素ベースのナノチューブは、巻かれてシリンダ状になる炭素の六方格子に似ている。
室温でも興味深い量子挙動を呈することの他に、炭素ベースのナノチューブは、ナノチューブがそのキラリティすなわち配座幾何学的配置に応じて金属性又は半導体性のいずれかとなるという、少なくとも2つの重要な特徴を呈する。金属ナノチューブは、一定の抵抗と共に極めて高い電流密度をもつことができる。半導体ナノチューブは、電界効果トランジスタ(FET)として電気的に「オン」又は「オフ」を切り替えることができる。2つのタイプは、(電子を共有する)共有結合によって結合させることができる。これらの特徴は、ナノメートル・サイズの半導体回路を製造するのに優れた材料としてのナノチューブを示している。他の一次元ナノ構造体について同様の特性が存在する。
したがって、炭素ベースのナノチューブその他の同様の一次元ナノ構造体は、後のSi FETのスケーリングのために戦略的に重要になってきている。
しかしながら、従来のCMOS技術と比較できる公知の自己整合プロセスは存在しない。一次元ナノ構造体を含むCMOSデバイスのための自己整合プロセスは、非自己整合プロセスと比べて単純な一連の処理ステップを与え、それは、非自己整合プロセスが用いられるときに典型的に起こる処理エラーを減らす。さらに、自己整合プロセスは、非自己整合構造体と比べて寄生が減少した構造体を提供する。
S.Iijima他、「Helical Microtubes of Graphite Carbon」、Nature354、56(19991)。 D.S.Bethune他、「Cobalt Catalyzed Growth of Carbon Nanotubes with Single−Atomic−Layer Walls」,Nature363,605(1993)。 R.Saito他、「Physical Properties of Carbon Nanotubes」、Imperial College Press(1998)。 S.Botti他、Chemical Physics Letters Vol.355、no.5−6:395−9、2002年4月8日。 S.Botti他、J.Appl.Phys.88、3396(2000)。 Oudghiri−Hassani他、「Passivation of metal carbide surfaces:relevance to carbon nanotube−metal interconnections」、Applied Surface Science、212−213、p4−9(2003)。 K.J.Ivin他、「Olefin Metathesis and Metathesis Polymerization」、Academic Press、San Diego、1997。 A.Furstner他、Angew.Chem.Int.Ed.Engl.39 3012(2000)。
上記のことから見て、ナノチューブ及びナノワイヤのような一次元ナノ構造体を含むCMOSデバイスを製造するための自己整合プロセスが提供される必要がある。
本発明は、自己整合一次元ナノ構造体を含む電界効果トランジスタ(FET)と、その製造方法を提供する。本発明の自己整合一次元ナノ構造体を含むFETは、デバイス・チャネルとしてのナノ構造体を含むゲート領域の縁と整合された、コンタクトとしての金属カーバイドを備える。
本発明においては、「一次元ナノ構造体」という用語は、少なくとも1つのナノチューブ及び/又は少なくとも1つのナノワイヤを説明するのに用いられる。ナノチューブは典型的に中空キャビティを有し、一方、ナノワイヤは完全にナノ材料で埋め尽くされることから、ナノチューブはナノワイヤとは異なる。「ナノロッド」という用語は、ナノワイヤを説明するのに時々用いられる。一次元ナノ構造体は、ナノメートル・サイズの直径と、非常に長い長さとをもつ構造体である。言い換えれば、この構造体は高いアスペクト比を有し、これらのシステムにとって量子効果が重要となる。
特に、及び、広義には、本発明の一次元ナノ構造体を含むFETは、
少なくとも1つのゲート領域が上に配置され、少なくとも1つのゲート領域が少なくとも1つの一次元ナノ構造体の層を含む、基板と、
基板の表面上に配置され、少なくとも1つの一次元ナノ構造体の層の縁と整合される金属カーバイド・コンタクトと、
を含む。
本発明の一実施形態においては、一次元ナノ構造体はナノチューブである。本発明の別の実施形態においては、一次元ナノ構造体はナノワイヤである。本発明において用いられる少なくとも1つの一次元ナノ構造体は、典型的には、ナノ技術の当業者に周知の技術を用いて形成された炭素ベースのナノ材料である。
前述の半導体構造体を提供することに加えて、本発明はまた、これを製造する方法を提供する。本発明の方法は、
少なくとも1つの一次元ナノ構造体の層の表面上に少なくとも1つのゲート・スタックを含む構造体を提供するステップと、
少なくとも1つの一次元ナノ構造体の層を少なくとも含む構造体上にソース/ドレイン金属を形成するステップと、
ソース/ドレイン金属と少なくとも1つの一次元ナノ構造体の層とを反応させることによって金属カーバイドを形成するステップと、
を含む。
本発明の或る実施形態においては、少なくとも1つのゲート・スタックによって保護されていない、少なくとも1つの一次元ナノ構造体の層の一部が、ドープされる。こうした実施形態においては、少なくとも1つの一次元ナノ構造体の層の露出した非ドープ部分に金属カーバイドが形成される。
本発明の別の実施形態においては、金属カーバイドを形成する前に少なくとも1つのゲート・スタックの側壁にスペーサが形成される。スペーサは、自己整合シリサイド・アニール・プロセスが用いられるときに使用される。非自己整合シリサイド・アニールが用いられる場合には、スペーサは省略されてもよい。
本発明の別の実施形態においては、少なくとも1つの一次元ナノ構造体は、ソース/ドレイン金属と炭素又は酸化物を含む下の基板との反応によって発生した導電性化合物内に埋め込まれる。埋め込みは、前述のカーバイド・アニーリング・ステップの間に行われる。
ここで、本発明の好ましい実施形態を、図面を参照しながら単なる例として詳細に説明する。
本発明の種々の図面は、説明目的で提供されたものであり、それらは尺度どおりに描かれてはいない。また、図面は、単一のゲート領域の存在を描いており、「ゲート領域」という用語は、ここでは、ゲート、ゲート電極、及び下にあるデバイス・チャネルを示すのに用いられる。単一のゲート領域が描かれ説明されるが、本発明はまた、複数のこうしたゲート領域を形成すること、したがって、基板の表面上に複数の一次元ナノ構造体を含むFETを形成することを考慮している。
図1及び図2は、最初の基板10A及び10Bを示す。図1に示された最初の基板10Aは、誘電体層14を含む半導体層12を備える。半導体層12は、この限りではないが、Si、SiGe、SiC、SiGeC、GaAs、InAs、InP、又はその他のIII/V又はII/VI化合物半導体を含む、いずれかのタイプの半導体材料を含む。半導体層12はまた、例えばSi/SiGe又はSi/SiGeCといった層状半導体を含んでもよい。或いは、半導体層12は、シリコン・オン・インシュレータ(SOI)又はシリコンゲルマニウム・オン・インシュレータ(SGOI)を含んでもよい。半導体層12は、1つ又はそれ以上のドーピング領域に非ドープ又はドープされることができる。また、半導体層12は、歪ませたもの又は歪ませないものとすることができ、例えば、(111)、(110)又は(100)を含むいずれかの結晶配向を有することがある。また、半導体基板12は、バック・ゲートのため、又は従来技術を用いて近くに(同じチップ上に又は別に)他のデバイスを設けるため、のいずれのためにも用いることができる。
本発明の幾つかの実施形態においては、誘電体層14が厚いときには、半導体層12が金属又はガラスのようなハンドリング基板に置き換えられることがある。基板の全てが代替的に誘電体層14から構成されることが可能であることも、当業者には明らかであろう。
誘電体層14は、酸化物、窒化物、酸窒化物、ダイヤモンド状炭素(DLC)又はフッ素化DLCのような炭素含有誘電体、高k誘電体(kが4.0より大きい、典型的には7.0より大きい)、有機誘電体又はその多重層を含んでもよい。一実施形態においては、誘電体層14は、SiOのような酸化物、又は、Siのような窒化物を含む。別の実施形態においては、誘電体層14はDLC層を含む。
図1に示された誘電体層14は、例えば、化学気相堆積(CVD)、プラズマ補助化学気相堆積(PECVD)、化学溶液堆積、スパッタリング、原子層堆積(ALD)、物理気相堆積(PVP)、スピン・オン・コーティング、エピタキシャル成長、その他の同様の堆積プロセスを用いて、半導体層12の基板上に形成される。本発明のもう1つの実施形態においては、誘電体層14はまた、熱酸化、窒化又は酸窒化によって形成することも可能である。
半導体層12の上に形成される誘電体層14の厚さは、採用される誘電体材料のタイプ、並びに、それを形成するのに用いられた技術に応じて、変わることがある。典型的には、誘電体層14は、わずか数nmから約500nmまでの厚さを有し、約1から約10nmまでの厚さがより典型的である。前述の範囲は、半導体基板のため及びバックゲート・プロセスのためのものである。電気的機能をもたない基板については、基板の全てを誘電体とするか、又は誘電体の厚さを極めて厚くすることができる。
図2は、本発明の実施形態において用いることができる別の基板10Bを示す。特に、図2に示された最初の基板10Bは、半導体層12、誘電体層14、及び、誘電体層14内に埋め込まれた炭素含有化合物16の領域を含む。炭素含有化合物16は、例えばDLC又はフッ素化DLCのような炭素を含有する化合物のいずれかとすることができる。炭素含有化合物16は、誘電体層14を半導体層12の表面上にブランケット堆積し、誘電体層14の表面上にパターン形成フォトレジスト(図示せず)を形成し、埋め込まれる炭素含有化合物16のための領域を定める開口部を誘電体層14の中にエッチングすることによって形成される。パターン形成フォトレジストは、フォトレジストを誘電体層14の表面に塗布すること、フォトレジストを放射線パターンに露光させること、及び、従来のレジスト現像剤を用いて露光されたフォトレジストを現像することを含む従来の処理によって形成される。誘電体層14の中に開口部を形成するエッチング・ステップには、反応性イオン・エッチング、イオンビーム・エッチング、プラズマ・エッチング又はレーザ・アブレーションのような乾式エッチング・プロセスがある。或いは、誘電体層14内に埋め込み領域を形成するための開口部を設けるために、湿式エッチングを用いることができる。
パターン形成マスクを所定位置に備えた状態で、炭素含有化合物16が堆積されて、例えば図2に示された構造体が形成される。誘電体層14の上面から測定されたときの、誘電体層14の中に形成された炭素含有化合物16の深さは、約1nmから約500nm又はそれ以上であり、約5から約20nmの深さがより典型的である。
特に指定のない限り、以下の説明は最初の基板10Aを用いる。最初の基板10Aが特定的に用いられているが、図2に示されたもう1つの最初の基板10B、又は非半導体基板を用いることもできる。
次に、図3に示されるように、少なくとも1つの一次元ナノ構造体の層18が誘電体層14の上に形成され、最初の基板10Bが用いられる場合には、少なくとも1つの一次元ナノ構造体の層18は、誘電体層14と埋め込まれた炭素含有化合物16との両方の表面上に形成される。
少なくとも1つの一次元ナノ構造体の層18は、ナノチューブ、ナノワイヤ、又は、これらの2つのタイプのナノ材料の組み合わせを含むものとすることができる。前述のように、ナノチューブは典型的に中空キャビティを有し、一方、ナノワイヤは完全にナノ材料で埋め尽くされることから、ナノチューブはナノワイヤとは異なる。一次元ナノ構造体は、ナノメートル・サイズの直径と、非常に長い長さとをもつ構造体である。言い換えれば、この構造体は高いアスペクト比を有し、これらのシステムにとって量子効果が重要となる。
本発明の1つの好ましい実施形態においては、少なくとも1つの一次元ナノ構造体の層18はナノチューブからなり、一方、本発明の別の好ましい実施形態においては、少なくとも1つの一次元ナノ構造体の層18はナノワイヤからなる。
本発明の好ましい実施形態において用いられるナノチューブは、単層ナノ材料又は多層ナノ材料であり、このナノ材料は、典型的には約0.4nmから約30nmの外径、より典型的には約0.8nmから約2.5nmの外径と、典型的には約5nmから約100μmの長さ、より典型的には約10nmから約10μmの長さとを有する。外径を有することに加えて、本発明の好ましい実施形態において用いられるナノチューブは、典型的には約0.4nmから約15nmの内径、より典型的には約0.8nmから約2.5nmの内径を有する。有用なナノチューブはさらに、典型的には約5以上のオーダーの高いアスペクト比、より典型的には約5から約5000のアスペクト比をもつものとして特徴付けられる。
本発明の好ましい実施形態において用いられるナノワイヤは、種々の原子層、すなわち1より多いシェルを備え、典型的には約0.4nmから約100nmの外径、より典型的には約0.8nmから約50nmの外径と、典型的には約5nmから約100μmの長さ、より典型的には約10nmから約10μmの長さとを有する。ナノワイヤはさらに、典型的には約5以上のオーダーの高いアスペクト比、より典型的には約5から約5000のアスペクト比をもつものとして特徴付けられる。
少なくとも1つの一次元ナノ構造体の層18は、典型的には、巻かれる六方格子構造を有する炭素ベースのナノ材料を含む。すなわち、ナノ構造体は、炭素、例えばグラファイトから成るのが好ましい。炭素ベースのナノ材料が用いられるのが好ましいが、金属ナノ材料、又は、炭素ベースのナノ材料と金属ナノ材料との組み合わせのような、他のタイプのナノ材料を代替的に用いることもできる。
形成された少なくとも1つの一次元ナノ構造体の層18の厚さは、これを形成するのに用いられた技術に応じて変わることがある。少なくとも1つの一次元ナノ構造体の層18は、典型的には約0.4nmから約500nmの厚さ、より典型的には約0.8nmから約50nmの厚さを有する。ナノチューブが用いられる実施形態においては、ナノチューブの層18は、典型的には約0.8から約3nmの厚さを有する。
「少なくとも1つの一次元ナノ構造体の層」という用語は、ここでは、少なくとも1つのナノチューブ又はナノワイヤを含む層と、制御され選択された数のこうした一次元ナノ構造体を含む層とを示すのに用いられる。層18は複数の一次元ナノ構造体を含むことが好ましく、そのため、残りの文では「一次元ナノ構造体の層」というフレーズを用いる。
一次元ナノ構造体の層18は、当該技術分野では周知の技術を用いて形成される。例えば、炭素ベースのナノチューブは、アーク放電及び炭素ターゲットのレーザ・アブレーションによって製造される。或いは、炭素ベースのナノチューブは、金属粒子の存在下での化学気相堆積によって製造される。使用されるナノチューブ形成についての特定のプロセスの詳細は、例えば、非特許文献1、非特許文献2、及び、非特許文献3において見ることができる。
炭素ベースのナノワイヤもまた、アーク放電及び炭素ターゲットのレーザ・アブレーションによって製造される。或いは、炭素ベースのナノワイヤは、金属粒子の存在下での化学気相堆積によって製造される。ナノワイヤ形成についての特定のプロセスの詳細は、例えば、非特許文献4において見ることができる。本発明の一実施形態においては、炭素ナノワイヤの層18は、(エチレンとアセチレンの混合物から)レーザ誘起化学気相堆積されたアモルファス水素化炭素ナノ粒子を前駆体として使用し(例えば非特許文献5参照)、以下の条件、すなわち、約0.04気圧の圧力、約1100℃の基板温度、約300sccmのArキャリアの流れにおける約90分の堆積時間を用いてそれらを加熱された表面上に堆積して形成される。
一次元ナノ構造体の層18を形成するための上記の技術に加えて、こうしたナノ構造体を形成することができる他の技術を代替的に採用することができる。例えば、一次元ナノ構造体を形成するのに溶液相分解、ゾル・ゲル電気泳動、又は湿式化学水熱合成法を用いることができる。
図1又は図2に示された最初の基板の1つの表面上に一次元ナノ構造体の層18を形成した後で、層18の表面上にゲート誘電体20が形成され、図4を参照されたい。少なくとも1つの一次元ナノ構造体が半導体基板内に直接形成される実施形態においては、ゲート誘電体20は、例えば、酸化、窒化又は酸窒化のような熱成長プロセスによって形成することができる。或いは、ゲート誘電体20は、例えば、化学気相堆積(CVD)、プラズマ補助CVD、原子層堆積(ALD)、蒸着、反応性スパッタリング、化学溶液堆積、又はその他の同様の堆積プロセスのような堆積プロセスによって形成することができる。ゲート誘電体20はまた、上記のプロセスのいずれかの組み合わせを用いて形成されてもよい。
ゲート誘電体20は、この限りではないが、酸化物、窒化物、酸窒化物、及び/又は、金属シリサイド及び窒化金属シリサイドを含むシリサイドを含む絶縁材料からなる。一実施形態においては、ゲート誘電体20は、例えば、SiO、HfO、ZrO、Al、TiO、La、SrTiO、LaAlO、又は、その混合物を含む。
ゲート誘電体20の物理的な厚さは変化することがあるが、ゲート誘電体20は、典型的には約0.5から約100nmの厚さを有し、より典型的には約0.5から約10nmの厚さを有する。
ゲート誘電体20を形成した後で、例えば、物理気相堆積、CVD又は蒸着のような公知の堆積プロセスを用いて、ゲート誘電体20上にゲート電極22のブランケット層が形成される。堆積されたゲート電極22の厚さ、すなわち高さは、採用される堆積プロセスに応じて変化することがある。ゲート電極22は、典型的には約5から約180nmの垂直方向の厚さであって、より典型的には約5から約50nmの厚さを有する。
ゲート電極22は、CMOS構造体のゲートとして典型的に採用されるいずれかの導電性材料を含むことができる。ゲート電極22として採用される導電性材料の説明に役立つ例には、この限りではないが、ポリシリコン、導電性金属、導電性金属合金、導電性シリサイド、導電性窒化物、ポリSiGe、又は、その多重層を含むその組み合わせがある。幾つかの実施形態(図示せず)においては、酸化物又は窒化物からなるゲート・キャップがゲート電極22の上に形成される。また、ゲート電極材料の多重層間に障壁層を形成することができる。
次に、ゲート電極22のブランケット層がパターン形成されて、図4に示される構造体が与えられる。ゲート電極22のブランケット層のパターン形成は、当該技術分野では周知の従来技術を用いて達成することができる。例えば、ゲート電極22のパターン形成は、リソグラフィ及びエッチングによって行われる。リソグラフィ・ステップは、フォトレジスト(図示せず)をゲート電極22(又は存在する場合には任意のゲート・キャップ)の上面に塗布することと、フォトレジストを所望の放射線パターンに露光させることと、従来のレジスト現像剤を用いて露光されたフォトレジストを現像することを含む。次に、1つ又はそれ以上のエッチング・ステップを用いて、フォトレジストのパターンが、ゲート電極22のブランケット層に(又は最初に任意のゲート・キャップ、次にゲート電極22に)転写される。エッチングは、反応性イオン・エッチング、イオンビーム・エッチング、プラズマ・エッチング、又はレーザ・アブレーションのような乾式エッチング・プロセスを含む。ゲート電極22をパターン形成するために、湿式エッチングを用いることもできる。図に示すように、エッチング・ステップは、ゲート電極22の一部を選択的にエッチングし、ゲート誘電体20の上で停止する。パターン形成フォトレジストは、典型的には、従来の剥離プロセスを用いてパターンがゲート誘電体20に転写された後で除去される。形成されたゲートの寸法は、約3nmから数マイクロメートルまで変化することがあり、7nmから1μmの間であるのが好ましい。
図5は、用いられる最初の基板が図2に示されたものであり、位置合わせマーク100及び/又は101が用いられること以外は、図4に示されたものと同様の構造体を示す。位置合わせマーク100は基板の中に形成され、一方、位置合わせマーク101はゲート誘電体20の表面の上に形成される。位置合わせマーク100及び101は、当該技術分野では周知の従来のプロセスを用いて形成され、それらは、ゲートのレベルを下にある基板と整合するように働く。
図6は、パターン形成ゲート電極22(及び、存在する場合にはゲート・キャップ)を含まないゲート誘電体20の露出領域が除去された後の構造体を示す。ゲート誘電体20の露出部分の除去は、ゲート導体及び/又は一次元ナノ構造体の層18と比べてゲート誘電体材料を選択的に除去するエッチング・プロセスを用いて行われる。ここでは、ゲート誘電体20の露出部分を選択的に除去するために乾式エッチング又は湿式エッチングが検討される。図示されるように、この除去ステップによって、ゲート・スタック24に隣接する層18の一部が露出する。ゲート・スタック24は、少なくともパターン形成されたゲート電極22とパターン形成されたゲート誘電体20とを含む。単一のゲート・スタック24が示されているが、前述のように複数のこうしたゲート・スタックが形成されることも可能である。
次に、図7に示されるように、第1の導電性タイプのドーパント26(n型又はp型のいずれか)を用いて層18の露出部分を任意にドープして、任意のドーパント領域28を与える。層18の露出部分のドーピングは、任意のものであって、全ての場合に用いられるものではないことを強調しておく。ドーピングは、静電ドーピング、気相ドーピング又は他の同様のドーピング技術によって行われる。一次元ナノ構造体の層18がナノワイヤを含む場合には、イオン注入が用いられる。ドーパント26は、元素周期表のグループVAからの少なくとも1つの元素を含むn型ドーパントとするか、又は、ドーパント26は、元素周期表のグループIIIAからの少なくとも1つの元素を含むp型ドーパントとすることができる。ドーピングは、典型的には約1019から約1022原子/cmのドーピング濃度を有するドーパント領域28を与える。より典型的には、層18の露出部分に配置されたドーパント領域28は、約1021から約1022原子/cmのドーパント濃度を有する。
次に、図8に示されるように、1つ又は複数の誘電体層を含む誘電体スタック29が任意に形成される。誘電体スタック29は、ゲート・スタック24の側壁上にスペーサを与えるために用いられることに注目されたい。自己整合アニールが用いられる、幾つかの実施形態においては、スペーサの形成が必要である。非自己整合アニールが用いられる、さらに別の実施形態においては、スペーサの形成は不要である。
本発明の幾つかの実施形態においては、スペーサの形成の前に、又は、一方のスペーサの形成の後で、及び、他方のスペーサの形成の後で、ドーパント注入ステップが行われる。
図示された実施形態においては、誘電体スタック29は、第1誘電体層30と第2誘電体層32とを含む。誘電体スタック29は、例えば、酸化物、窒化物又は酸窒化物のような誘電体材料を含む。誘電体スタック29を形成するために、ゲート誘電体20の形成について説明されたような従来の堆積プロセスが採用される。或いは、誘電体スタック29は、熱プロセスによって形成される。誘電体スタック29の厚さは変化することがあるが、誘電体スタック29の全体の厚さは、典型的には約5から約100nmである。
或る実施形態においては、誘電体スタック29及びその後のスペーサの形成は、前述の任意のドーピング・ステップの前に行うことができる。
図8においては、誘電体スタック29は、第1誘電体(すなわち内側スペーサ材料)30と第2誘電体(すなわち外側スペーサ材料)32とを含み、これらは異なる誘電体材料からなる。一実施形態においては、第1誘電体30は窒化シリコンのような窒化物からなり、第2誘電体32は二酸化シリコンのような酸化物からなる。
次に、堆積の間に事前に覆われた全ての水平面から誘電体スタック29を除去するために、エッチングが行われる。エッチングは図9及び図10に示されている。特に、乾式エッチング、湿式エッチング又はその組み合わせが用いられる。特定の実施形態に示されるように、構造体の水平面から第2誘電体32を選択的に除去するために第1エッチングが用いられ(図9参照)、次に、構造体の水平面から第1誘電体30を除去するために第2エッチングが用いられる。内側スペーサ30’と外側スペーサ32’とを含む、結果として得られる構造体が図10に示されている。2つのスペーサが示されているが、ゲート・スタック24の側壁上に配置される単一のスペーサ又は多数のスペーサを代替的に用いることができる。
図11に示されるような本発明のもう1つの実施形態においては、一次元ナノ構造体の層18の中に事前に形成されたドーパント領域28の上に、金属化合物の層34が形成される。採用されるときには、金属化合物の層34は、層18の露出した非ドープ部分の上にも形成される。金属化合物の層34は、ドーパント領域28(又は代替的に層18の露出した非ドープ部分)として機能し、金属カーバイド領域の形成を助ける。金属化合物の層34は、例えば、非特許文献6に示されるようなc−C=Mo=O(ここでcは環を表す)を含み、ここで、1)分子エレクトロニクス用途においては、有機基と導電性カーバイドとの間の二重結合が有用であり、2)幾つかのモリブデン・アルキリデン化合物は、金属カーバイド・コンタクトの不動態化又は操作のために共有結合によりグラフト化されたポリマー層を成長させるメタセシス反応の類に関して活性的である(例えば非特許文献7、非特許文献8参照)。
金属化合物の層34は、一次元ナノ構造体の層と化学反応するように選択的に堆積される。選択的堆積が採用されるときには、アンダーカットが行われる場合に(これは、非指向性エッチングが用いられる場合に行われる)、層34は側壁の下に延びることができる。幾つかの実施形態においては、層34の堆積の間、層34が側壁の下に延びないように(反応性イオン・エッチング又はリフトオフによって形成される)マスク・レベルが用いられる。金属化合物の層34の厚さは、使用された複合体と、それを形成するのに用いられた技術に応じて変わることがある。
次に、図12に示されるように層18の一部の中に事前に形成された、少なくとも露出したドーパント領域28の上に、ソース/ドレイン金属36が形成される。別の実施形態においては、ソース/ドレイン金属36は、図11に示された少なくとも金属化合物の層34の上に形成される。本発明のさらに別の実施形態においては、ソース/ドレイン金属36は、少なくとも層18の露出した非ドープ部分に形成される。ソース/ドレイン金属36は、例えば、CVD、PECVD、化学溶液堆積、ALD、スパッタリング、めっき、蒸着、又は他の同様のプロセスのような共形(conformal)堆積プロセスを用いて形成される。本発明の一実施形態においては、ソース/ドレイン金属36は、炭素含有ターゲット/ソースから堆積される。本発明の1つの好ましい実施形態においては、ソース/ドレイン金属36は、金属からナノ構造体への電流注入領域を増加させるために、ALDによって堆積される。特に、ALDは、各々のナノ構造体の周囲にソース/ドレイン金属36の一様な被覆を与える方法を提供する。すなわち、層18内のナノ構造体の各々の周りに、ソース/ドレイン金属36のスリーブが形成される。
ソース/ドレイン金属36は、炭素と反応して安定な二元金属カーバイド相を形成することができる金属又は金属様元素のいずれかを含む。或いは、ソース/ドレイン金属は、炭素と、必要に応じて他の元素とを含むことがある。こうしたソース/ドレイン金属の例には、Al、Si、Sc、Ti、V、Cr、Mn、Fe、Y、Zr、Nb、Mo、Hf、Ta、W、及び、その混合物又は合金がある。
ソース/ドレイン金属36としてAl、Ti、Cr、Mo、W、Zr、Hf、又はTaの少なくとも1つが用いられるのが好ましい。化合物の形成は、例えば、窒素、フォーミング・ガス、塩化物、臭化物、フッ化物、酸素などのような異なる雰囲気の中で行われる。周囲ガスのバリエーションは、ナノチューブからの炭素を含むか又はナノチューブ自体に埋め込まれる、異なる導電性化合物の形成を可能にする。
ソース/ドレイン金属36の厚さは、使用される金属と、これを形成するのに用いられた技術に応じて変わることがある。層36の厚さは、典型的には約3から約200nmであり、より典型的には約5から約20nmである。
ソース/ドレイン金属36が構造体上に形成された後で、これを含む構造体にアニーリング・ステップが行われ、このステップは、ソース/ドレイン金属36とナノ構造体を含むドーパント領域28との反応を引き起こす条件の下で行われる。アニールが行われた後で形成される、結果として得られる構造体が、図13に示されている。示されるように、金属カーバイド領域38がゲート・スタック24に隣接して形成され、金属カーバイド領域38は、ゲート・スタック24の縁、並びに、残りの一次元ナノ構造体の層18の縁と整合される。残りの一次元ナノ構造体の層は、デバイス・チャネルとして働く。アニーリング・ステップは、構造体上に幾らかのソース/ドレイン金属36を残すことができることに注目されたい。
別の実施形態(図示せず)においては、アニールは、ソース/ドレイン金属36と層18の露出した非ドープ部分との間に反応を引き起こす。この実施形態はまた、金属カーバイド領域ももたらす。さらに別の実施形態においては、アニールは、ソース/ドレイン金属36、任意に金属化合物の層34と、層18のドープ又は非ドープ部分との間に反応を引き起こす。本発明のさらに別の実施形態においては、アニール・ステップによって、金属と炭素又は酸化物を含む下の基板との反応によって生成された導電性化合物領域の中に一次元ナノ構造体が埋め込まれる。埋め込みが行われるときには、スペーサは、エッチングの選択性が失われないように窒化物からなるものとする必要がある。
金属カーバイド38の形成を引き起こすのに用いられるアニーリング・ステップは、約600℃以上の温度で行われる。金属カーバイド形成アニールは、典型的には約750℃から約1100℃の温度で行われる。金属カーバイド形成アニールは、He、Ar、Ne、Kr、Xe、N、又は、He−Arのような混合物などの不活性雰囲気の中で行われる。アニールは、サブミリ秒以上の時間にわたって行われ、約10秒から約30分のアニーリング時間がより典型的である。非常に短時間のアニールは、レーザ・アニーリングを用いて達成される。アニーリングは、単一のアニーリング温度を用いて行われ、又は多数のアニーリング温度が用いられる。アニーリングはまた、種々のランプアップ・サイクル、ソーク・サイクル、及びクールダウン・サイクルを必要に応じて含むことがある。
金属カーバイド領域38を形成した後で、金属カーバイドと比べて金属を選択的に除去するエッチング・プロセスを用いて、残りのソース/ドレイン金属36が構造体から除去される。図14は、上記の処理ステップを用いて形成される1つの可能な構造体を示す。図15は、形成することができる別の可能な構造体を示し、図15は、用いられる最初の基板のタイプに関して図14とは異なる。両方の場合において、少なくとも1つのゲート領域が配置された基板10A又は10Bを含む半導体構造体が示される。少なくとも1つのゲート領域は、パターン形成されたゲート・スタックと、残りの一次元ナノ構造体の層18とを含む。金属カーバイド・コンタクト、すなわち領域38が、基板の表面上に配置され、少なくとも1つのゲート領域の縁、並びに、残りの一次元ナノ構造体の層18と整合される。
本発明において採用される種々のタイプの最初の基板を示す図(断面図)である。 本発明において採用される種々のタイプの最初の基板を示す図(断面図)である。 少なくとも1つの一次元ナノ構造体の層が形成された後の、図1の最初の基板を示す図(断面図)である。 ゲート誘電体とパターン形成されたゲート電極が形成された後の、図3の構造体を示す図(断面図)である。 用いられる最初の基板が図2に示されたものであり、位置合わせマークが用いられること以外は、図4に示されたものと同様の構造体を示す図(断面図)である。 ゲート誘電体をパターン形成した後の図4の構造体を示す図(断面図)である。 少なくとも1つの一次元ナノ構造体の層の露出部分の任意のドーピングの間の図6の構造体を示す図(断面図)である。 任意の第1及び第2誘電体層を形成した後の図7の構造体を示す図(断面図)である。 第2誘電体層が選択的にエッチングされた後の図8の構造体を示す図(断面図)である。 第1誘電体層が選択的にエッチングされた後の図9の構造体を示す図(断面図)である。 少なくとも1つの一次元ナノ構造体の層の任意にドープされた部分上に金属化合物が形成される任意のステップを実行した後の図10の構造体を示す図(断面図)である。 ソース/ドレイン金属層を形成した後の図10の構造体を示す図(断面図)である。 カーバイド・アニーリング・ステップを実行した後の図12の構造体を示す図(断面図)である。 余分なソース/ドレイン金属をエッチング除去した後の図13の構造体を示す図(断面図)である。 図2に示された最初の基板が採用されたこと以外は、図14に示されたものと同様の構造体を示す図(断面図)である。

Claims (31)

  1. 少なくとも1つのゲート領域が上に配置され、前記少なくとも1つのゲート領域が少なくとも1つの一次元ナノ構造体の層を含む、基板と、
    前記基板の表面上に配置され、前記少なくとも1つの一次元ナノ構造体の層の縁と整合される金属カーバイド・コンタクトと、
    を含む半導体構造体。
  2. 前記少なくとも1つの一次元ナノ構造体の層は、少なくとも1つのナノチューブを含む、請求項1に記載の半導体構造体。
  3. 前記少なくとも1つの一次元ナノ構造体の層は、少なくとも1つのナノワイヤを含む、請求項1に記載の半導体構造体。
  4. 前記少なくとも1つの一次元ナノ構造体の層は、ナノチューブとナノワイヤの組み合わせを含む、請求項1に記載の半導体構造体。
  5. 前記少なくとも1つの一次元ナノ構造体の層は、炭素ベースのナノ材料を含む、請求項1に記載の半導体構造体。
  6. 前記基板は、誘電体層が上に配置された半導体層を含む、請求項1に記載の半導体構造体。
  7. 前記誘電体層は、炭素含有化合物の埋め込み領域を含む、請求項6に記載の半導体構造体。
  8. 前記炭素含有化合物はダイヤモンド状炭素である、請求項7に記載の半導体構造体。
  9. 前記金属カーバイド・コンタクトは、ソース/ドレイン金属と、前記少なくとも1つの一次元ナノ構造体の任意のプレドープ領域とを含む、請求項1に記載の半導体構造体。
  10. 前記ソース/ドレイン金属は、Al、Si、Sc、Ti、V、Cr、Mn、Fe、Y、Zr、Nb、Mo、Hf、Ta、又はWの少なくとも1つを含む、請求項9に記載の半導体構造体。
  11. 前記少なくとも1つの一次元ナノ構造体の前記任意のプレドープ領域は、n型ドーパント又はp型ドーパントを含む、請求項9に記載の半導体構造体。
  12. 前記ソース/ドレイン金属は、前記少なくとも1つの一次元ナノ構造体の周囲にスリーブを形成する、請求項9に記載の半導体構造体。
  13. パターン形成されたスタックがさらにゲート誘電体とゲート電極とを含み、前記ゲート誘電体は、前記少なくとも1つの一次元ナノ構造体の層上に配置される、請求項1に記載の半導体構造体。
  14. 前記ゲート電極の側壁上に少なくとも1つのスペーサをさらに含む、請求項13に記載の半導体構造体。
  15. 前記少なくとも1つの一次元ナノ構造体は、導電性化合物領域内に埋め込まれる、請求項13に記載の半導体構造体。
  16. 半導体基板を製造する方法であって、
    少なくとも1つの一次元ナノ構造体の層の表面上に少なくとも1つのゲート・スタックを含む構造体を提供するステップと、
    前記少なくとも1つの一次元ナノ構造体の層を少なくとも含む前記構造体上にソース/ドレイン金属を形成するステップと、
    前記ソース/ドレイン金属と前記少なくとも1つの一次元ナノ構造体の層とを反応させることによって金属カーバイドを形成するステップと、
    を含む方法。
  17. 前記少なくとも1つの一次元ナノ構造体の層は、少なくとも1つのナノチューブを含む、請求項16に記載の方法。
  18. 前記少なくとも1つの一次元ナノ構造体の層は、少なくとも1つのナノワイヤを含む、請求項16に記載の方法。
  19. 前記少なくとも1つの一次元ナノ構造体の層は、ナノチューブとナノワイヤの組み合わせを含む、請求項16に記載の方法。
  20. 前記少なくとも1つの一次元ナノ構造体の層は、炭素ベースのナノ材料を含む、請求項16に記載の方法。
  21. 前記少なくとも1つの一次元ナノ構造体の層は、アーク放電、炭素ターゲットのレーザ・アブレーション、又は化学気相堆積によって形成される、請求項16に記載の方法。
  22. 前記構造体は、前記一次元ナノ構造体の層の下に配置された基板を含む、請求項16に記載の方法。
  23. 前記基板は半導体層と誘電体層とを含み、前記誘電体層に任意の炭素含有化合物領域が埋め込まれる、請求項22に記載の方法。
  24. 前記ソース/ドレイン金属を形成する前に、前記少なくとも1つのゲート・スタックによって保護されない前記少なくとも1つの一次元ナノ構造体の層の前記部分にドーピングするステップをさらに含み、前記ドーピングは、静電ドーピング、イオン注入、又は、気相ドーピングを含む、請求項16に記載の方法。
  25. 前記ソース/ドレイン金属を形成するステップは、Al、Si、Sc、Ti、V、Cr、Mn、Fe、Y、Zr、Nb、Mo、Hf、Ta、又はWの少なくとも1つを選択するステップと、これを堆積するステップとを含む、請求項16に記載の方法。
  26. 前記堆積するステップは、原子層堆積プロセスか、又は炭素含有ターゲットからの堆積を含む、請求項25に記載の方法。
  27. 前記ソース/ドレイン金属を形成する前に、前記少なくとも1つのゲート・スタックによって保護されない少なくとも1つの一次元ナノ構造体の層の部分に機能をもたせる金属化合物を形成するステップをさらに含む、請求項16に記載の方法。
  28. 前記金属カーバイドを形成するステップは、前記少なくとも1つの一次元ナノ構造体の層の露出部分と反応しない残りのソース/ドレイン金属を、アニーリングし除去するステップを含む、請求項16に記載の方法。
  29. 前記アニーリングは、約600℃以上の温度の不活性雰囲気中で行われる、請求項28に記載の方法。
  30. 前記金属カーバイドは、少なくとも1つの一次元ナノ構造体の層の一部を含むゲート・スタックの縁と整合される、請求項16に記載の方法。
  31. 前記金属カーバイドは、アニーリングによって形成され、前記アニーリングはまた、前記少なくとも1つの一次元ナノ構造体を導電性化合物領域内に埋め込ませる、請求項16に記載の方法。
JP2007549844A 2005-01-07 2005-12-13 ナノチューブ/ナノワイヤfetのための自己整合プロセス Expired - Fee Related JP5132320B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/031,168 2005-01-07
US11/031,168 US7598516B2 (en) 2005-01-07 2005-01-07 Self-aligned process for nanotube/nanowire FETs
PCT/EP2005/056746 WO2006072538A1 (en) 2005-01-07 2005-12-13 Self-aligned process for nanotube/nanowire fets

Publications (3)

Publication Number Publication Date
JP2008527700A true JP2008527700A (ja) 2008-07-24
JP2008527700A5 JP2008527700A5 (ja) 2008-10-30
JP5132320B2 JP5132320B2 (ja) 2013-01-30

Family

ID=36095653

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007549844A Expired - Fee Related JP5132320B2 (ja) 2005-01-07 2005-12-13 ナノチューブ/ナノワイヤfetのための自己整合プロセス

Country Status (7)

Country Link
US (4) US7598516B2 (ja)
EP (1) EP1839346B1 (ja)
JP (1) JP5132320B2 (ja)
KR (1) KR101033445B1 (ja)
CN (1) CN101099248B (ja)
TW (1) TWI463654B (ja)
WO (1) WO2006072538A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013511139A (ja) * 2009-11-13 2013-03-28 インターナショナル・ビジネス・マシーンズ・コーポレーション 自己整列グラフェン・トランジスタ

Families Citing this family (393)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2868209B1 (fr) * 2004-03-25 2006-06-16 Commissariat Energie Atomique Transistor a effet de champ a canal en carbone diamant
US7687841B2 (en) * 2005-08-02 2010-03-30 Micron Technology, Inc. Scalable high performance carbon nanotube field effect transistor
US7452759B2 (en) * 2005-11-29 2008-11-18 Micron Technology, Inc. Carbon nanotube field effect transistor and methods for making same
US7919400B2 (en) * 2007-07-10 2011-04-05 Stion Corporation Methods for doping nanostructured materials and nanostructured thin films
US8598569B2 (en) 2008-04-30 2013-12-03 International Business Machines Corporation Pentacene-carbon nanotube composite, method of forming the composite, and semiconductor device including the composite
US8138102B2 (en) * 2008-08-21 2012-03-20 International Business Machines Corporation Method of placing a semiconducting nanostructure and semiconductor device including the semiconducting nanostructure
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
TWI437106B (zh) * 2008-12-03 2014-05-11 Tatung Co 磁性奈米一維金屬線及其製作方法
US7943530B2 (en) * 2009-04-03 2011-05-17 International Business Machines Corporation Semiconductor nanowires having mobility-optimized orientations
US8237150B2 (en) * 2009-04-03 2012-08-07 International Business Machines Corporation Nanowire devices for enhancing mobility through stress engineering
US7902541B2 (en) * 2009-04-03 2011-03-08 International Business Machines Corporation Semiconductor nanowire with built-in stress
US8013324B2 (en) * 2009-04-03 2011-09-06 International Business Machines Corporation Structurally stabilized semiconductor nanowire
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8108802B2 (en) 2009-04-29 2012-01-31 International Business Machines Corporation Method for forming arbitrary lithographic wavefronts using standard mask technology
US8368125B2 (en) 2009-07-20 2013-02-05 International Business Machines Corporation Multiple orientation nanowires with gate stack stressors
US20110012177A1 (en) * 2009-07-20 2011-01-20 International Business Machines Corporation Nanostructure For Changing Electric Mobility
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110127492A1 (en) 2009-11-30 2011-06-02 International Business Machines Corporation Field Effect Transistor Having Nanostructure Channel
US8841652B2 (en) * 2009-11-30 2014-09-23 International Business Machines Corporation Self aligned carbide source/drain FET
US8384065B2 (en) * 2009-12-04 2013-02-26 International Business Machines Corporation Gate-all-around nanowire field effect transistors
US8129247B2 (en) * 2009-12-04 2012-03-06 International Business Machines Corporation Omega shaped nanowire field effect transistors
US8143113B2 (en) * 2009-12-04 2012-03-27 International Business Machines Corporation Omega shaped nanowire tunnel field effect transistors fabrication
US8455334B2 (en) * 2009-12-04 2013-06-04 International Business Machines Corporation Planar and nanowire field effect transistors
US8173993B2 (en) * 2009-12-04 2012-05-08 International Business Machines Corporation Gate-all-around nanowire tunnel field effect transistors
US8097515B2 (en) * 2009-12-04 2012-01-17 International Business Machines Corporation Self-aligned contacts for nanowire field effect transistors
KR101659815B1 (ko) * 2009-12-08 2016-09-27 삼성전자주식회사 탄소나노튜브 트랜지스터 어레이 및 탄소 나노튜브 트랜지스터의 제조 방법
US8101474B2 (en) * 2010-01-06 2012-01-24 International Business Machines Corporation Structure and method of forming buried-channel graphene field effect device
US8263477B2 (en) * 2010-01-08 2012-09-11 International Business Machines Corporation Structure for use in fabrication of PiN heterojunction TFET
US8722492B2 (en) 2010-01-08 2014-05-13 International Business Machines Corporation Nanowire pin tunnel field effect devices
US8324940B2 (en) 2010-04-13 2012-12-04 International Business Machines Corporation Nanowire circuits in matched devices
US8361907B2 (en) 2010-05-10 2013-01-29 International Business Machines Corporation Directionally etched nanowire field effect transistors
US8324030B2 (en) 2010-05-12 2012-12-04 International Business Machines Corporation Nanowire tunnel field effect transistors
US8513099B2 (en) 2010-06-17 2013-08-20 International Business Machines Corporation Epitaxial source/drain contacts self-aligned to gates for deposited FET channels
US9368599B2 (en) * 2010-06-22 2016-06-14 International Business Machines Corporation Graphene/nanostructure FET with self-aligned contact and gate
US8404539B2 (en) * 2010-07-08 2013-03-26 International Business Machines Corporation Self-aligned contacts in carbon devices
US8697467B2 (en) * 2010-07-26 2014-04-15 The Regents Of The University Of California Surface and gas phase doping of III-V semiconductors
US8835231B2 (en) 2010-08-16 2014-09-16 International Business Machines Corporation Methods of forming contacts for nanowire field effect transistors
US8536563B2 (en) 2010-09-17 2013-09-17 International Business Machines Corporation Nanowire field effect transistors
US8546246B2 (en) * 2011-01-13 2013-10-01 International Business Machines Corporation Radiation hardened transistors based on graphene and carbon nanotubes
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101605643B1 (ko) * 2011-09-29 2016-03-22 인텔 코포레이션 반도체 응용을 위한 양전성 금속 포함 층을 포함하는 장치 및 그 제조방법
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8580624B2 (en) 2011-11-01 2013-11-12 International Business Machines Corporation Nanowire FET and finFET hybrid technology
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US8741751B2 (en) 2012-08-10 2014-06-03 International Business Machines Corporation Double contacts for carbon nanotubes thin film devices
US8741756B2 (en) 2012-08-13 2014-06-03 International Business Machines Corporation Contacts-first self-aligned carbon nanotube transistor with gate-all-around
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8685817B1 (en) * 2012-11-19 2014-04-01 International Business Machines Corporation Metal gate structures for CMOS transistor devices having reduced parasitic capacitance
US8796096B2 (en) 2012-12-04 2014-08-05 International Business Machines Corporation Self-aligned double-gate graphene transistor
US8609481B1 (en) 2012-12-05 2013-12-17 International Business Machines Corporation Gate-all-around carbon nanotube transistor with selectively doped spacers
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9287516B2 (en) 2014-04-07 2016-03-15 International Business Machines Corporation Forming pn junction contacts by different dielectrics
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9299939B1 (en) * 2014-12-09 2016-03-29 International Business Machines Corporation Formation of CMOS device using carbon nanotubes
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9543535B1 (en) 2015-06-29 2017-01-10 International Business Machines Corporation Self-aligned carbon nanotube transistor including source/drain extensions and top gate
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9627330B2 (en) * 2015-07-13 2017-04-18 International Business Machines Corporation Support for long channel length nanowire transistors
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
WO2017026068A1 (ja) 2015-08-12 2017-02-16 新電元工業株式会社 炭化珪素半導体装置の製造方法及び炭化珪素半導体装置
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10319926B2 (en) 2015-11-05 2019-06-11 International Business Machines Corporation End-bonded metal contacts on carbon nanotubes
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US10396300B2 (en) 2015-12-03 2019-08-27 International Business Machines Corporation Carbon nanotube device with N-type end-bonded metal contacts
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9698363B1 (en) * 2015-12-30 2017-07-04 International Business Machines Corporation RF-transistors with self-aligned point contacts
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
WO2017171736A1 (en) * 2016-03-30 2017-10-05 Intel Corporation Nanowire for transistor integration
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10665798B2 (en) 2016-07-14 2020-05-26 International Business Machines Corporation Carbon nanotube transistor and logic with end-bonded metal contacts
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10665799B2 (en) 2016-07-14 2020-05-26 International Business Machines Corporation N-type end-bonded metal contacts for carbon nanotube transistors
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN108269802B (zh) * 2017-01-04 2020-11-06 上海新昇半导体科技有限公司 一种碳纳米管束场效应晶体管阵列及其制造方法
US10410931B2 (en) 2017-01-09 2019-09-10 Samsung Electronics Co., Ltd. Fabricating method of nanosheet transistor spacer including inner spacer
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10333088B1 (en) 2017-12-12 2019-06-25 International Business Machines Corporation Carbon nanotube transistor with carrier blocking using thin dielectric under contact
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11373871B2 (en) 2018-11-21 2022-06-28 Applied Materials, Inc. Methods and apparatus for integrated selective monolayer doping
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
CN110364438B (zh) * 2019-05-29 2023-05-05 北京华碳元芯电子科技有限责任公司 晶体管及其制造方法
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN110571332B (zh) * 2019-08-02 2023-06-23 北京元芯碳基集成电路研究院 晶体管及其制造方法
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002050277A (ja) * 1998-06-18 2002-02-15 Matsushita Electric Ind Co Ltd 電子放出素子及び電子放出源とそれらを使用した画像表示装置
JP2003017508A (ja) * 2001-07-05 2003-01-17 Nec Corp 電界効果トランジスタ
JP2003338621A (ja) * 2002-05-20 2003-11-28 Fujitsu Ltd 半導体装置及びその製造方法
JP2004503097A (ja) * 2000-07-04 2004-01-29 インフィネオン テクノロジーズ アクチエンゲゼルシャフト 電界効果トランジスタ
WO2004019414A1 (en) * 2002-08-23 2004-03-04 Intel Corporation Tri-gate devices and methods of fabrication
JP2004288833A (ja) * 2003-03-20 2004-10-14 Fujitsu Ltd 炭素元素円筒型構造体へのオーミック接続構造及びその作製方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6472705B1 (en) 1998-11-18 2002-10-29 International Business Machines Corporation Molecular memory & logic
US7084507B2 (en) * 2001-05-02 2006-08-01 Fujitsu Limited Integrated circuit device and method of producing the same
US7385262B2 (en) * 2001-11-27 2008-06-10 The Board Of Trustees Of The Leland Stanford Junior University Band-structure modulation of nano-structures in an electric field
KR100837393B1 (ko) * 2002-01-22 2008-06-12 삼성에스디아이 주식회사 탄소와 친화도가 높은 금속을 전극으로 구비하는 전자소자
JP4259023B2 (ja) * 2002-02-05 2009-04-30 富士ゼロックス株式会社 カーボンナノチューブデバイスの作製方法、およびカーボンナノチューブデバイス
US6891227B2 (en) * 2002-03-20 2005-05-10 International Business Machines Corporation Self-aligned nanotube field effect transistor and method of fabricating same
US7051945B2 (en) * 2002-09-30 2006-05-30 Nanosys, Inc Applications of nano-enabled large area macroelectronic substrates incorporating nanowires and nanowire composites
US20040144972A1 (en) * 2002-10-04 2004-07-29 Hongjie Dai Carbon nanotube circuits with high-kappa dielectrics
DE10250868B8 (de) * 2002-10-31 2008-06-26 Qimonda Ag Vertikal integrierter Feldeffekttransistor, Feldeffekttransistor-Anordnung und Verfahren zum Herstellen eines vertikal integrierten Feldeffekttransistors
DE10250829B4 (de) * 2002-10-31 2006-11-02 Infineon Technologies Ag Nichtflüchtige Speicherzelle, Speicherzellen-Anordnung und Verfahren zum Herstellen einer nichtflüchtigen Speicherzelle
US6933222B2 (en) * 2003-01-02 2005-08-23 Intel Corporation Microcircuit fabrication and interconnection
WO2004094308A1 (en) * 2003-04-22 2004-11-04 Commissariat A L'energie Atomique A process for modifying at least one electrical property of a nanotube or a nanowire and a transistor incorporating it.
US7456476B2 (en) * 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
EP1508926A1 (en) * 2003-08-19 2005-02-23 Hitachi, Ltd. Nanotube transistor device
US7101761B2 (en) * 2003-12-23 2006-09-05 Intel Corporation Method of fabricating semiconductor devices with replacement, coaxial gate structure
US7306823B2 (en) * 2004-09-18 2007-12-11 Nanosolar, Inc. Coated nanoparticles and quantum dots for solution-based fabrication of photovoltaic cells
US7253431B2 (en) * 2004-03-02 2007-08-07 International Business Machines Corporation Method and apparatus for solution processed doping of carbon nanotube
US20050224807A1 (en) * 2004-03-25 2005-10-13 Ravi Kramadhati V Low dielectric constant carbon films
JP2005285822A (ja) * 2004-03-26 2005-10-13 Fujitsu Ltd 半導体装置および半導体センサ
US7316960B2 (en) * 2004-07-13 2008-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Strain enhanced ultra shallow junction formation
US20060063318A1 (en) * 2004-09-10 2006-03-23 Suman Datta Reducing ambipolar conduction in carbon nanotube transistors
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US7374984B2 (en) * 2004-10-29 2008-05-20 Randy Hoffman Method of forming a thin film component
US7151296B2 (en) * 2004-11-03 2006-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. High voltage lateral diffused MOSFET device
KR100592302B1 (ko) * 2004-11-03 2006-06-22 삼성에스디아이 주식회사 박막 트랜지스터를 구비한 기판의 제조방법, 이에 따라제조된 박막 트랜지스터를 구비한 기판, 평판 표시장치의제조방법, 및 이에 따라 제조된 평판 표시장치
US7582534B2 (en) * 2004-11-18 2009-09-01 International Business Machines Corporation Chemical doping of nano-components
US7393733B2 (en) * 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7365003B2 (en) * 2004-12-29 2008-04-29 Intel Corporation Carbon nanotube interconnects in porous diamond interlayer dielectrics

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002050277A (ja) * 1998-06-18 2002-02-15 Matsushita Electric Ind Co Ltd 電子放出素子及び電子放出源とそれらを使用した画像表示装置
JP2004503097A (ja) * 2000-07-04 2004-01-29 インフィネオン テクノロジーズ アクチエンゲゼルシャフト 電界効果トランジスタ
JP2003017508A (ja) * 2001-07-05 2003-01-17 Nec Corp 電界効果トランジスタ
JP2003338621A (ja) * 2002-05-20 2003-11-28 Fujitsu Ltd 半導体装置及びその製造方法
WO2004019414A1 (en) * 2002-08-23 2004-03-04 Intel Corporation Tri-gate devices and methods of fabrication
JP2005528810A (ja) * 2002-08-23 2005-09-22 インテル コーポレイション トリゲート・デバイス及び製造方法
JP2004288833A (ja) * 2003-03-20 2004-10-14 Fujitsu Ltd 炭素元素円筒型構造体へのオーミック接続構造及びその作製方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013511139A (ja) * 2009-11-13 2013-03-28 インターナショナル・ビジネス・マシーンズ・コーポレーション 自己整列グラフェン・トランジスタ

Also Published As

Publication number Publication date
WO2006072538A1 (en) 2006-07-13
EP1839346A1 (en) 2007-10-03
KR101033445B1 (ko) 2011-05-09
EP1839346B1 (en) 2014-03-19
CN101099248A (zh) 2008-01-02
US20080026534A1 (en) 2008-01-31
US20060151844A1 (en) 2006-07-13
TWI463654B (zh) 2014-12-01
US20110256675A1 (en) 2011-10-20
US8119466B2 (en) 2012-02-21
US8003453B2 (en) 2011-08-23
JP5132320B2 (ja) 2013-01-30
KR20070093085A (ko) 2007-09-17
US7598516B2 (en) 2009-10-06
TW200644240A (en) 2006-12-16
US20080227259A1 (en) 2008-09-18
CN101099248B (zh) 2010-09-08

Similar Documents

Publication Publication Date Title
JP5132320B2 (ja) ナノチューブ/ナノワイヤfetのための自己整合プロセス
US20220093772A1 (en) Graphene/nanostructure fet with self-aligned contact and gate
US6891227B2 (en) Self-aligned nanotube field effect transistor and method of fabricating same
US9923086B2 (en) CMOS device having carbon nanotubes
US7998850B2 (en) Semiconductor device and method for manufacturing the same
US7625766B2 (en) Methods of forming carbon nanotubes and methods of fabricating integrated circuitry

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080829

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080829

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120208

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120510

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20120510

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120510

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121016

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20121016

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121106

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151116

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees