KR100781541B1 - PFET에는 임베디드 SiGe, NFET에는 레이즈드소스/드레인 구조 형성 - Google Patents

PFET에는 임베디드 SiGe, NFET에는 레이즈드소스/드레인 구조 형성 Download PDF

Info

Publication number
KR100781541B1
KR100781541B1 KR1020060066004A KR20060066004A KR100781541B1 KR 100781541 B1 KR100781541 B1 KR 100781541B1 KR 1020060066004 A KR1020060066004 A KR 1020060066004A KR 20060066004 A KR20060066004 A KR 20060066004A KR 100781541 B1 KR100781541 B1 KR 100781541B1
Authority
KR
South Korea
Prior art keywords
nfet
pfet
source
drain
region
Prior art date
Application number
KR1020060066004A
Other languages
English (en)
Other versions
KR20070064231A (ko
Inventor
김주찬
쮜징 로어
저드슨 로버트 홀트
용후 쫑
Original Assignee
삼성전자주식회사
챠터드 세미컨덕터 매뉴팩춰링 리미티드
인터내셔널 비즈니스 머신즈 코오퍼레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사, 챠터드 세미컨덕터 매뉴팩춰링 리미티드, 인터내셔널 비즈니스 머신즈 코오퍼레이션 filed Critical 삼성전자주식회사
Publication of KR20070064231A publication Critical patent/KR20070064231A/ko
Application granted granted Critical
Publication of KR100781541B1 publication Critical patent/KR100781541B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Abstract

NFET 소자에는 레이즈드 소스/드레인 구조, PFET 소자에는 임베디드 SiGe 소스/드레인 구조를 형성하기 위한 구조 및 방법이 제공된다. 기판의 NFET 영역 상에 NFET 게이트 구조를 제공하고, PFET 영역 상에 PFET 게이트 구조를 제공한다. NFET 게이트에 인접한 NFET SDE 영역을 제공하고, PFET 게이트에 인전한 PFET SDE 영역을 제공한다. PFET 제2 스페이서에 인접한 기판의 PFET 영역에 리세스를 형성한다. 리세스에 PFET 임베디드 소스/드레인 스트레서를 형성한다. NFET SDE 영역 상에 NFET 소스/드레인 에피텍셜 Si층을 형성하고, PFET 임베디드 소스/드레인 스트레서 상에 PFET 소스/드레인 에피텍셜 Si층을 형성한다. PFET 임베디드 소스/드레인 스트레서 상의 에피텍셜 Si층은 PFET 임베디드 소스/드레인 스트레서 상에 안정적이고 저저항을 가지는 실리사이드를 형성하기 위한 후속 샐리사이드 단계에서 없어진다. NFET 게이트 구조에 인접한 NFET 영역 및 NFET 소스/드레인 스트레서 Si층에 N형 이온을 주입하는 것에 의해 NFET 소스/드레인 이온 주입을 수행하여 레이즈드 NFET 소스/드레인을 형성한다.
임베디드 소스/드레인, 레이즈드 소스/드레인

Description

PFET에는 임베디드 SiGe, NFET에는 레이즈드 소스/드레인 구조 형성{Formation Of raised source/drain structures in NFET with embedded SiGe in PFET}
도 1 내지 도 10은 본 발명의 예시적인 실시예에 따라 레이즈드 소스/드레인 구조를 가지는 제1 FET 및 PFET에 임베디드 SiGe를 가지는 제2 FET 제조를 위한 구조 및 방법을 도시한 단면도이다.
본 발명은 반도체 소자 및 그 제조 방법에 대한 것으로, 보다 상세하게는 임베디드 소스/드레인 영역을 가지는 트랜지스터와 레이즈드 소스/드레인 영역을 가지는 트랜지스터의 제조에 대한 것이다.
레이즈드 소스/드레인(raised S/D) 구조 및 임베디드 SiGe는 소자의 특성을 향상시키는데 유용한 기술로 알려져 있다. 그러나, 두 기술은 일반적인 CMOS 공정 흐름과 비교하여 복잡한 공정을 포함한다. 특히 임베디드 SiGe의 경우, PFET 소스/드레인 영역에 Si 리세스 형성 및 에피텍셜 성장을 위한 부가적인 공정이 필요할 뿐 아니라, 임베디드 SiGe 설계는 poly-Si 게이트를 보호하기 위한 부가적인 공정 도 필요로 한다. 또한, NFET에는 레이즈드 소스/드레인 구조를 형성하는 반면에 PFET에는 임베디드 SiGe를 형성하는 것은 매우 어렵다.
관련 특허와 기술 문헌에 나타난 바와 같이, 이러한 주제에서 유도된 큰 기술적 진전은 위에서 언급한 다양한 문제를 극복해야 하는 중요성을 말해 준다. 후술하는 특허들을 고려하면 특허 문헌과 가장 가깝고 보다 관련된 기술적 진전에 대하여 알 수 있을 것이다.
US20050035409A1: 집적 회로에 형성된 스트레인드(strained) 채널 트랜지스터 및 제2 반도체 구성 요소의 구조 및 방법 - 반도체 집적 회로에 사용하기 위한 반도체 칩은 제1 및 제2 액티브 영역을 포함하는 반도체 기판, 제1 액티브 영역에 형성된 레지스터, 제2 액티브 영역에 형성된 스트레인드 채널 트랜지스터를 포함한다. (발명자: Ko, Chih-Hsin; Kaohsiung, 대만)
US20050079692A1: 선택적인 증착 공정을 사용하는 MOSFET 소자 제조 방법 - 기판 표면에 실리콘-베이스드(based) 소자를 제조하는 것은 각각 특정한 제1 및 제2 공정 가스에 노출하는 것에 의해 제1 및 제2 실리콘-함유(containing)층을 증착하는 것을 포함한다. (발명자: Samoilov, Arkadii V.; Sunn)
US6881635: 임베디드 소스/드레인을 포함하는 스트레인드 실리콘 NMOS 소자 - N형 FET의 형성은 트랜지스터 몸체 외부 및 스트레인드 실리콘층의 하부 실리콘 게르마나이드(silicon germanide) 물질을 제거하고, 제거된 물질을 에피텍셜 실리콘으로 교환하는 것을 포함한다. (발명자: Chidambarrao, Dureseti)
US6861318: 스트레스를 가진 채널을 포함하는 반도체 트랜지스터 - 집적 회 로를 위한 반도체 트랜지스터는 소스 및 드레인 리세스 내에 형성된 소스 및 드레인을 포함하고, 각각의 소스 및/또는 드레인은 필름 물질로 형성되고, 필름 물질은 세컨드 스페이싱(second spacing)을 가지는 세컨드 래티스(second lattice)를 갖도록 형성된다. (발명자: Murthy, Anand; 이 특허는 리세스된 소스/드레인 SiGe 영역을 위한 공정을 개시한다.)
US6531347: 프리징(fringing) 캐패시터를 줄이기 위하여 리세스된 소스 드레인을 형성하는 방법 - 반도체 소자의 제조는 반도체 기판 표면 아래에 지정된 깊이로 리세스된 소스 및 드레인 영역을 형성하는 것을 포함한다. (발명자: Huster, Carl)
US6849883: 스트레인된 SOI MOSFET 소자 및 그 제조 방법 - 예를 들어 큰 스케일의 집적 회로와 같은 MOSFET 소자는 스트레인된 실리콘 채널로써 사용되는 제1 영역에 실리콘층을 구비하는 제1 MOSFET 및 실리콘 채널로써 사용되는 실리콘 에피텍셜층을 구비하는 제2 MOSFET을 포함한다. (발명자: Okihara, Masao; Tokyo, Japan)
Gene Fitzgerald, "A quick primer on strained silicon", URL: http://www.eetimes.com/showArticle.jhtml?articleID=18100036 (02/23/2004 12:00 PM EST) 붕소 도핑된 SiGe 에피텍셜 공정에 대하여 다루고 있다.
본 발명이 이루고자 하는 기술적 과제는, 임베디드 소스/드레인 영역을 가지는 트랜지스터와 레이즈드 소스/드레인 영역을 가지는 트랜지스터의 구조 및 제조 방법을 제공하는 것이다.
본 발명의 기술적 과제들은 이상에서 언급한 기술적 과제로 제한되지 않으며, 언급되지 않은 또 다른 기술적 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
본 발명의 일부 예시적인 실시예들은 레이즈드 소스/드레인 구조 및 임베디드 SiGe 소스/드레인 영역을 가지는 FET 소자의 구조 및 제조 방법을 제공한다.
PFET에는 임베디드 SiGe, NFET에는 레이즈드 소스/드레인 구조를 형성하는 구조 및 방법을 제공한다. 기판의 NFET 영역 상에 NFET 게이트 구조 및 PFET 영역 상에 PFET 게이트 구조를 제공한다. NFET 게이트에 인접한 NFET SDE를 제공하고, PFET 게이트에 인접한 PFET SDE를 제공한다. PFET 제2 스페이서에 인접하여 기판의 PFET 영역에 리세스를 형성한다. 리세스에 PFET 임베디드 소스/드레인 스트레서를 형성한다. NFET SDE 영역 상에 NFET 소스/드레인 에피텍셜 Si층을 형성하고, PFET 임베디드 소스/드레인 스트레서 상에 PFET 소스/드레인 에피텍셜 Si층을 형성한다. NFET 게이트 구조에 인접한 NFET 영역 및 NFET 소스/드레인 에피텍셜 Si층 내에 N형 이온을 주입하는 것에 의해 NFET 소스/드레인 이온주입을 수행하여 레이즈드 NFET 소스/드레인을 형성한다.
본 발명의 다른 측면은, 상기 구조 상에 실리사이드 영역을 형성하고, 스트레서막을 형성한다. PFET 임베디드 소스/드레인 스트레서 상의 에피텍셜 Si층은 PFET 임베디드 소스/드레인 스트레서 상에 안정적이고 낮은 저항의 실리사이드가 형성되는 것을 도와준다.
또 다른 측면은, 리듀스드 사이즈 스페이서가 게이트 구조 상에 형성되고, 스트레서막은 상기 구조 상에 형성된다.
심사하는 동안 보정에 의해서 부가적인 실시예 및 측면이 클레임에 나타날 수 있다.
본 발명의 기타 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참고하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 따라서, 몇몇 실시예들에서 잘 알려진 소자 구조 및 잘 알려진 기술들은 본 발명이 모호하게 해석되는 것을 피하기 위하여 구체적으로 설명되지 않는다.
본 발명의 예시적인 실시예들은 임베디드 소스/드레인 스트레서 영역을 구비한 PFET 및 레이즈드 소스/드레인을 구비한 NFET을 형성하는 방법을 제공한다. 또한, 스트레스 라이너는 FET 게이트의 리듀스드 스페이서 상에 형성될 수 있다.
A. 기판의 NFET 영역 상에 NFET 게이트 구조를 제공하고, PFET 영역 상에 PFET 게이트 구조를 제공한다.
도 1을 참조하면, 기판(10)의 NFET 영역(16) 상에 NFET 게이트 구조(22)를 제공하고 PFET 영역(14) 상에 PFET 게이트 구조(20)를 제공한다.
NFET 게이트 구조(22)는 NFET 게이트 절연막(32), NFET 게이트(36), NFET 게이트(36) 상부의 NFET 게이트 캡층(40), NFET 제1 스페이서(L 모양)(44), 및 NFET 제2 스페이서(50)를 포함한다. 게이트 캡층(40)은 실리콘 질화막을 포함할 수 있다. NFET 제2 스페이서(50)는 실리콘 질화막을 포함할 수 있다.
PFET 게이트 구조(20)는 바람직하게는 PFET 게이트 절연막(30), PFET 게이트(34), 실리콘 질화막을 포함하는 PFET 게이트 캡층(38), PFET 제1 스페이서(L 모양)(42) 및 PFET 제2 스페이서(52)를 포함한다. PFET 제2 스페이서(52)는 실리콘 질화막을 포함할 수 있다.
NFET 게이트(36)에 인접한 NFET 소스/드레인 확장(SDE; Source/Drain Extension) 영역 또는 LDD(Lightly Doped Drain) 영역(58)을 제공하고, PFET 게이트(34)에 인접한 PFET LDD 영역(또는 SDE 영역)(56)을 제공한다.
PFET 영역(14)과 NFET 영역(16) 사이에 소자 분리 영역(18)을 제공한다. 소자 분리 영역(18)은 바람직하게는 실리콘 산화막을 포함하는 STI 영역일 수 있다.
상기 구조는 NFET 영역(16)은 P웰(17)을, PFET 영역(14)은 N웰(15)을 포함할 수 있다. PFET 영역(14)에는 PFET 소자가 형성되고, NFET 영역(16)에는 NFET 소자가 형성된다.
B. PFET 소스/드레인 스트레서를 형성하기 위한 리세스를 형성한다.
도 2 및 도 3은 리세스(70)를 형성하기 위한 예시적인 공정을 보여준다.
도 2를 참조하면, 기판(10) 표면 상에 절연막(64)을 형성한다. 절연막(64)은 바람직하게는 컨포멀하게 형성하며, LTO(Low Temperature Oxide)막을 포함할 수 있다. 절연막(64)의 두께는 약 80-350Å일 수 있다.
절연막(64)은 후속 공정인 소스/드레인 리세스 식각에서 식각 마스크로 사용될 것이다. NFET 영역(16)의 절연막(64) 상에 제1 NFET 마스크(68)를 형성한다.
제1 NFET 마스크(68)를 식각 마스크로 사용하여 PFET 영역(14) 상의 절연막(64)을 제거한다. 바람직하게는 습식 식각 공정으로 진행할 수 있다. 습식 식각은 완충된(buffered) HF 식각을 포함할 수 있다.
도 3에 도시된 바와 같이, 제1 NFET 마스크(68)를 제거한다.
도 3을 참조하면, RIE 공정을 사용하여 PFET 제2 스페이서(52)에 인접한 기판(10)의 PFET 영역(14)에 리세스(70)를 형성한다. RIE는 등방성 또는 이방성 식각, 또는 그 둘의 결합을 포함할 수 있다. 전형적인 등방성 건식 식각 공정은 Cl2, He 및/또는 SF6을 포함하는 화학 가스와, 등방성(또는 측면(lateral)) 식각이 이루어지는 공정 조건을 사용하여 플라즈마 식각 챔버에서 진행된다. 또한, 선택된 식각 화학 가스(chemistry)는 게이트 구조(22, 20)의 주변의 물질들에 선택비가 높다. 이러한 방법에 의하면, 게이트 구조(22, 20) 주변의 산화막 및 질화막 스페이서는 식각되지 않거나, 최소의 양만 식각된다.
리세스(70)의 깊이는 바람직하게는 약 30-150 nm로 형성된다.
C. PFET 임베디드 소스/드레인 스트레서를 형성한다.
도 4를 참조하면, 바람직하게는 리세스 표면에 에피텍시 프리-클리닝(epitaxy pre-clean)을 수행한다. 에피텍시 프리-클리닝은 바람직하게는 가스 또 는 리퀴드 상태의 HF, 또는 두 단계의 결합을 포함하거나, 가스 HF 또는 리퀴드 HF를 포함하는 케미컬을 포함한다.
소스/드레인 영역(74)에는 PFET 임베디드 스트레서(예를 들어, 붕소(B) 도핑된 SiGe)가 선택적으로 형성된다. 실리콘 게르마늄 합금은 인접한 Si 기판에 래티스-미스매치드 영역(lattice-mismatched region)을 형성하고, 이것은 채널 방향으로 전해지는 스트레인(strain)을 야기시킨다. 래티스-미스매치드 영역은 CVD, 울트라 고진공 CVD 또는 MBE(Molecular Beam Epitaxy) 등의 에피택셜 성장 공정을 사용하여 형성된다. 에피텍시 공정은 선택적으로 SiGe가 노출된 Si 영역에서만 성장하고 산화막 또는 질화막에서는 성장하지 않기 때문에, 게이트는 보호된다. SiGe 스트레서는 바람직하게는 붕소가 인시츄(in-situ) 도핑된다. 본 실시예에서, SiGe 합금의 Ge 밀도는 10-40 원자(atomic)%이다. SiGe 합금에서 붕소의 밀도는 8E19/cm3 - 1E21/cm3 이다.
선택적으로, 먼저 도핑되지 않은 SiGe를 성장시키고, 이어서, 이온 주입과 도펀트(예를 들어 붕소(B))를 활성화시키는 어닐 공정을 진행할 수 있다.
PFET 임베디드 소스/드레인 스트레서(74)는 PFET 채널 영역 상에 스트레스를 주어 소자 특성을 향상시키기 위해서 형성된다. NFET 영역(16) 상의 절연막(64)은 SiGe 에피텍셜 성장으로부터 NFET을 보호한다. 게이트 구조 상의 절연막(64)이 NFET 게이트(36)을 보호하기에 충분하지 않다면, 예를 들어, 에피텍셜 프리클리닝 단계에서 절연막(64)의 두께가 얇아지거나 제거되면, NFET 게이트 캡층(40)이 NFET 게이트(36)에서의 SiGe 에피택셜 성장을 막는다. PFET 게이트 캡층(38) 및 PFET 제1 스페이서(42)는 SiGe 에피텍셜 성장으로부터 poly-Si 게이트(34)를 보호한다.
도 4를 참조하면, 절연막(예를 들어, 산화막)(64) 식각을 수행하여, NFET 영역(16) 상의 제1 절연막(64)을 제거한다.
D. NFET 소스/드레인 에피텍셜 Si층 및 PFET 소스/드레인 에피텍셜 Si층을 형성한다.
도 5를 참조하면, NFET SDE 영역(58) 상에 NFET 소스/드레인 에피텍셜 Si층(79)을 형성하고, PFET 임베디드(B 도핑된 SiGe) 소스/드레인 스트레서(74) 상에 PFET 소스/드레인 에피텍셜 Si층(75)을 형성한다.
에피텍셜 Si층이 임베디드 (SiGe) 소스/드레인(74) 상에서 보다 Si기판 상에서 더 빨리 성장하기 때문에, NFET 소스/드레인 에피텍셜 Si층(79)은 PFET 소스/드레인 에피텍셜 Si층(75)보다 더 두꺼울 수 있다. 이것은 Si가 SiGe 표면 상에서 성장할 때는, 헤테로-에피텍시(hetero-epitaxy)가 일어나는 반면에, Si가 Si 표면 상에서 성장할 때는 호모-에피텍시(homo-epitaxy)가 일어나기 때문이다. 또한, 에피텍시 공정 조건으로 성장율을 조절한다.
The NFET 게이트 캡층(40) 및 PFET 게이트 캡층(38)은 Si 에피텍셜 성장으로부터 poly-Si 게이트를 보호한다.
예를 들어, 에피텍셜 Si층은 SiGe 소스/드레인(74) 상에서 보다 Si 기판 상에서 5% - 20% 더 두껍게 성장한다. 예를 들어, NFET 소스/드레인 에피텍셜 Si층(79)의 두께가 약 50-500Å이면, PFET 소스/드레인 에피텍셜 Si층(75)의 두께는 약 40-400Å일 수 있다.
NFET 소스/드레인 에피텍셜 Si층(79)이 PFET 소스/드레인 에피텍셜 Si층(75)보다 두꺼운 것은 이점이 될 수 있다(예를 들어, 약 5-20% 더 두꺼움). 이것은 일반적인 것이므로, SiGe 스트레서(74)를 성장시킬 때, 기판 상에 과성장(overgrowth )시켜 레이즈드 소스/드레인 구조를 형성할 것이다. 여기서, NFET 표면은 여전히 레이즈드 되지 않는다. NFET에서 Si층(79)이 더 두껍게 성장할 수 있기 때문에, 최종 구조는 NFET 및 PFET 모두 거의 같은 레벨의 레이즈드 소스/드레인 구조를 가진다. 따라서, 후속 공정인 콘택 오픈과 RIE 단계에서 보다 큰 공정 마진을 제공한다.
NFET 소스/드레인 에피텍셜 Si층(79)의 일부 및 보다 바람직하게는 전체 PFET 소스/드레인 에피텍셜 Si층(75)은 후속 샐리사이드 단계에서 없어질 것이다. NFET 소스/드레인 에피텍셜 Si층(79) 및 PFET 소스/드레인 에피텍셜 Si층(75)은 후속 샐리사이드 공정에서 얇아지거나 없어져서 NFET 소스/드레인 및 PFET 소스/드레인 정션을 줄이거나 없앤다.
NFET 소스/드레인 에피텍셜 Si층(79) 및 PFET 소스/드레인 에피텍셜 Si층(75)은 레이즈드 소스/드레인 구조가 형성되는 것을 돕는다. 그래서, 외부 저항 및 소스/드레인 면저항을 줄여, NFET과 PFET의 소자 특성을 향상시킨다. 후속 어닐 공정 동안 임베디드 소스/드레인 스트레서 영역(74)에서부터 붕소(B)가 외부확산(outdiffusing)되어 PFET 소스/드레인 에피텍셜 Si층(75)에 도핑된다.
임베디드 소스/드레인 스트레서(74) 상의 PFET 소스/드레인 에피택셜 Si 층(Si 캡층)(75)은 후속 샐리사이드 단계에서 없어질 것이므로, PFET 임베디드 소스/드레인 스트레서(74)를 위한 희생막으로 행동함으로써, 안정적인 저저항 샐리사이드를 형성할 수 있다. SiGe는 보통 일반적인 금속 물질(예를 들어, Co, Ti, Ni 또는 NiPt)만으로는 저저항 실리사이드를 형성할 수 없으며, 및/또는 형성된 실리사이드는 좋지 않은(poor) 표면 및 계면 거칠기(roughness)를 형성하기 때문이다. 이것이 PFET 임베디드 스트레서 소스/드레인(74) 상의 Si 캡층(75)을 형성하는 이점이다.
E. PFET 캡층 및 NFET 캡층을 제거하고, (리듀스드) 제2 스페이서를 형성한다.
리듀스드 제2 스페이서를 형성하는 방법은 적어도 두가지 경우가 있다.
첫번째 경우는, PFET 및 NFET 캡층(38, 40)을 제거하고, 제2 PFET 스페이서(52) 및 제2 NFET 스페이서(50)를 제거하는 것이다. 그러면, (리듀스드) 제2 스페이서를 형성할 수 있다.
도 6을 참조하면, PFET 캡층(38) 및 NFET 캡층(40)을 식각 및 제거하고, 제2 PFET 스페이서(52) 및 제2 NFET 스페이서(50)를 제거한다. 식각은 바람직하게는 질화막 습식 식각이다. 습식 식각은 고온 인산 식각을 포함할 수 있다. 바람직하게는 제2 PFET 스페이서(52) 및 제2 NFET 스페이서(50)는 완전히 제거된다.
그러면, 리듀스드 제2 PFET 스페이서(53) 및 리듀스드 제2 NFET 스페이서(51)가 형성된다. 리듀스드 제2 PFET 스페이서(53) 및 리듀스드 제2 NFET 스페이서(51)는 바람직하게는 질화막을 포함한다.
스페이서가 리듀스드라고 불리는 이유는 오리지날 제2 스페이서와 비교하여 높이와 너비가 줄어들기 때문이다. 리듀스드 스페이서는 후속 공정에서 상부에 형성된 스트레스 라이너로부터 스트레스가 채널에 전달되도록 하는 이점이 있다. 제2 리듀스드 스페이서는 오리지날 제2 스페이서와 비교하여 높이 및/또는 너비가 약 30-70% 작아질 수 있다.
두번째 경우는, PFET 및 NFET 캡층(38, 40)을 식각 및 제거하고, (리듀스드) 제2 스페이서(51, 53)를 형성하기 위해서, 제2 PFET 스페이서(52) 및 제2 NFET 스페이서(50)의 탑(top) 부분만 제거할 수 있다.
F. NFET 소스/드레인을 형성한다.
도 7을 참조하면, PFET 영역(14) 상에 PFET 마스크(83)를 형성한다. 역시 도 7을 참조하면, NFET 영역(16)에 N형 이온을 주입(61)하여, NFET 소스/드레인(60)을 형성한다. 이어서, 도 8에 도시된 바와 같이, PFET 마스크(83)를 제거한다.
G. 최종 정션을 형성하기 위하여 어닐 공정을 수행한다.
최종 정션을 형성하기 위하여 어닐 공정을 수행한다.
PFET 소스/드레인 에피텍셜 Si층(75)은 임베디드 소스/드레인 영역(74)에서부터의 불순물(붕소)의 외부확산에 의해 도핑된다.
어닐 공정은 바람직하게는 약 900-1350℃의 온도에서 약 10 마이크로초(μs) -5초(s)동안 수행된다. 어닐 공정은 바람직하게는 스파이크 어닐(spike anneal) 공정일 수 있다.
임베디드 소스/드레인 영역(74)에서부터 기판으로 P형 도펀트가 확산되어 PFET 소스/드레인 정션(74A)을 형성한다.
H. 실리사이드 공정
도 9에 도시된 바와 같이, 게이트와 소스/드레인 영역 상에 실리사이드가 형성될 수 있다. NFET 상에는, NFET 게이트(36) 및 NFET 레이즈드 소스/드레인 영역(79) 상에 게이트 실리사이드층(84) 및 레이즈드 소스/드레인 실리사이드층(86)을 형성한다. PFET 상에는, PFET 게이트(34) 및 스트레서 영역(74)(및 실리사이드 공정에 의해 전부 제거되지 않았다면 Si 에피텍셜층(75)도 포함) 상에 게이트 실리사이드층(88) 및 소스/드레인 실리사이드층(90)을 형성한다.
실리사이드를 형성하기 위해서, 우선 Ni, Pt, NiPt, NiPd 또는 Co, 또는 그들의 결합, 가장 바람직하게는 NiPt, 등의 금속을 기판 표면, 즉 NFET 영역(16) 및 PFET 영역(14)에 증착한다. 그리고, 금속을 금속 실리사이드로 변화시키기 위하여 기판에 어닐 공정을 수행한다. 선택적인 금속 식각 공정(바람직하게는 습식 식각)으로 반응하지 않은 금속층을 제거한다. 이 단계 후에, 낮은 면저항 값을 가지는 요구되는 실리사이드 위상(phases)의 금속 실리사이드를 형성하기 위하여 선택적으로 열처리를 수행할 수 있다.
I. 부가 공정
기판 및 FET 소자 상에 스트레스 라이너(예를 들어, SiN)(92)의 형성(도 10 참조)과 같은 후속 공정을 계속 진행할 수 있다. 스트레스 라이너는 PFET 채널 상에 압축 스트레스를 형성할 수 있다. PFET 게이트 상의 리듀스드 너비의 PFET 스페이서(53)는 PFET 채널에 압축 스트레스를 증가시킬 수 있다.
또한, 인터레벨 절연막, 콘택 및 오버라잉(overlying) 절연막, 인터콘택을 형성할 수 있다.
J. 예시적인 실시예들은 제한되지 않는다.
이상 첨부된 도면을 참고하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
상기한 바와 같은 본 발명에 따르면 임베디드 소스/드레인 영역을 가지는 트랜지스터와 레이즈드 소스/드레인 영역을 가지는 트랜지스터의 구조 및 제조 방법을 제공할 수 있다

Claims (15)

  1. a) 기판의 NFET 영역 상에 NFET 게이트 구조를 제공하고 PFET 영역 상에 PFET 게이트 구조를 제공하고
    b) 상기 NFET 게이트에 인접하여 NFET SDE 영역(Source/Drain Extension; 소스/드레인 확장 영역)을 제공하고, 상기 PFET 게이트에 인접하여 PFET SDE 영역을 제공하고,
    c) 상기 PFET 게이트 구조에 포함된 PFET 제2 스페이서에 인접한 기판의 상기 PFET 영역에 리세스를 형성하고,
    d) 상기 리세스 내에 PFET 임베디드(embedded) 소스/드레인 스트레서를 형성하고,
    e) 상기 NFET SDE 영역 상에 NFET 소스/드레인 에피텍셜 Si층을 형성하고, 상기 PFET 임베디드 소스/드레인 스트레서 상에 PFET 소스/드레인 에피텍셜 Si층을 형성하고,
    f) 상기 NFET 게이트 구조에 인접한 NFET 영역 및 상기 NFET 소스/드레인 에피텍셜 Si층에 N형 이온을 주입하는 NFET 소스/드레인 이온주입을 수행하여 레이즈드(raised) NFET 소스/드레인을 형성하는 것을 포함하는 반도체 소자의 제조 방법.
  2. 제 1항에 있어서,
    상기 NFET 게이트 구조는 NFET 게이트 절연막, NFET 게이트, 질화막을 포함하는 NFET 게이트 캡층, NFET 제1 스페이서 및 NFET 제2 스페이서를 포함하고,
    상기 PFET 게이트 구조는 PNFET 게이트 절연막, PFET 게이트, 질화막을 포함하는 PFET 게이트 캡층, PFET 제1 스페이서 및 PFET 제2 스페이서를 포함하고,
    상기 PFET 영역 및 상기 NFET 영역 사이에 소자 분리 영역이 제공되는 반도체 소자의 제조 방법.
  3. 제 1항에 있어서,
    리세스를 형성하는 것은
    NFET 영역 상에 절연층을 형성하고,
    PFET 영역 상에 리세스를 형성하고,
    상기 절연층을 제거하는 것을 더 포함하는 반도체 소자의 제조 방법.
  4. 제 1항에 있어서,
    상기 NFET 소스/드레인 에피텍셜 Si층의 두께는 PFET 소스/드레인 에피텍셜 Si층의 두께보다 5-20% 더 두꺼운 반도체 소자의 제조 방법.
  5. 제 2항에 있어서,
    상기 NFET 소스/드레인 에피텍셜 Si층을 형성한 후에,
    PFET 캡층 및 NFET 캡층을 식각 및 제거하고, 상기 제2 PFET 스페이서 및 상기 제2 NFET 스페이서를 적어도 일부 제거하여, 리듀스드 제2 PFET 스페이서 및 리듀스드 제2 NFET 스페이서를 형성하는 것을 더 포함하는 반도체 소자의 제조 방법.
  6. 제 1항에 있어서,
    상기 NFET 소스/드레인 이온 주입은,
    PFET 영역 상에 PFET 마스크를 형성하고,
    상기 NFET 게이트 구조에 인접한 NFET 영역 및 NFET 소스/드레인 에피텍셜 Si층에 N형 이온을 주입하여 레이즈드 NFET 소스/드레인을 형성하고,
    상기 PFET 마스크를 제거하는 것에 의해 수행되는 반도체 소자의 제조 방법.
  7. 제 1항에 있어서,
    상기 NFET SDE 영역 및 상기 PFET 임베디드 소스/드레인 스트레서 상에 실리사이드 영역을 형성하는 것을 더 포함하는 반도체 소자의 제조 방법.
  8. 제 1항에 있어서,
    상기 NFET SDE 영역 및 상기 PFET 임베디드 소스/드레인 스트레서 상에 실리사이드 영역을 형성하고,
    상기 기판, NFET 게이트 구조 및 PFET 게이트 구조 상에 스트레서막을 형성하는 것을 더 포함하는 반도체 소자의 제조 방법.
  9. 제 1항에 있어서,
    상기 PFET 임베디드 소스/드레인 스트레서를 형성하는 것은 붕소를 인시츄(in-situ)로 도핑하는 SiGe 에피텍시 공정을 사용하는 반도체 소자의 제조 방법.
  10. a) 기판의 NFET 영역 상의 NFET 게이트 구조 및 PFET 영역 상의 PFET 게이트 구조를 제공하고,
    (1) 상기 NFET 게이트 구조는 NFET 게이트 절연막, NFET 게이트, NFET 게이트 캡층, NFET 제1 스페이서, NFET 제2 스페이서를 포함하고,
    (2) 상기 PFET 게이트 구조는 PFET 게이트 절연막, PFET 게이트, PFET 게이트 캡층, PFET 제1 스페이서 및 PFET 제2 스페이서를 포함하고,
    b) 상기 NFET 게이트에 인접하여 NFET SDE 영역을 제공하고, 상기 PFET 게이트에 인접하여 PFET SDE 영역을 제공하고,
    c) 상기 PFET 제2 스페이서에 인접한 기판의 상기 PFET 영역에 리세스를 형성하고,
    d) 붕소가 인시츄로 도핑되는 SiGe 에피텍시 공정을 사용하여 PFET 임베디드 소스/드레인 스트레서를 형성하고,
    e) 상기 NFET SDE 영역 상에 NFET 소스/드레인 에피텍셜 Si층을 형성하고, 상기 PFET 임베디드 소스/드레인 스트레서 상에 PFET 소스/드레인 에피텍셜 Si층을 형성하고,
    (1) 상기 NFET 소스/드레인 에피텍셜 Si층의 두께는 상기 PFET 소스/드레인 에피텍셜 Si층의 두께보다 더 두껍고,
    f) 상기 PFET 게이트 캡층 및 상기 NFET 게이트 캡층을 식각 및 제거하고, 상기 제2 PFET 스페이서 및 상기 제2 NFET 스페이서를 적어도 일부 제거하고,
    g) 리듀스드 제2 PFET 스페이서 및 리듀스드 제2 NFET 스페이서를 형성하고,
    h) 상기 NFET 게이트 구조에 인접한 NFET 영역 및 상기 NFET 소스/드레인 에피텍셜 Si층 내에 N형 이온을 주입하는 NFET 소스/드레인 이온주입을 수행하여 NFET 레이즈드 소스/드레인을 형성하고,
    i) NFET 레이즈드 소스/드레인 영역 및 PFET 임베디드 소스/드레인 스트레서 상에 실리사이드 영역을 형성하는 것을 포함하는 반도체 소자의 제조 방법.
  11. 제 10항에 있어서,
    상기 기판, NFET 게이트 구조 및 PFET 게이트 구조 상에 스트레서막을 형성하는 것을 더 포함하는 반도체 소자의 제조 방법.
  12. a) 기판의 NFET 영역 상의 NFET 게이트 구조 및 기판의 PFET 영역 상의 PFET 게이트 구조;
    b) 상기 NFET 게이트에 인접한 상기 NFET 영역의 NFET SDE 영역 및 상기 PFET 게이트에 인접한 PFET SDE 영역;
    c)상기 PFET 게이트 구조에 인접한 상기 기판의 상기 PFET 영역 내의 리세스;
    d) 상기 리세스 내에 형성되며 도핑된 SiGe를 포함하는 PFET 임베디드 소스/드레인 스트레서;
    e) 상기 NFET SDE 영역 상의 NFET 소스/드레인 에피텍셜 Si층;
    f) 상기 NFET 영역의 상기 NFET 게이트 구조에 인접하고 상기 NFET SDE 영역 내로 확장된 NFET 소스/드레인 영역을 포함하며, 레이즈드 NFET 소스/드레인은 NFET 소스/드레인 영역 및 NFET 소스/드레인 에피텍셜 Si층을 포함하는 반도체 소자.
  13. 제 12항에 있어서,
    NFET 레이즈드 소스/드레인 영역 및 PFET 임베디드 소스/드레인 스트레서 상에 형성된 실리사이드 영역을 더 포함하는 반도체 소자.
  14. 제 12항에 있어서,
    NFET 레이즈드 소스/드레인 영역 및 PFET 임베디드 소스/드레인 스트레서 상에 형성된 실리사이드 영역; 및
    상기 기판, NFET 게이트 구조 및 PFET 게이트 구조 상에 형성된 스트레서막을 더 포함하는 반도체 소자.
  15. 제 12항에 있어서,
    상기 NFET 게이트 구조는 NFET 게이트 절연막, NFET 게이트, NFET 제1 스페이서 및 NFET 제1 스페이서 상의 리듀스드 제2 NFET 스페이서를 포함하고,
    상기 PFET 게이트 구조는 PFET 게이트 절연막, PFET 게이트, PFET 제1 스페이서 및 PFET 제1 스페이서 상의 리듀스드 제2 PFET 스페이서를 포함하는 반도체 소자.
KR1020060066004A 2005-12-16 2006-07-13 PFET에는 임베디드 SiGe, NFET에는 레이즈드소스/드레인 구조 형성 KR100781541B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/305,584 US7718500B2 (en) 2005-12-16 2005-12-16 Formation of raised source/drain structures in NFET with embedded SiGe in PFET
US11/305,584 2005-12-16

Publications (2)

Publication Number Publication Date
KR20070064231A KR20070064231A (ko) 2007-06-20
KR100781541B1 true KR100781541B1 (ko) 2007-12-03

Family

ID=38172475

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060066004A KR100781541B1 (ko) 2005-12-16 2006-07-13 PFET에는 임베디드 SiGe, NFET에는 레이즈드소스/드레인 구조 형성

Country Status (3)

Country Link
US (2) US7718500B2 (ko)
KR (1) KR100781541B1 (ko)
SG (3) SG133479A1 (ko)

Families Citing this family (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1941296A (zh) * 2005-09-28 2007-04-04 中芯国际集成电路制造(上海)有限公司 应变硅cmos晶体管的原位掺杂硅锗与碳化硅源漏极区
CN100442476C (zh) 2005-09-29 2008-12-10 中芯国际集成电路制造(上海)有限公司 用于cmos技术的应变感应迁移率增强纳米器件及工艺
US7718500B2 (en) * 2005-12-16 2010-05-18 Chartered Semiconductor Manufacturing, Ltd Formation of raised source/drain structures in NFET with embedded SiGe in PFET
US7811913B2 (en) * 2005-12-19 2010-10-12 Sharp Laboratories Of America, Inc. Method of fabricating a low, dark-current germanium-on-silicon pin photo detector
US20070184600A1 (en) * 2006-02-06 2007-08-09 Freescale Semiconductor Stressed-channel CMOS transistors
US7446026B2 (en) * 2006-02-08 2008-11-04 Freescale Semiconductor, Inc. Method of forming a CMOS device with stressor source/drain regions
US7691698B2 (en) * 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
US7442619B2 (en) * 2006-05-18 2008-10-28 International Business Machines Corporation Method of forming substantially L-shaped silicide contact for a semiconductor device
US7439120B2 (en) * 2006-08-11 2008-10-21 Advanced Micro Devices, Inc. Method for fabricating stress enhanced MOS circuits
US7416931B2 (en) * 2006-08-22 2008-08-26 Advanced Micro Devices, Inc. Methods for fabricating a stress enhanced MOS circuit
US7442601B2 (en) * 2006-09-18 2008-10-28 Advanced Micro Devices, Inc. Stress enhanced CMOS circuits and methods for their fabrication
JP5114919B2 (ja) * 2006-10-26 2013-01-09 富士通セミコンダクター株式会社 半導体装置とその製造方法
US8008157B2 (en) * 2006-10-27 2011-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device with raised source and drain regions
US8569837B2 (en) * 2007-05-07 2013-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices having elevated source/drain regions
KR100844933B1 (ko) 2007-06-26 2008-07-09 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 제조 방법
CN101364545B (zh) * 2007-08-10 2010-12-22 中芯国际集成电路制造(上海)有限公司 应变硅晶体管的锗硅和多晶硅栅极结构
US7781799B2 (en) * 2007-10-24 2010-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain strained layers
KR101197464B1 (ko) 2007-12-26 2012-11-09 삼성전자주식회사 반도체 장치의 제조 방법
US7998835B2 (en) * 2008-01-15 2011-08-16 Globalfoundries Singapore Pte. Ltd. Strain-direct-on-insulator (SDOI) substrate and method of forming
US20090184341A1 (en) * 2008-01-17 2009-07-23 Chartered Semiconductor Manufacturing, Ltd. Elimination of STI recess and facet growth in embedded silicon-germanium (eSiGe) module
US20090186475A1 (en) * 2008-01-21 2009-07-23 Shyh-Fann Ting Method of manufacturing a MOS transistor
US8122394B2 (en) * 2008-09-17 2012-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Performance-aware logic operations for generating masks
DE102008030854B4 (de) * 2008-06-30 2014-03-20 Advanced Micro Devices, Inc. MOS-Transistoren mit abgesenkten Drain- und Source-Bereichen und nicht-konformen Metallsilizidgebieten und Verfahren zum Herstellen der Transistoren
DE102008054075B4 (de) * 2008-10-31 2010-09-23 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit Abgesenktem Drain- und Sourcebereich in Verbindung mit einem Verfahren zur komplexen Silizidherstellung in Transistoren
US8003467B2 (en) * 2008-11-03 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a semiconductor device having metal gate stacks
KR101561059B1 (ko) * 2008-11-20 2015-10-16 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8957482B2 (en) 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
DE102009015715B4 (de) * 2009-03-31 2011-03-17 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren zur Herstellung eines Transistorbauelements mit Bewahren der Integrität eines Gatestapel mit großem ε durch einen Versatzabstandshalter, der zum Bestimmen eines Abstands einer verformungsinduzierenden Halbleiterlegierung verwendet wird, und Transistorbauelement
US8912602B2 (en) 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8264032B2 (en) 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8106456B2 (en) * 2009-07-29 2012-01-31 International Business Machines Corporation SOI transistors having an embedded extension region to improve extension resistance and channel strain characteristics
US8048765B2 (en) * 2009-08-28 2011-11-01 Broadcom Corporation Method for fabricating a MOS transistor with source/well heterojunction and related structure
US20110049582A1 (en) * 2009-09-03 2011-03-03 International Business Machines Corporation Asymmetric source and drain stressor regions
CN102024761A (zh) * 2009-09-18 2011-04-20 中芯国际集成电路制造(上海)有限公司 用于形成半导体集成电路器件的方法
US8404538B2 (en) * 2009-10-02 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Device with self aligned stressor and method of making same
US8035141B2 (en) * 2009-10-28 2011-10-11 International Business Machines Corporation Bi-layer nFET embedded stressor element and integration to enhance drive current
US20110127614A1 (en) * 2009-11-30 2011-06-02 Thilo Scheiper Reducing the series resistance in sophisticated transistors by embedding metal silicide contact regions reliably into highly doped semiconductor material
JP5452211B2 (ja) * 2009-12-21 2014-03-26 ルネサスエレクトロニクス株式会社 半導体装置、および、半導体装置の製造方法
DE102009055435B4 (de) * 2009-12-31 2017-11-09 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verstärkter Einschluss von Metallgateelektrodenstrukturen mit großem ε durch Verringern der Materialerosion einer dielektrischen Deckschicht beim Erzeugen einer verformungsinduzierenden Halbleiterlegierung
US8765532B2 (en) * 2010-01-11 2014-07-01 International Business Machines Corporation Fabrication of field effect devices using spacers
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
JP2011181686A (ja) * 2010-03-01 2011-09-15 Renesas Electronics Corp 半導体装置及びその製造方法
US8236660B2 (en) 2010-04-21 2012-08-07 International Business Machines Corporation Monolayer dopant embedded stressor for advanced CMOS
US8426278B2 (en) 2010-06-09 2013-04-23 GlobalFoundries, Inc. Semiconductor devices having stressor regions and related fabrication methods
US8299535B2 (en) 2010-06-25 2012-10-30 International Business Machines Corporation Delta monolayer dopants epitaxy for embedded source/drain silicide
CN102376769B (zh) * 2010-08-18 2013-06-26 中国科学院微电子研究所 超薄体晶体管及其制作方法
US8928094B2 (en) 2010-09-03 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Strained asymmetric source/drain
KR101776926B1 (ko) * 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
KR101796116B1 (ko) 2010-10-20 2017-11-10 삼성전자 주식회사 반도체 장치, 이를 포함하는 메모리 모듈, 메모리 시스템 및 그 동작방법
US9048181B2 (en) 2010-11-08 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
DE102010063298B4 (de) * 2010-12-16 2012-08-16 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Strukturierung eines verspannten dielektrischen Materials in einer Kontaktebene ohne Verwendung einer verbleibenden Ätzstoppschicht
DE102010063296B4 (de) * 2010-12-16 2012-08-16 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Herstellungsverfahren mit reduzierter STI-Topograpie für Halbleiterbauelemente mit einer Kanalhalbleiterlegierung
US8877602B2 (en) 2011-01-25 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of doping oxide for forming shallow trench isolation
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US9269634B2 (en) * 2011-05-16 2016-02-23 Globalfoundries Inc. Self-aligned metal gate CMOS with metal base layer and dummy gate structure
US9087741B2 (en) 2011-07-11 2015-07-21 International Business Machines Corporation CMOS with dual raised source and drain for NMOS and PMOS
US9012286B2 (en) * 2012-04-12 2015-04-21 Globalfoundries Inc. Methods of forming FinFET semiconductor devices so as to tune the threshold voltage of such devices
JP5927017B2 (ja) * 2012-04-20 2016-05-25 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
US8962433B2 (en) 2012-06-12 2015-02-24 United Microelectronics Corp. MOS transistor process
US8987093B2 (en) 2012-09-20 2015-03-24 International Business Machines Corporation Multigate finFETs with epitaxially-grown merged source/drains
JP6094159B2 (ja) * 2012-11-13 2017-03-15 三菱電機株式会社 半導体装置の製造方法
US20140183663A1 (en) * 2012-12-28 2014-07-03 Texas Instruments Incorporated Raised Source/Drain MOS Transistor and Method of Forming the Transistor with an Implant Spacer and an Epitaxial Spacer
KR20140108960A (ko) 2013-03-04 2014-09-15 삼성전자주식회사 듀얼 금속 실리사이드층을 갖는 반도체 장치의 제조 방법
CN104103590B (zh) * 2013-04-15 2017-05-17 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法
US8841189B1 (en) 2013-06-14 2014-09-23 International Business Machines Corporation Transistor having all-around source/drain metal contact channel stressor and method to fabricate same
CN103337452A (zh) * 2013-06-26 2013-10-02 上海华力微电子有限公司 在硅锗层上形成镍自对准硅化物的工艺方法
US9245955B2 (en) 2013-06-28 2016-01-26 Stmicroelectronics, Inc. Embedded shape SiGe for strained channel transistors
US9276113B2 (en) 2014-03-10 2016-03-01 International Business Corporation Structure and method to make strained FinFET with improved junction capacitance and low leakage
US9184179B2 (en) 2014-03-21 2015-11-10 International Business Machines Corporation Thin channel-on-insulator MOSFET device with n+ epitaxy substrate and embedded stressor
US9362128B2 (en) 2014-04-22 2016-06-07 Globalfoundries Singapore Pte. Ltd. Methods for fabricating integrated circuits and components thereof
US10084063B2 (en) * 2014-06-23 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
KR102155327B1 (ko) 2014-07-07 2020-09-11 삼성전자주식회사 전계 효과 트랜지스터 및 그 제조 방법
US9502418B2 (en) * 2014-10-02 2016-11-22 International Business Machines Corporation Semiconductor devices with sidewall spacers of equal thickness
US9324623B1 (en) 2014-11-26 2016-04-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device having active fins
US9607989B2 (en) * 2014-12-04 2017-03-28 Globalfoundries Inc. Forming self-aligned NiSi placement with improved performance and yield
US9508718B2 (en) * 2014-12-29 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET contact structure and method for forming the same
US9484255B1 (en) 2015-11-03 2016-11-01 International Business Machines Corporation Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
US9972682B2 (en) 2016-01-22 2018-05-15 International Business Machines Corporation Low resistance source drain contact formation
KR102607278B1 (ko) 2016-04-28 2023-11-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US10269714B2 (en) 2016-09-06 2019-04-23 International Business Machines Corporation Low resistance contacts including intermetallic alloy of nickel, platinum, titanium, aluminum and type IV semiconductor elements
US10332750B2 (en) 2017-11-22 2019-06-25 United Microelectronics Corp. Method for fabricating semiconductor device with strained silicon structure
US10861753B2 (en) 2018-10-30 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Air gap formation between gate spacer and epitaxy structure
US11239363B2 (en) * 2019-01-08 2022-02-01 Samsung Electronics Co., Ltd. Semiconductor devices
US11031502B2 (en) 2019-01-08 2021-06-08 Samsung Electronics Co., Ltd. Semiconductor devices
US11764225B2 (en) 2021-06-10 2023-09-19 Globalfoundries U.S. Inc. Field effect transistor with shallow trench isolation features within source/drain regions

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6159815A (en) 1996-09-27 2000-12-12 Siemens Aktiengesellschaft Method of producing a MOS transistor
JP2001284468A (ja) 2000-03-30 2001-10-12 Toshiba Corp 半導体装置およびその製造方法
US6906360B2 (en) 2003-09-10 2005-06-14 International Business Machines Corporation Structure and method of making strained channel CMOS transistors having lattice-mismatched epitaxial extension and source and drain regions
KR20050121479A (ko) * 2004-06-22 2005-12-27 삼성전자주식회사 Cmos 트랜지스터의 제조방법 및 그에 의해 제조된cmos 트랜지스터

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5824586A (en) * 1996-10-23 1998-10-20 Advanced Micro Devices, Inc. Method of manufacturing a raised source/drain MOSFET
JP3616514B2 (ja) * 1998-11-17 2005-02-02 株式会社東芝 半導体集積回路及びその製造方法
US6531347B1 (en) 2000-02-08 2003-03-11 Advanced Micro Devices, Inc. Method of making recessed source drains to reduce fringing capacitance
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
JP3506694B1 (ja) 2002-09-02 2004-03-15 沖電気工業株式会社 Mosfetデバイス及びその製造方法
US20040262683A1 (en) * 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US7112495B2 (en) 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
DE10351008B4 (de) * 2003-10-31 2008-07-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Transistoren mit erhöhten Drain- und Sourcegebieten mit unterschiedlicher Höhe sowie ein Halbleiterbauelement
US7176522B2 (en) * 2003-11-25 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacturing thereof
US6881635B1 (en) 2004-03-23 2005-04-19 International Business Machines Corporation Strained silicon NMOS devices with embedded source/drain
JP4375619B2 (ja) * 2004-05-26 2009-12-02 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US7348248B2 (en) * 2005-07-12 2008-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS transistor with high drive current and low sheet resistance
US7718500B2 (en) * 2005-12-16 2010-05-18 Chartered Semiconductor Manufacturing, Ltd Formation of raised source/drain structures in NFET with embedded SiGe in PFET

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6159815A (en) 1996-09-27 2000-12-12 Siemens Aktiengesellschaft Method of producing a MOS transistor
JP2001284468A (ja) 2000-03-30 2001-10-12 Toshiba Corp 半導体装置およびその製造方法
US6906360B2 (en) 2003-09-10 2005-06-14 International Business Machines Corporation Structure and method of making strained channel CMOS transistors having lattice-mismatched epitaxial extension and source and drain regions
KR20050121479A (ko) * 2004-06-22 2005-12-27 삼성전자주식회사 Cmos 트랜지스터의 제조방법 및 그에 의해 제조된cmos 트랜지스터

Also Published As

Publication number Publication date
US20070138570A1 (en) 2007-06-21
KR20070064231A (ko) 2007-06-20
US7718500B2 (en) 2010-05-18
SG170782A1 (en) 2011-05-30
SG133479A1 (en) 2007-07-30
US20100219485A1 (en) 2010-09-02
US8288825B2 (en) 2012-10-16
SG153817A1 (en) 2009-07-29

Similar Documents

Publication Publication Date Title
KR100781541B1 (ko) PFET에는 임베디드 SiGe, NFET에는 레이즈드소스/드레인 구조 형성
KR100810012B1 (ko) 격자 부정합 에피택셜 확장부와 소스 및 드레인 영역을갖는 변형 채널 cmos 트랜지스터의 구조 및 그 제조방법
US7786518B2 (en) Growth of unfaceted SiGe in MOS transistor fabrication
US6492216B1 (en) Method of forming a transistor with a strained channel
US8912567B2 (en) Strained channel transistor and method of fabrication thereof
US7397091B2 (en) SiGe nickel barrier structure employed in a CMOS device to prevent excess diffusion of nickel used in the silicide material
US6953972B2 (en) Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US7372099B2 (en) Semiconductor device and its manufacturing method
US7172933B2 (en) Recessed polysilicon gate structure for a strained silicon MOSFET device
US7122435B2 (en) Methods, systems and structures for forming improved transistors
US7164163B2 (en) Strained transistor with hybrid-strain inducing layer
US7898028B2 (en) Process for fabricating a strained channel MOSFET device
US20090184341A1 (en) Elimination of STI recess and facet growth in embedded silicon-germanium (eSiGe) module
WO2006093990A1 (en) Activated carbon selective epitaxial process
US7550356B2 (en) Method of fabricating strained-silicon transistors
JP2008263114A (ja) 半導体装置の製造方法および半導体装置
US7951662B2 (en) Method of fabricating strained silicon transistor
US20080070360A1 (en) Method and structure for forming silicide contacts on embedded silicon germanium regions of cmos devices
US20080293192A1 (en) Semiconductor device with stressors and methods thereof
JP2007073695A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121031

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131031

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20141031

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20151030

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20161028

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20171027

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20181031

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20191031

Year of fee payment: 13