KR100756083B1 - 레티클 보호용 제거 가능한 덮개, 이를 포함하는 장치 및 이를 사용하는 방법 - Google Patents

레티클 보호용 제거 가능한 덮개, 이를 포함하는 장치 및 이를 사용하는 방법 Download PDF

Info

Publication number
KR100756083B1
KR100756083B1 KR1020027004501A KR20027004501A KR100756083B1 KR 100756083 B1 KR100756083 B1 KR 100756083B1 KR 1020027004501 A KR1020027004501 A KR 1020027004501A KR 20027004501 A KR20027004501 A KR 20027004501A KR 100756083 B1 KR100756083 B1 KR 100756083B1
Authority
KR
South Korea
Prior art keywords
reticle
removable
removable cover
removable lid
lid
Prior art date
Application number
KR1020027004501A
Other languages
English (en)
Other versions
KR20020092918A (ko
Inventor
캐티에릭비.
헐트데이비드
델푸에르토산티아고
로욱스스티븐
Original Assignee
에이에스엠엘 유에스, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 유에스, 인크. filed Critical 에이에스엠엘 유에스, 인크.
Publication of KR20020092918A publication Critical patent/KR20020092918A/ko
Application granted granted Critical
Publication of KR100756083B1 publication Critical patent/KR100756083B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • G03F1/64Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof characterised by the frames, e.g. structure or material, including bonding means therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67359Closed carriers specially adapted for containing masks, reticles or pellicles
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/66Containers specially adapted for masks, mask blanks or pellicles; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/70741Handling masks outside exposure position, e.g. reticle libraries
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67369Closed carriers characterised by shock absorbing elements, e.g. retainers or cushions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Library & Information Science (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

리소그래피 시스템에서 사용되는 레티클을 보호하기 위한 제거 가능한 덮개가 기술된다. 제거 가능한 덮개는 프레임과 그 프레임에 의해 지지되는 막을 포함한다. 막은 검사 파장을 통과시키므로, 레티클은 제거 가능한 덮개가 위치한 채로 검사될 수 있다. 제거 가능한 덮개는 제거 가능한 덮개가 위치한 때 레티클을 보호하고, 리소그래픽 노광을 위해 제거 가능하다. 제거 가능한 덮개는 레티클에 힘을 인가하는 적어도 하나의 레티클 체결구를 더 포함하므로, 제거 가능한 덮개가 위치한 때 레티클에 대한 제거 가능한 덮개의 상대적 이동을 방지한다. 복수개의 체결구는 제거 가능한 덮개와 레티클의 위치 선정과 고정에 사용된다. 리소그래피를 수행하는 방법과 리소그래픽 시스템이 또한 기술된다.
리소그래피 시스템, 레티클, 검사 파장, 위치 설정기, 단부 이펙터

Description

레티클 보호용 제거 가능한 덮개, 이를 포함하는 장치 및 이를 사용하는 방법 {Removable Cover for Protecting a Reticle, Apparatus Including and Method of Using the Same}
본 발명은 일반적으로 리소그래피에 관한 것이며, 특히, 박막(pellicle)을 사용하지 않는 리소그래피 레티클의 보호에 관한 것이다.
리소그래피는 기판의 표면 상에 모양(feature)을 형성하기 위해 사용되는 프로세스이다. 이러한 기판은 평판 디스플레이, 회로 기판, 여러 가지 집적 회로 등의 제조에 사용되는 것을 포함한다. 예를 들어 반도체 웨이퍼는 집적 회로를 제조하기 위한 기판으로서 사용될 수 있다.
리소그래피 중에, 기판에 소정의 패턴을 전사하기 위해 레티클이 사용된다. 레티클은 사용되는 리소그래피 파장을 통과시키는 재질(예를 들어 가시광선의 경우에 유리)로 형성된다. 레티클은 그 위에 인쇄된 화상을 갖는다. 레티클의 크기는 사용되는 특정 시스템에 적합하도록 선택된다. 예를 들어, 15 cm(6인치) x 15 cm(6인치)의 그리고 6 mm(1/4인치) 두께의 레티클이 사용될 수 있다. 리소그래피 중에, 웨이퍼 스테이지 상에 배치된 웨이퍼는 레티클 상에 인쇄된 화상에 대응하여 웨이퍼의 표면에 투영된 화상에 노광된다.
투영된 화상은 웨이퍼의 표면 상에 적층된, 예를 들어 포토레지스트 층의 특성을 변화시킨다. 이러한 변화는 노광 중 웨이퍼에 투영된 모양에 대응된다. 노광 후, 상기 층은 패턴 층을 형성하도록 에칭될 수 있다. 이러한 패턴은 노광 중 웨이퍼에 투영된 이들 모양에 대응한다. 그 후, 이러한 패턴 층은 도체, 반도체 또는 절연 층과 같은 웨이퍼 내의 하부 구조 층의 노광된 부분을 제거하도록 사용된다. 그 후, 이러한 프로세스는 소정의 모양이 웨이퍼의 표면에 형성될 때까지 다른 단계와 함께 반복된다.
상기 논의로부터 알 수 있는 바와 같이, 리소그래피에 의해 형성된 모양의 정확한 위치 및 크기는 웨이퍼 상에 투영된 화상의 정밀도 및 정확도에 직접 관련된다.
100 nm 이하의 리소그래피의 정밀함은 리소그래피 공구 자체에 뿐만 아니라 레티클에도 엄격함을 요구한다. 레티클에 정착되는 공기 중의 입자 및 먼지는 웨이퍼 상에 결함을 야기할 수 있다. 레티클 평면에서 작은 화상 왜곡 또는 변위는 중요한 치수를 초과(swamp)하여 에러 예측(error budgets)을 오버레이(overlay)할 수 있다. 종래의 해결책은 레티클용의 박막과 같은 영구 고정 투과성 재질의 박편을 사용하는 것이다. 이러한 박막은 리소그래피 프로세스의 모든 단계 동안에 제 위치에 유지된다. 박막은 웨이퍼 상에 투영된 화상의 정확도를 개선시키는 데 두 가지 역할을 한다. 첫째, 박막은 레티클이 입자 오염물과 직접 접촉하는 것을 방지하는 기능을 한다. 레티클 상에 정착된 입자는 화상 왜곡을 발생시킬 수 있으며, 따라서 반드시 제거되어야 한다. 레티클로부터 입자의 제거는 이러한 제거가 레티클과의 직접 접촉을 포함하기 때문에 레티클에 손상을 야기할 수 있다. 박막이 사용되면, 입자는 레티클이 아니라 박막 상에 정착될 것이다. 따라서, 세척되야 하는 것은 박막이다. 레티클이 아닌 박막의 이러한 세척은 박막 자체의 세척 중 레티클이 보호되기 때문에 레티클의 완전성에 대한 손상을 감소시킨다.
박막의 둘째 역할은 박막의 스탠드오프(standoff)에 관한 것이다. 노광 중 초점 면은 레티클 상에 인쇄되는 화상의 위치에 대응한다. 박막을 포함함으로써, 시스템 내의 임의의 입자는 레티클이 아닌 박막 상에 정착될 것이다. 박막의 두께, 즉 박막의 표면과 레티클의 패턴 표면 사이의 거리에 의해, 이러한 입자는 초점 면에 있지 않을 것이다. 박막이 초점 면으로부터 입자를 상승시키기 때문에, 기판 상에 투영되는 화상이 이러한 입자를 포함할 가능성은 크게 감소된다.
상술된 이러한 해결책은 많은 종래의 리소그래피 처리 기술에서 양호하게 기능한다. 투과성 박막 및 레티클을 제조하는 재질이 사용 가능하기 때문에, 예를 들어 광이 레티클 및 박막 모두를 통과해야 하는 시스템에서 이러한 시스템의 사용은 편리하다.
그러나, 박막 방식은 극자외선(EUV, extreme ultraviolet) 적용예에서 사용하기에는 적절하지 않다. 현재, 박막을 제조하기 위해 사용될 수 있는, EUV를 충분히 통과시키는 재질은 없다. EUV 리소그래피에서, EUV는 레티클을 통과하지 않고, 레티클의 화상 면에서 반사한다. 이러한 기술은 반사 리소그래피로 공지되어 있다. 박막이 반사 리소그래피 프로세스에 사용되면, EUV는 (일단 레티클까지 그리고 레티클로부터 반사된 후의 경로에서) 반드시 두 번 박막을 통과할 것이다. 따라서, 박막과 관련된 임의의 광손실량은 EUV처리 기술에서 실제로 배가된다.
EUV를 충분히 통과시키고 EUV 반사 리소그래피가 수행되는 진공 환경에서 견딜 수 있는 박막 재질은 본 산업계에 개시되어 있지 않다. 따라서, 본 발명은 리소그래피 처리 중에 레티클을 보호하기 위한 제거 가능한 덮개에 대한 것이다.
본 발명의 제거 가능한 덮개는 마찰을 최소화하며, 따라서 입자 발생을 감소시킨다. 본 발명의 제거 가능한 덮개는 대부분의 처리 및 반송 단계 중 레티클에 견고하게 장착되며 노광 단계를 위해 용이하게 제거될 수 있다. 또한, 본 발명의 제거 가능한 덮개는 단순한 단부 이펙터에 의해 유지되어 선반과 레티클 장착부 사이에서 상기 단부 이펙터에 의해 반송되도록 된다. 본 발명의 제거 가능한 덮개는 레티클 장착부와 양립 가능하여 제거 가능한 덮개가 제 위치에 있으면서 레티클이 장착될 수 있다. 따라서, 본 발명의 제거 가능한 덮개는 EUV 리소그래피와 여전히 양립 가능한 종래의 박막보다 우월하다.
본 발명의 양호한 실시예에서, 리소그래피 시스템에서 사용되는 레티클을 보호하는 제거 가능한 덮개는 프레임과 그 프레임에 의해 지지되는 막을 포함한다. 막은 검사 파장을 통과시키므로 레티클은 제거 가능한 덮개를 그대로 두고 검사될 수 있다. 이 제거 가능한 덮개는 제거 가능한 덮개가 위치한 때 레티클을 보호하고 리소그래픽 노광을 위해 제거 가능하다. 덮개가 제거 가능하므로, 막은 리소그래피 프로세스에서 사용되는 노광 파장에 적어도 부분적으로 비투과성인 재료로 형성될 수 있다. 제거 가능한 덮개는 레티클에 힘을 인가하여 제거 가능한 덮개가 위치한 때 레티클에 상대적으로 제거 가능한 덮개가 이동하는 것을 방지하는 적어도 하나의 레티클 체결구를 더 포함할 수 있다.
레티클 체결구는 일 이상의 이중 안정(bi-stable) 체결구일 수 있는데, 그 이중 안정 체결구는 체결된 위치일 때 레티클을 이중 안정 체결구로부터 먼 방향으로 편향시키는 작용을 한다.
또한, 양호한 실시예의 제거 가능한 덮개는 제거 가능한 덮개가 위치한 때 그 제거 가능한 덮개 및 레티클 사이의 가스 유동을 허용하는 필터를 포함한다.
양호한 실시예의 제거 가능한 덮개의 프레임은 복수개의 리지를 포함한다. 리지는 제거 가능한 덮개가 위치한 때 레티클과 접촉하는 작용을 한다. 제거 가능한 덮개가 위치하고 이중 안정 체결구가 체결된 위치에 있을 때, 이중 안정 체결구는 적어도 2개의 복수개의 리지쪽으로 레티클을 편향시킨다.
양호한 실시예의 제거 가능한 덮개는 제거 가능한 덮개가 위치한 때, 레티클을 향하는 측면에 대향한 제거 가능한 덮개의 측면 상에 배치된 위치 설정기를 더 포함한다. 이러한 위치 설정기는 범프 및 멈치로 구성된 그룹에서 선택될 수 있다. 또한, 양호한 실시예에서, 위치 설정기는 제1 세트의 위치 설정기와 선반 사이와, 제거 가능한 덮개가 선반에 배치되는 동안 제2 세트의 위치 설정기와 단부 이펙터 사이가 접촉하도록 한다.
본 발명의 양호한 실시예에 따른 제거 가능한 덮개의 프레임은 프레임의 개구에 대응하여 평면에 수직한 방향으로 연장하는 복수개의 플랜지를 포함하므로, 제거 가능한 덮개가 위치한 때 레티클이 복수개의 플랜지에 의해 부분적으로 측부 모서리에 접한다. 복수개의 플랜지는 프레임과 일체로 형성될 수 있다. 개구는 검사 파장을 통과시키는 막에 의해 덮인다. 적어도 하나의 이중 안정 체결구는 제1 복수개의 플랜지 내에 위치될 수 있다. 제거 가능한 덮개는 제1 플랜지에 인접한 플랜지 상에 배치된 제1 리지와, 제1 플랜지에 대향한 제2 복수개의 플랜지에 인접한 플랜지 상에 배치된 제2 및 제3 리지를 더 포함한다. 제2 및 제3 리지는 제2 플랜지의 내측으로 연장하므로, 제거 가능한 덮개가 위치하고 이중 안정 체결구가 체결된 위치에 있을 때, 레티클은 제2 플랜지의 내측 상의 제2 및 제3 리지 쪽으로 편향된다.
또한, 레티클과, 레티클이 사용되지 않을 때 레티클을 보호하는 제거 가능한 덮개와, 레티클과 제거 가능한 덮개를 지지하는 선반와, 장착부와, 제 위치에 있는 제거 가능한 덮개와 함께 레티클을 선반으로부터 장착부로 이동시키는 단부 이펙터를 포함하는 리소그래픽 시스템이 개시된다.
또한, (a) 제거 가능한 덮개로 덮인 레티클을 장착부로 위치시키는 단계와, (b) 제거 가능한 덮개를 레티클에서 제거하는 단계와, (c) 리소그래픽 노광을 수행하는 단계와, (d) 제거 가능한 덮개를 레티클에 재 위치시키는 단계를 포함하는 리소그래피를 수행하는 방법이 개시된다. 양호한 실시예에서, 상기 방법은 (a) 단계 전에 제거 가능한 덮개로 덮인 레티클을 선반에서 장착부로 이동시키는 단계를 더 포함한다. 양호한 방법은 (d) 단계 이후에 제거 가능한 덮개로 덮인 레티클을 선반으로 복귀시키는 단계를 더 포함한다. 제거 가능한 덮개가 위치한 동안 레티클을 검사하는 단계는 제거 가능한 덮개의 막이 검사 파장을 통과시키기에 수행될 수 있다. 또한, 개시된 방법은 제거 가능한 덮개 상의 적어도 하나의 이중 안정 체결구를 비체결시켜서 노광 전에 제거 가능한 덮개로부터 레티클을 해제하는 단계와, 제거 가능한 덮개 상의 적어도 하나의 이중 안정 체결구를 체결시켜 노광 후에 제거 가능한 덮개로 레티클을 고정하는 단계를 포함할 수 있다.
본 발명은 첨부된 도면을 참조하여 기술된다. 도면에서, 유사한 도면 번호는 동일한 요소 또는 기능적으로 비슷한 요소를 가리킨다. 또한, 도면 번호의 맨왼쪽 아라비아 숫자는 그 도면 번호가 처음으로 나온 도면과 같다.
도1a는 본 발명의 제거 가능한 덮개의 평면도이다.
도1b는 레티클 상에 위치한 본 발명의 제거 가능한 덮개의 평면도이다.
도2는 본 발명에 따른 이중 안정 체결구와 관련 구동기의 상세도를 도시한다.
도3a 및 도3b는 본 발명의 제거 가능한 덮개와 함께 사용된 레티클의 개략도이다.
도4는 레티클 상에 위치한 본 발명의 제거 가능한 덮개의 저면도이다.
도5는 레티클 상에 위치하고 선반에 의해 지지된 본 발명의 제거 가능한 덮개의 저면도이며, 단부 이펙터가 또한 도시된다.
도6은 단부 이펙터에 의해 선반으로부터 (또는 선반으로) 이동 중인 레티클 상에 위치한 본 발명의 제거 가능한 덮개를 도시한다.
도7은 본 발명에 따른 레티클, 선반 및 단부 이펙터와 함께 본 발명의 제거 가능한 덮개 사이의 관계를 도시하는 저면도이다.
도8은 본 발명에 따른 단부 이펙터, 제거 가능한 덮개 및 레티클과 함께 광 전달 센서를 도시한다.
도9는 본 발명에 따른 제거 가능한 덮개 및 레티클과 함께 장착부를 도시하는 측면도이다.
도10a는 본 발명에 따른 제1 방법의 프로세스 흐름도이다.
도10b는 본 발명에 따른 제2 방법의 프로세스 흐름도이다.
도11은 EUV 프로세스의 높은 진공 시스템 내에서 사용되는 레티클의 오염/세척 사이클을 묘사하는 그래프이다.
리소그래피에서는 전통적으로 레티클의 패턴화된 영역을 미립자 오염으로부터 보호하기 위해서 박막에 의존해왔다. 현재 상황에서는, 극자외선 광을 통과시키는 박막 재료의 부재는 이러한 접근을 배제하게 한다. 대안으로서, 노광과 세척을 위해 제거되는 레티클 덮개가 제안된다.
리소그래피 시스템은 청결한 환경에서 작동하는 동안, 가공 중 입자가 생성된다. 이러한 입자는 레티클을 오염시킬 수 있다. 레티클은, 레티클 상의 입자 수준이 허용 한도 이하에서 유지되도록 주기적으로 세척된다. 따라서, 리소그래피 시스템 내의 입자 생성원을 고찰하는 것이 필요하다. 일반적으로, 입자는 입자가 없다면 청결한 시스템 내에서 마찰의 결과로서 생성된다. 종래의 시스템에서, 입자는 레티클이 어느 위치에서 다른 위치로 이동될 때 생성된다. 종래의 시스템에서 레티클은 운송 중 활주될 수 있기 때문에, 운송 중 임의의 레티클 활주의 결과로서 입자가 추가적으로 생성될 수 있다. 마지막으로, 종래 시스템에서의 진동도 또한 마찰을 발생시켜 관련 입자를 생성시킨다. 본 발명에서는 이동 및 레티클 활주 마찰을 제거하기 위해 제거 가능한 덮개 상에 위치 설정기 및 리지를 포함한다. 그러나, 덮개 부착과 제거는 마찰을 유발할 수 있다. 마찬가지로, 진동도 또한, 종래 시스템에서와 같이, 입자 형성의 원인이 된다. 따라서, 본 발명을 실행할 때 입자 생성 원인에서의 이러한 차이를 고려해야 한다.
입자 생성 외에, 리소그래피 시스템을 설계할 때는 입자 정착도 또한 고려 사항이다. 본 발명에서는 제거 가능한 덮개를 사용함으로써 노광 단계 동안을 제외한 모든 시기에 입자가 레티클 상에 정착할 기회를 제거하게 된다. 본 발명자들은 노광 동안이 아닌 다른 시기에 상당한 입자 정착이 발생한다는 것을 발견했기 때문에, 덮개가 노광 단계 동안 제거될지라도 제거 가능한 덮개의 사용은 입자 정착으로부터 레티클을 상당히 보호한다.
마지막으로, 입자 이주도 또한 고려되어야 한다. 입자 이주는 빠른 움직임과 빠른 압력 변화에 의해 발생되는 난류 동안 발생된다. EUV 시스템에서, 많은 움직임은 높은 진공 환경에서 발생된다. 따라서, 예를 들면 라이브러리 선반으로부터 투영 장착대로 움직이는 동안의 난류는 미비하다. 그러나, 압력 변화가 수반되므로 이 난류의 원인은 고려되어야 한다. 본 발명에서의 입자 이주의 다른 원인은 제거 가능한 덮개의 사용하는 것에 기인한다. 입자는 덮개에 의해 방출될 수 있기 때문에, 본 발명의 제거 가능한 덮개를 실행할 때 이러한 입자 이주의 원인은 고려되어야 한다.
본 발명에 따른 제거 가능한 덮개의 구조를 고려하면, 도1a는 제거 가능한 덮개(100)의 양호한 실시예를 도시한다. 제거 가능한 덮개는 프레임(110)을 포함한다. 프레임(110)은 검사창에 해당되는 개구부(121)를 포함한다. 프레임에 사용되는 재료는 여러 번 고려하여 선택되어야 한다. 재료는 리소그래피 시스템에서 사용되는 표준 세척제와 양립되어야 한다. 재료는 아민 가스 방출 또는 리소그래피 프로세스에 해로운 다른 바람직하지 않은 물질을 유발해서는 안 된다. 또한 재료는 기계적 열화에 저항성이 있어야 한다. 제거 가능한 덮개는 EUV 리소그래피의 높은 진공 환경에서 사용되기 때문에, 이러한 재료 특성들은 상기 환경에서 유효해야 한다. 사용될 수 있는 가능한 재료의 예는 섬유 강화 성형 중합체, 더린(등록 상표) 또는 알루미늄 또는 티타늄과 같은 PTFE[테플론(등록 상표)] 코팅 금속을 포함한다. 본 발명으로부터 일탈되지 않는 다른 재료들도 사용될 수 있다. 그러한 재료는 본 개시의 당업자에 의해 선발될 수 있다.
프레임(110)은 필터(111)를 더 포함한다. 이 필터는 레티클으로 입자의 통과를 방지하는 한편 프레임(110)을 통한 가스 유동을 허용한다. 따라서, 덮개는 펌프 및 환기 사이클 동안 환기될 수 있다.
프레임(110)은 플랜지(112 및 113)를 더 포함한다. 이러한 플랜지는 도시된 바와 같이 프레임과 일체로 형성될 수 있다. 도면에 도시된 바와 같이, 플랜지(112 및 113)는 프레임에 의해 한정된 창에 수직한 방향으로 연장된다. 이러한 플랜지는 제거 가능한 덮개가 레티클 상에서 제 위치에 있을 때 레티클의 측 에지를 부분적으로 둘러싸는 역할을 한다. 이러한 관계는 예를 들면 도1b에 도시되고 이하에서 보다 상세하게 논의될 것이다.
프레임(110)은 리지(114, 115, 116)를 더 포함한다. 도1에서 볼 수 있는 바와 같이, 제1 리지(114)는 제1 플랜지(112)에 인접한 프레임 상에 형성된다. 이 제1 리지(114)는 제거 가능한 덮개가 레티클 상에서 제 위치에 있을 때 레티클을 위한 제1 휴지점(resting point)으로서 사용된다. 제2 및 제3 리지(115, 116)는 제2 플랜지(113)에 인접한 프레임 상에 형성된다. 제2 및 제3 리지는 제거 가능한 덮개가 레티클 상에서 제 위치에 있을 때 레티클을 위한 제2 및 제3 휴지점으로서 사용된다. 본 개시의 당업자들은 본 발명으로부터 일탈되지 않는 다소의 리지가 제공될 수 있다는 것을 이해하겠지만, 양호한 실시예는 제거 가능한 덮개 상의 레티클을 안정화하기 위해 이상적이기 때문에 3개의 리지를 포함한다. 또한, 리지(114, 115, 및 116)는 제거 가능한 덮개와 레티클 사이의 접촉을 최소화하여 입자 생성 마찰을 최소하기 위해 제공되지만, 본 개시와 관련된 당업자에게는 명백하듯이, 리지가 없는 덮개도 본 발명의 영역 내에 있다. 마지막으로, 리지(114, 115, 116)들은 프레임(110)과 레티클 사이에 갭을 생성하고, 리지들의 높이는 필터(111)를 통한 가스 컨덕턴스가 리지(114, 115, 116)들에 의해 생성된 갭을 통한 것보다 높기에 충분하도록 작게 만들어질 수 있다.
도1a에서 더 잘 알 수 있는 것처럼, 제2 및 제3 리지(115, 116)들은 제2 플랜지(113)의 내부면까지 연장된다. 이러한 제2 및 제3 리지들은 제1 및 제2 체결구(117, 118)와 함께 작동하여 제거 가능한 덮개를 레티클에 대하여 고정시키며, 이는 이하에서 더욱 상세히 설명된다.
프레임(110)은 또한 제1 플랜지(112) 내에 위치된 제1 및 제2 체결구(117, 118)를 포함한다. 이러한 제1 및 제2 체결구는 양호하게는 이중 안정 체결구이다. 본원에서 사용되는 용어 "이중 안정"의 의미는 체결과 해제의 두 가지 안정된 상태를 갖는 체결구를 정의한다. 체결구는 체결구에 적용되는 추가적인 제어 또는 힘이 없이 하나의 위치에 유지될 것이다. 그러한 체결구는 예를 들어 체결구를 체결 또는 해제 위치에 유지하도록 사용되는 이중 안정 스프링을 각각 포함하는 회전 작동식 체결구로서 형성될 수 있다. 그러한 장치는 예를 들어 도2에 도시되어 있고, 이하에서 상세히 설명된다. 체결구(117, 118)는 체결 시에 레티클을 제2 및 제3 리지(115, 116)를 향해 편의시킨다. 따라서, 양호한 실시예에서, 제거 가능한 덮개가 레티클 상의 제 위치에 있으면, 레티클은 제거 가능한 덮개와 7개의 점, 즉 제거 가능한 덮개의 레티클과 대면하는 표면 상의 제1, 제2 및 제3 리지(114, 115, 116), 제2 플랜지(113)의 내부면 상에서 연장되는 제2 및 제3 리지(115, 116), 제1 및 제2 체결구(117, 118)에서 접촉한다. 이는 제거 가능한 덮개와 대면하는 패턴이 형성된 측면을 갖는 레티클(120) 상의 제 위치에 있는 제거 가능한 덮개(100)를 도시하는 도1b에 도시되어 있다. 이러한 방식으로, 레티클은 제거 가능한 덮개에 확실하게 고정되어 덮개에 대한 레티클의 이동을 제거한다. 동시에, 레티클과 덮개 사이의 접촉이 최소화된다. 레티클(120)이 반사 리소그래피 시스템 내에서 사용되면, 덮개로부터 먼 레티클의 측면 상에 정착된 입자는 반사된 상의 악화의 원인이 되지 않는다. 당업자는 본원을 고려하면 체결구, 또는 리지, 또는 이들 모두 가 본 발명으로부터 벗어나지 않고서 생략될 수 있다는 것을 이해할 것이지만, 이러한 요소들은 입자 발생을 최소화하도록 양호한 실시예 내에 포함된다.
위에서 설명된 것처럼, 도2는 본 발명에서 사용되는 이중 안정 체결구(230, 240)의 상세한 예시를 도시한다. 이중 안정 체결구(230)는 제1 플랜지(112) 내에 제공되고 체결구 구동기(210) 상의 대응하는 제1 핀(211)을 위한 제1 결합점(232)을 포함한다. 플랜지(112)는 체결구 구동기(210) 상의 대응하는 제2 핀(212)을 위한 제2 결합점(231)을 포함한다. 이중 안정 체결구(230)는 또한 체결점(233) 및 이중 안정 스프링(234)을 포함한다. 작동 중에, 체결구 구동기(210)는 핀(211, 212)들이 각각 결합점(232, 231)과 결합하는 동안 회전한다. 이러한 회전은 결합점(231)에 대하여 결합점(232)을 이동시킨다. 결합점(232)을 덮개의 내부를 향한 방향으로 이동시키는 것은 이중 안정 스프링(234)을 그의 체결 위치로 이동시켜서, 체결점(232)이 레티클에 대해 일정한 압력을 가하게 한다. 이러한 압력은 체결구가 체결 위치에 있는 동안 유지되어, 레티클을 (도2에 도시되지 않은) 프레임의 대향 측면 상에 위치된 리지에 대하여 편의시킨다. 해제는 핀(211, 212)들이 결합점(232, 231)들과 결합되는 동안 단순히 체결구 구동기를 대향 방향으로 회전시킴으로써 수행된다. 이중 안정 체결구(240)는 체결구(230)와 동일한 방식으로 작동한다. 추가의 구동기(220)가 체결구(240)를 제어하도록 제공될 수 있다. 특정 타입의 체결구가 설명되었지만, 본원을 고려하면 다른 타입의 체결구가 본 발명의 범위를 벗어나지 않고서 사용될 수 있다. 유사하게, 사용된 체결구의 개수 및 그들의 위치가 본원을 고려하면 본 발명을 벗어나지 않고서 설명된 예시로부터 변 경될 수 있다. 예를 들어, 단동 전자기 작동식 체결구가 사용될 수 있다.
도1a로 돌아가면, 제거 가능한 덮개(100)는 프레임(110) 내의 개구(121)를 덮는 막(119)을 또한 포함한다. 이러한 막은 검사창에 대응한다. 양호한 실시예에서, 막(119)은 검사 파장에 대해 투과성이다. 용어 "검사 파장"은 레티클의 검사 및 식별을 위하여 사용되는 광의 파장을 포함하는 의미이다. EUV 장치에서, 이러한 파장은 노광 중에 사용되는 파장과 다르다. 따라서, 막(119)은 검사 파장에 대하여 투과성인 재료로 형성된다. 이에 따라, 레티클은 제거 가능한 덮개가 제 위치에 있을 때 검사 및 식별될 수 있다. 양호하게는, 막을 위해 사용되는 재료는 진공 환경에서 사용 가능하며 검사 파장에서 투과성 악화에 대해 저항성이 있다. 유사하게, 재료는 리소그래피 시스템에서 사용되는 표준 세척제와 함께 사용 가능해야 한다. 따라서, 당업자가 본원을 고려하여 인식하는 바와 같이, 막 재료는 종래의 박막을 제조하는 데 사용된 타입의 재료일 수 있다. 예를 들어, 박막과 유사한 특성을 갖는 중합체 시트 또는 평평한 유리가 사용될 수 있다. 막을 위해 사용되는 특정 재료의 선택은 본원을 고려하면 당업자에게 명백하다.
검사창의 크기는 레티클의 원하는 영역의 검사 및 식별을 가능케 하도록 선택될 수 있다. 도3a는 본 발명의 제거 가능한 덮개과 함께 사용될 수 있는 레티클(300)의 다이어그램이다. 레티클(300)은 인쇄 가능 영역(310)을 포함한다. 영역(311)은 기계 판독식 ID를 포함한다. 영역(312)은 레티클의 표제를 포함한다. 영역(313)은 영숫자 ID를 포함한다. 영역(311, 312, 313)들은 모두 이러한 예시적인 레티클 내의 ID 배치 영역인 영역(314) 내에 있다. 영역(315)은 기준 및 경로 정렬 타겟을 장착하기 위하여 사용 가능하다. 영역(316)은 리소그래피 용도를 위하여 역전되고, 영역(317)은 장착 기준 영역이다. 도3b는 치수가 추가된 도3a의 레티클의 다이어그램이다. 도3b의 치수들은 밀리미터이다. 도3a 및 도3b에서 설명되고 도시된 레티클은 본 발명에서 사용될 수 있는 레티클의 예시이다. 다른 레티클이 본원을 고려하면 본 발명의 범위를 벗어나지 않고서 당업자에게 명백한 것처럼 사용될 수 있다.
양호한 실시예에서, 레티클 및 제거 가능한 덮개의 치수는 레티클이 제거 가능한 덮개의 두 개의 대향 측면들을 지나 연장하도록 선택된다. 이후에 설명되는 바와 같이, 이러한 배열은 제거 가능한 덮개가 제 위치에 있는 레티클 장착부 상에 레티클을 간단히 적재하기 위해 제공된다.
도4는 레티클(120) 상의 위치에 있는 양호한 실시예의 제거 가능한 덮개(100)의 저면도이다. 도면에서 볼 수 있는 바와 같이, 제거 가능한 덮개(100)는 제거 가능한 덮개가 제 위치에 있을 때 레티클(120)을 대면하는 측면으로부터 대향하는 제거 가능한 덮개(100)의 측면 상에 위치 설정기(401 내지 406)를 포함한다. 이러한 위치 설정기는 단부 이펙터 또는 선반 상에 위치된 다른 위치 설정기와 함께 사용된다. 위치 설정기가 디텐트 또는 범프로 도시되었지만, 다른 형태의 위치 설정기가 본 기재와 관련된 분야의 숙련자들에게 명백하듯이, 본 발명으로부터 벗어남 없이 사용될 수 있다.
양호한 실시예에서, 위치 설정기(401, 403, 405)가 선반과 관련하여 사용되는 제1 세트의 위치 설정기를 구성하고, 제2 세트의 위치 설정기에 대응하는 위치 설정기(402, 404, 406)가 단부 이펙터와 관련하여 사용된다. 당해 기술 분야의 숙련자가 본 기재를 인지하는 바와 같이, 이러한 두 세트의 위치 설정기는 모든 위치 설정기가 본 발명의 사상에 벗어남 없이 동일한 크기일 수 있지만, 예로써 조금씩 상이한 크기를 갖도록 도시된다. 유사하게, 위치 설정기의 특정 개수 및 배열이 양호한 실시예와 관련하여 도시되었다. 이로써, 위치 설정기의 개수 및 위치는 본 기재와 관련된 분야의 숙련자들에게 명백하듯이, 본 발명으로부터 벗어남 없이 변화될 수 있다.
도5는 선반(510) 상에 배치된 제거 가능한 덮개(100) 및 레티클(120)을 도시하는 저면도이다. 선반(510)은 도4와 관련하여 위에서 설명되고, 제거 가능한 덮개(100) 상의 제1 세트의 위치 설정기(401, 403, 405)와 결합되는 (도시되지 않은) 위치 설정기를 포함한다. 또한 도시된 것은 단부 이펙터(520)이다. 단부 이펙터(520)는 도4와 관련하여 위에서 설명되고, 제거 가능한 덮개(100) 상에 제2 세트의 위치 설정기(402, 404, 406)와 결합된 (도시되지 않은) 위치 설정기도 포함한다. 선반(510)은 각각 제거 가능한 덮개와 레티클을 포함하는, 라이브러리 내의 많은 선반들 중 하나이다. 선반의 라이브러리는 (도시되지 않은) 리소그래피 공구 내에 있다. 단부 이펙터(520)는 (도시되지 않은) 로봇에 연결되고, 선반으로부터 선반으로 제거 가능한 덮개 및 레티클을 전달하는 데 사용된다. 양호한 실시예에서, 제거 가능한 덮개(100)가 두 세트의 세 개의 위치 설정기(401 내지 406)를 포함하기 때문에, 레티클(120)을 갖는 제거 가능한 덮개(100)는 위치 설정기의 세트들 중 하나에서 지지될 때 안정하다. 단부 이펙터(520)가 선반에 의해 덮이지 않 은 위치 설정기로 접근할 수 있을 때, 단부 이펙터(520) 및 선반(510)은 제거 가능한 덮개(100)와 레티클(120)이 선반(510) 상에 놓일 수 있도록 형상화된다. 따라서, 단부 이펙터(520)는 레티클(120)을 갖는 제거 가능한 덮개(100)가 전체 프로세스을 통해 세 개의 점에서 지지되어 유지될 때 선반(510) 아래에서 이동하여 제거 가능한 덮개(100)와 레티클(120)을 선반(510)으로부터 이격되게 상승시킬 수 있다. 이러한 방식에서, 관련된 마찰을 갖는 이동부의 사용은 구조 내에서 최소화되고, 따라서 입자 발생의 가능성을 감소시킨다.
도6은 선반으로부터 위로 멀어지도록 레티클(120) 및 제거 가능한 덮개(100)를 유지하는 단부 이펙터(520)를 갖는 도5의 구조의 사시도를 도시한다. 이 도면에서, 위치 설정기(511 내지 513)는 선반 상에 도시된다. 위치 설정기가 범프로서 도시될 때, 제거 가능한 덮개 상에 사용된 대응 위치 설정기와 결합할 수 있는 한, 디텐트 또는 다른 위치 설정기가 사용될 수 있다.
도7은 선반 아래에 단부 이펙터(520)를 갖는 선반(510) 상에 위치된 제거 가능한 덮개(100)를 도시한다. 도7에서, 레티클(120)은 도시하기 위해 제거 가능한 덮개 위에 도시된다. 이 도면에서, 위치 설정기(521 내지 523)는 단부 이펙터(520) 상에 위치되어 있다. 위치 설정기가 범프로 도시될 때, 제거 가능한 덮개 상에 사용된 대응 위치 설정기와 결합 가능한 한 디텐트 또는 다른 위치 설정기가 사용될 수 있다.
도8은 두 개의 광전송 센서(810, 820)의 사용을 도시한다. 광전송 센서(810, 820)는 지지부(830, 831)에 부착된다. 도면 부호 812 및 822는 센서(810, 820)와 함께 사용된 광의 발산을 도시한다. 제거 가능한 덮개(100) 및 레티클(120)은 광(812, 822)을 부분적으로 폐색하고, 광학 센서(810, 820)는 제거 가능한 덮개(100) 및 레티클(120)의 위치를 결정할 수 있다. 이러한 센서는 제거 가능한 덮개가 제거되어 레티클에 부착된 스테이션에서 사용된다. 광학 센서는 제거 가능한 덮개가 레티클 상의 중심부에 있는 것을 보장한다. 사용된 특정 광학 센서는 당해 기술 분야의 숙련자에게 공지된 형태이다. 반면, 다른 형태의 센서가 위치 정보를 수집하기 위해 사용될 수 있다. 상이한 형태의 센서의 선택은 이러한 기재와 관련된 분야의 숙련자들에게 명백할 것이다. 예를 들어, 커패시턴스 프로브가 광학 센서(810, 820)의 위치에 사용될 수 있다. 또한, 두 개의 센서가 도8에 도시되지만, 이러한 기재와 관련된 분야의 숙련자들에게 명백하듯이 실제 사용된 센서의 개수는 달라질 수 있다. 유사하게, 센서의 위치 및 방향은 본 발명의 범주에 벗어남 없이 변화될 수 있다. 따라서, 다양한 위치 및 방향은 이러한 기재와 관련된 분야의 숙련자들에게 명백할 것이다.
도9는 레티클이 장착부(910) 내에 위치될 때, 레티클(120) 상에 위치된 제거 가능한 덮개(100)를 도시한다. 단순화하기 위해, 도면에는 장착부의 일부만이 도시된다. 위에서 설명한 바와 같이, 제거 가능한 덮개 및 레티클의 치수는 레티클이 제 위치에 덮개를 갖는 장착부 상에 장착될 수 있도록 선택된다. 제 위치에 덮개를 포함한 장착을 허용하는 그러한 배열이 도시되지만, 다른 배열과 치수가 본 기재와 관련된 분야의 숙련자들에게 명백할 것이다. (도시되지 않은) 단부 이펙터는 장착부 내로 제거 가능한 덮개를 삽입하는 데 사용된다. 이러한 삽입은 도면을 바라보는 방향으로 수행된다. 제거 가능한 덮개의 폭이 장착부보다 좁기 때문에, 제거 가능한 덮개는 장착부의 대향부(911, 912) 사이로 통과할 수 있다. 일단 장착부 상에 위치되면, 레티클은 도면에 도시되지 않은 요소에 의해 고정된다. 여기서, 체결구(117, 118)는 해제될 수 있고, 제거 가능한 덮개는 레티클과 무관하게 이동될 수 있다. 다르게는, 체결구(117, 118)는 장착부 근처에 위치하지만 분리된 (도시되지 않은) 체결/해제 스테이션에서 해제될 수 있다. 체결구(117, 118)가 체결/해제 스테이션에서 해제되면, 레티클은 중력에 의해 여전히 제 위치에 유지된 덮개에 의해 장착부로 이동될 수 있다. 레티클이 장착된 후, 이미 해제된 덮개는 제거될 수 있다. 제거 가능한 덮개가 제거되면, 리소그래피 노광이 발생할 수 있다.
EUV 프로세스 동안 레티클의 패턴화된 측면은 도9에 도시된 바와 같이 아래로 향해 있다. 노광 동안, EUV는 레티클에서 위쪽으로 지향된다. 그리고 레티클 패턴에 대응하는 화상은 기판 후방 상으로 반사된다. 노광 프로세스이 완료되면, 제거 가능한 덮개는 다시 제 위치에 위치될 수 있다. 이 프로세스는 도10과 관련하여 이하에 설명된다.
도10a는 본 발명에 다른 제1 방법의 단계들을 도시하는 프로세스 흐름도이다. 제1 단계(1010)에서, 덮인 레티클은 라이브러리 선반에서 장착부로 운반된다. 다음 단계(1020)에서, 덮인 레티클은 장착부 상에 위치된다. 단계(1020)에 이어서, 제거 가능한 덮개 내에 위치된 레티클 체결구는 단계(1030)에서 해제된다. 그 후, 덮개는 단계(1040)에서 레티클로부터 제거된다. 레티클이 덮이지 않으면, 단계(1050)에서 노광이 발생할 수 있다. 노광에 이어서, 제거 가능한 덮개가 단계(1060)에서 레티클 상에 다시 제 위치에 위치된다. 제거 가능한 덮개가 제 위치에 오면, 레티클 체결구는 단계(1070)에서 체결된다. 마지막으로, 덮인 레티클은 최종 단계(1080)에서 선반으로 복귀한다. 이 방법에서, 제거 가능한 덮개는 레티클이 이동되는 모든 단계동안 레티클 상에 제 위치에 있다. 이것은 레티클 상에 미립자가 정착할 기회를 감소시킴으로써 필수 레티클 세척 사이의 시간을 증가시킨다.
도10b는 본 발명에 따른 제2 방법의 단계들을 도시하는 프로세스 흐름도이다. 제1 단계(1001)에서, 덮인 레티클은 라이브러리 선반에서 체결/해제 스테이션으로 운반된다. 다음 단계(1002)에서, 제거 가능한 덮개 내에 위치된 레티클 체결구는 덮인 레티클이 체결/해제 스테이션에 위치되는 동안 해제된다. 다음 단계(1003)에서, 덮인 레티클은 체결/해제 스테이션으로부터 장착부로 운반된다. 단계(1003) 동안, 덮개는 중력에 의해 제 위치에 유지된다. 체결구가 단계(1003) 이전에 해제되기 때문에, 이 단계에서 덮인 레티클의 운반 속도는 체결구가 체결되는 운반 단계보다 더 느려야 한다. 본 명세서에 기재된 관련 기술 분야의 숙련자에 의해 명백한 바와 같이 이러한 느린 속도는 레티클에 대한 제거 가능한 덮개의 운동을 최소화한다. 다음 단계(1020)에서, 덮인 레티클은 장착부 상에 위치된다. 그 후, 덮개는 단계(1040)에서 레티클로부터 제거된다. 레티클이 덮이지 않으면 단계(1050)에서 노광이 발생할 수 있다. 노광에 이어서, 제거 가능한 덮개는 단계(1060)에서 레티클 상에 다시 제 위치에 위치된다. 다음 단계(1090)에서, 덮인 레티클은 체결/해제 스테이션으로 다시 운반된다. 단계(1003)와 관련하여 전술된 바와 같이, 단계(1090) 동안 운반 속도는 체결구가 체결되었을 때보다 더 느리다. 덮인 레티클이 체결/해제 스테이션으로 운반되면, 레티클 체결구는 단계(1091)에서 체결된다. 최종적으로, 덮인 레티클은 최종 단계(1092)에서 라이브러리 선반으로 복귀한다. 이 방법에서, 제거 가능한 덮개는 레티클이 이동되는 모든 단계동안 레티클 상에 제 위치에 있다. 이것은 레티클 상에 미립자가 정착할 기회를 감소시킴으로써 필수 레티클 세척 사이의 시간을 증가시킨다. 또한, 장착부 근처에 장착부와 분리되어 있는 체결/해제 스테이션과 체결 및 해제를 실행함으로써, 장착부에 구조적 밀집이 최소화될 수 있다.
도11은 EUV 프로세스의 높은 진공 시스템 내에서 사용되는 레티클의 오염/세척 사이클을 나타내는 그래프이다. 도11은 상대적 오염 수준만을 도시하며 따라서 임의의 개별적인 크기를 도시하지는 않는다. 사이클은 레티클이 세척되는 점(1130)에서 시작한다. 그래프로부터 알 수 있는 바와 같이, 검사, 운반, 압력 변화 및 저장은 미립자가 레티클을 오염시키게 한다. 검사부터 저장까지의 경로를 따른 하부 라인은 덮인 레티클의 오염 수준을 나타내는 반면, 동일 경로에 따른 상부 라인은 덮이지 않은 레티클에 대한 오염 수준을 나타낸다. 레티클 오염은 제거 가능한 덮개가 제 위치에 있을 때 상당히 감소된다. 본 발명의 제거 가능한 덮개는 검사 파장을 통과시키기 때문에 검사 동안 제 위치에 잔류할 수 있다. 마찬가지로, 본 발명의 제거 가능한 덮개는 간단한 단부 이펙터에 의해 용이하게 조작되도록 설계되기 때문에 운반 및 저장 동안 제 위치에 잔류할 수 있다. 또한, 본 발명의 제거 가능한 덮개는 레티클 장착부와 양립식으로 설계되기 때문에 레티클이 장착되는 동안에도 제 위치에 잔류할 수 있다. 리소그래피가 사용되는 동안, 덮개가 제거되는 점에서 오염율은 각 경우에서 동일하다. 그러나, 오염이 허용 가능한 수준에 있을 때의 시간의 길이가 미리 덮인 레티클(1150)에 대해서보다 미리 덮이지 않은 레티클(1160)에 대해서 상당히 낮다. 오염이 허용 불가능한 수준(1170)에 도달하면 레티클은 더 이상 사용되지 않고 결국 세척을 위해 복귀된다. 본 발명의 제거 가능한 덮개의 사용을 통해, 발명자는 레티클이 사용될 수 있는 시간의 길이가 제거 가능한 덮개가 없는 구조체에 걸쳐서 상당히 향상된다는 것을 발견하였다. 본 발견은 박막의 사용이 부적절한 EUV 기술에서는 의미 심장한 것이다.
결론
본 발명의 다양한 실시예들이 전술되었지만, 이것은 단지 예시적으로 표현된 것이고 한정을 위한 것이 아님을 이해해야 한다. 당해 기술 분야의 숙련자들은 첨부된 청구 범위에 한정된 본 발명의 사상과 범주로부터 벗어남이 없이 형태 및 세부 사항에 있어서의 다양한 변형이 가능함을 이해할 것이다. 따라서, 본 발명의 범위는 전술된 임의의 예시적인 실시예들에 한정되어서는 안되며 후속하는 청구항 및 그와 등가물에 의해서만 한정되어야 한다.

Claims (38)

  1. 리소그래피 시스템에서 레티클을 보호하기 위한 제거 가능한 덮개이며,
    프레임과,
    상기 프레임에 의해서 지지되는 막을 포함하며,
    상기 제거 가능한 덮개는 레티클이 선반과 장착부 사이에서 이동하는 동안에 레티클을 보호하고, 리소그래피 노광을 위해서 제거 가능한 덮개.
  2. 제1항에 있어서, 상기 제거 가능한 덮개가 제 위치에 있을 때 상기 레티클에 대해 제거 가능한 덮개의 이동을 방지하도록 상기 레티클에 힘을 인가하는 적어도 하나의 레티클 체결구를 더 포함하는 것을 특징으로 하는 제거 가능한 덮개.
  3. 제2항에 있어서, 상기 적어도 하나의 레티클 체결구는 이중 안정 체결구를 포함하는 것을 특징으로 하는 제거 가능한 덮개.
  4. 제3항에 있어서, 상기 적어도 하나의 레티클 체결구는 상기 이중 안정 체결구가 체결 위치에 있을 때 이중 안정 체결구로부터 이격되는 방향으로 레티클을 편의시키도록 기능하는 복수의 이중 안정 체결구를 포함하며, 상기 이중 안정 체결구는 회전 작동되도록 된 것을 특징으로 하는 제거 가능한 덮개.
  5. 제1항에 있어서, 상기 프레임은 제거 가능한 덮개가 제 위치에 있을 때 제거 가능한 덮개와 레티클 사이의 가스 유동을 허용하는 필터를 더 포함하는 것을 특징으로 하는 제거 가능한 덮개.
  6. 제1항에 있어서, 상기 프레임은 복수의 리지를 더 포함하며, 상기 리지는 제거 가능한 덮개가 제 위치에 있을 때 레티클을 접촉하는 것을 특징으로 하는 제거 가능한 덮개.
  7. 제6항에 있어서, 적어도 하나의 이중 안정 체결구를 더 포함하며,
    상기 적어도 하나의 이중 안정 체결구는, 제거 가능한 덮개가 제 위치에 있고 상기 적어도 하나의 이중 안정 체결구가 체결 위치에 있을 때, 상기 복수의 리지 중 적어도 두 개의 리지를 향해서 레티클을 편의시키는 것을 특징으로 하는 제거 가능한 덮개.
  8. 제1항에 있어서, 상기 제거 가능한 덮개가 제 위치에 있을 때 레티클을 향하는 측면과 대향한 제거 가능한 덮개의 측면 상에 배치된 위치 설정기를 더 포함하는 것을 특징으로 하는 제거 가능한 덮개.
  9. 제8항에 있어서, 상기 위치 설정기는 범프 및 디텐트를 포함하는 그룹으로부터 선택되는 것을 특징으로 하는 제거 가능한 덮개.
  10. 제8항에 있어서, 상기 위치 설정기는 상기 제거 가능한 덮개가 상기 선반 상에 배치되면서 상기 위치 설정기 중 제1 세트의 위치 설정기와 선반 사이에 그리고 제2 세트의 위치 설정기와 단부 이펙터 사이에 접촉을 허용하도록 구성되는 것을 특징으로 하는 제거 가능한 덮개.
  11. 제1항에 있어서, 상기 막은 리소그래피 시스템에 사용된 노광 파장을 적어도 부분적으로 통과시키지 않는 것을 특징으로 하는 제거 가능한 덮개.
  12. 리소그래피 시스템에서 레티클을 보호하기 위해 사용되는 제거 가능한 덮개이며,
    개구를 둘러싸는 복수의 측면을 갖는 프레임과,
    상기 개구에 대응하는 면에 수직한 방향으로 연장되는 복수의 플랜지를 포함하며,
    상기 제거 가능한 덮개가 제 위치에 있을 때 레티클이 상기 복수의 플랜지에 의해 측방향 엣지에서 부분적으로 한정되며,
    상기 제거 가능한 덮개는 레티클이 선반과 장착부 사이에서 이동하는 동안에 레티클을 보호하고, 리소그래피 노광을 위해서 제거 가능한 덮개.
  13. 제12항에 있어서, 상기 복수의 플랜지는 상기 프레임과 일체로 형성되는 것을 특징으로 하는 제거 가능한 덮개.
  14. 제12항에 있어서, 상기 개구를 덮는 막을 더 포함하며, 상기 막은 검사 파장을 통과시키는 것을 특징으로 하는 제거 가능한 덮개.
  15. 제14항에 있어서, 상기 복수의 플랜지 중 첫 번째 플랜지 내에 위치된 적어도 하나의 이중 안정 체결구를 더 포함하는 것을 특징으로 하는 제거 가능한 덮개.
  16. 제15항에 있어서, 상기 제1 플랜지에 인접하여 상기 프레임 상에 배치된 제1 리지와, 상기 복수의 플랜지 중 상기 제1 플랜지에 대향한 제2 플랜지에 인접하여 상기 프레임 상에 배치된 제2 및 제3 리지를 포함하며,
    상기 제2 및 제3 리지는 상기 제2 플랜지의 내부 면에서 연장되어, 제거 가능한 덮개가 제 위치에 있고 상기 이중 안정 체결구가 체결 위치에 있을 때, 상기 레티클은 제2 플랜지의 내부 면 상의 제2 및 제3 리지를 향해 편의되는 것을 특징으로 하는 제거 가능한 덮개.
  17. 제16항에 있어서, 상기 제거 가능한 덮개가 제 위치에 있을 때 레티클을 향하는 측면과 대향한 제거 가능한 덮개의 측면 상에 배치된 위치 설정기를 더 포함하는 것을 특징으로 하는 제거 가능한 덮개.
  18. 제17항에 있어서, 상기 위치 설정기는 범프 및 디텐트를 포함하는 그룹으로 부터 선택되는 것을 특징으로 하는 제거 가능한 덮개.
  19. 제17항에 있어서, 상기 위치 설정기는 상기 제거 가능한 덮개가 상기 선반 상에 배치되면서 상기 위치 설정기 중 제1 세트의 위치 설정기와 선반 사이에 그리고 제2 세트의 위치 설정기와 단부 이펙터 사이에 접촉을 허용하도록 구성되는 것을 특징으로 하는 제거 가능한 덮개.
  20. 제14항에 있어서, 상기 막은 리소그래피 프로세스에 사용되는 노광 파장을 적어도 부분적으로 통과시키지 않는 것을 특징으로 하는 제거 가능한 덮개.
  21. 리소그래피 장치이며,
    레티클과,
    상기 레티클이 사용되지 않을 때 상기 레티클을 보호하는 제거 가능한 덮개와,
    상기 레티클 및 상기 제거 가능한 덮개를 지지하는 선반과,
    장착부와,
    상기 레티클을 제 위치에 있는 상기 제거 가능한 덮개와 함께 상기 선반으로부터 상기 장착부로 반송하는 단부 이펙터를 포함하는 것을 특징으로 하는 리소그래피 장치.
  22. 제21항에 있어서, 상기 제거 가능한 덮개는 검사 파장을 통과시키고 리소그래피 프로세스에 사용되는 노광 파장을 적어도 부분적으로 통과시키지 않는 것을 특징으로 하는 리소그래피 장치.
  23. 제21항에 있어서, 상기 레티클은 상기 제거 가능한 덮개가 제 위치에 있을 때 상기 제거 가능한 덮개의 측면을 지나 연장하는 것을 특징으로 하는 리소그래피 장치.
  24. 제23항에 있어서, 제거 가능한 덮개가 제 위치에 있을 때 레티클에 대면하는 측면으로부터 대향하여 제거 가능한 덮개의 측면 상에 배치된 제거 가능한 덮개 위치 설정기를 더 포함하는 것을 특징으로 하는 리소그래피 장치.
  25. 제24항에 있어서, 상기 제거 가능한 덮개 위치 설정기는 범프 및 디텐트를 포함하는 그룹으로부터 선택되는 것을 특징으로 하는 리소그래피 장치.
  26. 제24항에 있어서, 상기 단부 이펙터가 상기 제거 가능한 덮개와 접촉할 때 상기 단부 이펙터 위치 설정기가 상기 제거 가능한 덮개 위치 설정기 중 제1 세트와 결합하도록 상기 단부 이펙터 상에 배치된 단부 이펙터 위치 설정기를 더 포함하는 것을 특징으로 하는 리소그래피 장치.
  27. 제26항에 있어서, 상기 제거 가능한 덮개가 상기 선반 상에 있을 때 상기 선반 위치 설정기가 상기 제거 가능한 덮개 위치 설정기 중 제2 세트와 결합하도록 상기 선반 상에 배치된 선반 위치 설정기를 더 포함하는 것을 특징으로 하는 리소그래피 장치.
  28. 제27항에 있어서, 상기 제거 가능한 덮개 상에 배치된 상기 위치 설정기 중 제1 및 제2 세트는 상기 제거 가능한 덮개가 상기 선반 상에 위치되는 동안 상기 단부 이펙터와 상기 제거 가능한 덮개 사이에서 접촉을 허용하도록 된 것을 특징으로 하는 리소그래피 장치.
  29. 제21항에 있어서, 상기 장착부에 인접하여 위치된 체결/해제 스테이션을 더 포함하고, 상기 제거 가능한 덮개 내에 위치된 체결구는 상기 체결/해제 스테이션에서 체결되고 해제되는 것을 특징으로 하는 리소그래피 장치.
  30. 리소그래피 수행 방법이며,
    (a) 제거 가능한 덮개로 덮인 레티클을 장착부 상에 위치시키는 단계와,
    (b) 상기 제거 가능한 덮개를 상기 레티클로부터 제거하는 단계와,
    (c) 리소그래피 노광을 수행하는 단계와,
    (d) 상기 레티클 상에 상기 제거 가능한 덮개를 다시 제 위치에 위치시키는 단계를 포함하는 것을 특징으로 하는 리소그래피 수행 방법.
  31. 제30항에 있어서, 상기 단계 (a) 전에 선반으로부터 상기 장착부로 상기 제거 가능한 덮개로 덮인 상기 레티클을 이송하는 단계를 더 포함하는 것을 특징으로 하는 리소그래피 수행 방법.
  32. 제31항에 있어서, 상기 단계 (d) 후에 상기 제거 가능한 덮개로 덮인 상기 레티클을 상기 선반으로 복귀시키는 단계를 더 포함하는 것을 특징으로 하는 리소그래피 수행 방법.
  33. 제30항에 있어서, 상기 제거 가능한 덮개가 제 위치에 있는 동안 상기 레티클을 검사하는 단계를 더 포함하는 것을 특징으로 하는 리소그래피 수행 방법.
  34. 제30항에 있어서, 상기 단계 (b)는 상기 레티클을 상기 제거 가능한 덮개로부터 해제하도록 적어도 하나의 이중 안정 체결구를 상기 제거 가능한 덮개로부터 해제하는 단계를 포함하는 것을 특징으로 하는 리소그래피 수행 방법.
  35. 제34항에 있어서, 상기 단계 (d)는 상기 레티클을 상기 제거 가능한 덮개에 고정하도록 적어도 하나의 이중 안정 체결구를 상기 제거 가능한 덮개 상에 체결하는 단계를 포함하는 것을 특징으로 하는 리소그래피 수행 방법.
  36. 제30항에 있어서, 상기 단계 (a) 전에 체결/해제 스테이션에서 상기 제거 가능한 덮개 내에 위치된 체결구를 해제하는 단계를 더 포함하는 것을 특징으로 하는 리소그래피 수행 방법.
  37. 제30항에 있어서, 상기 단계 (d) 후에 체결/해제 스테이션에서 상기 제거 가능한 덮개 내에 위치된 체결구를 체결하는 단계를 더 포함하는 것을 특징으로 하는 리소그래피 수행 방법.
  38. 제1항에 있어서, 상기 막은 상기 제거 가능한 덮개가 제 위치에 있는 상태에서 상기 레티클이 검사될 수 있도록 검사 파장에 대하여 투과성인 것을 특징으로 하는 제거 가능한 덮개.
KR1020027004501A 1999-10-08 2000-10-04 레티클 보호용 제거 가능한 덮개, 이를 포함하는 장치 및 이를 사용하는 방법 KR100756083B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15814299P 1999-10-08 1999-10-08
US60/158,142 1999-10-08
US09/473,710 US6239863B1 (en) 1999-10-08 1999-12-29 Removable cover for protecting a reticle, system including and method of using the same
US09/473,710 1999-12-29

Publications (2)

Publication Number Publication Date
KR20020092918A KR20020092918A (ko) 2002-12-12
KR100756083B1 true KR100756083B1 (ko) 2007-09-05

Family

ID=26854779

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027004501A KR100756083B1 (ko) 1999-10-08 2000-10-04 레티클 보호용 제거 가능한 덮개, 이를 포함하는 장치 및 이를 사용하는 방법

Country Status (6)

Country Link
US (1) US6239863B1 (ko)
EP (1) EP1226471A2 (ko)
JP (5) JP4527337B2 (ko)
KR (1) KR100756083B1 (ko)
AU (1) AU1630001A (ko)
WO (1) WO2001027695A2 (ko)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE60219844T2 (de) * 2001-03-01 2008-01-17 Asml Netherlands B.V. Verfahren zur Übernahme einer lithographischen Maske
US6736386B1 (en) 2001-04-10 2004-05-18 Dupont Photomasks, Inc. Covered photomask holder and method of using the same
US6734445B2 (en) * 2001-04-23 2004-05-11 Intel Corporation Mechanized retractable pellicles and methods of use
US6619903B2 (en) 2001-08-10 2003-09-16 Glenn M. Friedman System and method for reticle protection and transport
CN100592213C (zh) * 2002-02-22 2010-02-24 Asml控股股份有限公司 使用两件式盖子保护模版的系统和方法
US6906783B2 (en) * 2002-02-22 2005-06-14 Asml Holding N.V. System for using a two part cover for protecting a reticle
SG102718A1 (en) * 2002-07-29 2004-03-26 Asml Holding Nv Lithography tool having a vacuum reticle library coupled to a vacuum chamber
US6826451B2 (en) * 2002-07-29 2004-11-30 Asml Holding N.V. Lithography tool having a vacuum reticle library coupled to a vacuum chamber
DE10246788B4 (de) * 2002-10-08 2007-08-30 Infineon Technologies Ag Schutzvorrichtung für Reflexionsmasken und Verfahren zur Verwendung einer geschützten Reflexionsmaske
TWI286674B (en) * 2002-12-27 2007-09-11 Asml Netherlands Bv Container for a mask, method of transferring lithographic masks therein and method of scanning a mask in a container
EP1434094A1 (en) * 2002-12-27 2004-06-30 ASML Netherlands B.V. Container for a mask
US6912043B2 (en) * 2003-01-09 2005-06-28 Asml Holding, N.V. Removable reticle window and support frame using magnetic force
US6984474B2 (en) * 2003-07-29 2006-01-10 Asml Holding N.V. Reticle barrier system for extreme ultra-violet lithography
WO2005047981A2 (en) * 2003-11-10 2005-05-26 Nikon Corporation Thermophoretic techniques for protecting reticles from contaminants
US6862817B1 (en) 2003-11-12 2005-03-08 Asml Holding N.V. Method and apparatus for kinematic registration of a reticle
US7136151B2 (en) * 2004-07-29 2006-11-14 Asml Holding N.V. Reticle gripper barrier system for lithography use
US7477358B2 (en) * 2004-09-28 2009-01-13 Nikon Corporation EUV reticle handling system and method
US7551265B2 (en) * 2004-10-01 2009-06-23 Nikon Corporation Contact material and system for ultra-clean applications
JP2006128188A (ja) * 2004-10-26 2006-05-18 Nikon Corp 基板搬送装置、基板搬送方法および露光装置
JP4710308B2 (ja) 2004-10-29 2011-06-29 株式会社ニコン レチクル搬送装置、露光装置、及びレチクルの搬送方法
TWI447840B (zh) * 2004-11-15 2014-08-01 尼康股份有限公司 基板搬運裝置、基板搬運方法以及曝光裝置
US7428958B2 (en) * 2004-11-15 2008-09-30 Nikon Corporation Substrate conveyor apparatus, substrate conveyance method and exposure apparatus
US7607543B2 (en) * 2005-02-27 2009-10-27 Entegris, Inc. Reticle pod with isolation system
JP2006245257A (ja) * 2005-03-03 2006-09-14 Canon Inc 処理装置、当該処理装置を有する露光装置、保護機構
TWI417649B (zh) * 2005-12-28 2013-12-01 尼康股份有限公司 十字標記運送裝置、曝光裝置、十字標記運送方法以及十字標記的處理方法
US7808616B2 (en) * 2005-12-28 2010-10-05 Nikon Corporation Reticle transport apparatus, exposure apparatus, reticle transport method, and reticle processing method
US7773198B2 (en) * 2006-03-28 2010-08-10 Nikon Corporation Filtered device container assembly with shield for a reticle
JPWO2008007521A1 (ja) * 2006-07-11 2009-12-10 株式会社ニコン レチクル保持部材、レチクル・ステージ、露光装置、投影露光方法およびデバイス製造方法
US20080128303A1 (en) * 2006-12-05 2008-06-05 Nikon Corporation Device container assembly with adjustable retainers for a reticle
US8207504B2 (en) * 2009-11-19 2012-06-26 Applied Materials Israel, Ltd. Inspection of EUV masks by a DUV mask inspection tool
USD631478S1 (en) 2010-01-11 2011-01-25 Datalogic Scanning, Inc. Weigh platter or cover for a data reader
US9851643B2 (en) 2012-03-27 2017-12-26 Kla-Tencor Corporation Apparatus and methods for reticle handling in an EUV reticle inspection tool
KR102242562B1 (ko) * 2014-09-04 2021-04-20 삼성전자주식회사 극자외선(euv) 마스크 보호장치 및 그 보호장치를 포함한 euv 노광 장치
CN113721420A (zh) * 2015-02-03 2021-11-30 Asml荷兰有限公司 掩模组件和相关联的方法
USD761261S1 (en) * 2015-06-09 2016-07-12 Teco Image Systems Co., Ltd Handheld scanner
US11036128B2 (en) 2015-12-14 2021-06-15 Asml Netherlands B.V. Membrane assembly
EP3391138A1 (en) 2015-12-14 2018-10-24 ASML Netherlands B.V. A membrane for euv lithography
US10401724B2 (en) * 2017-11-07 2019-09-03 Globalfoundries Inc. Pellicle replacement in EUV mask flow
KR102659532B1 (ko) * 2020-04-23 2024-04-23 에이에스엠엘 네델란즈 비.브이. Euv 리소그래피용 펠리클 프레임
US20220102177A1 (en) * 2020-09-30 2022-03-31 Gudeng Precision Industrial Co., Ltd. Reticle pod with antistatic capability
CN114735625B (zh) * 2022-04-13 2024-04-05 浙江大学杭州国际科创中心 一种非晶碳膜加工用惰性物质植入设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61245163A (ja) * 1985-04-23 1986-10-31 Nippon Kogaku Kk <Nikon> マスク保護装置
JPH01219750A (ja) * 1988-02-29 1989-09-01 Canon Inc ペリクル着脱機構

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4369475A (en) * 1979-01-26 1983-01-18 Priam Enclosed disc drive with improved air flow
US4250388A (en) * 1979-08-16 1981-02-10 General Electric Company Device for interfacing an x-ray image intensifier and spot film device
US4412739A (en) * 1981-01-13 1983-11-01 Ppg Industries, Inc. Hinged glass photomask assembly
US4443098A (en) * 1982-12-21 1984-04-17 General Signal Corporation Pellicle mounting fixture
US4549843A (en) * 1983-03-15 1985-10-29 Micronix Partners Mask loading apparatus, method and cassette
JPS6083032A (ja) * 1983-10-13 1985-05-11 Asahi Chem Ind Co Ltd 光透過性に優れたフオトマスク用防塵カバ−
US4833051A (en) 1984-08-20 1989-05-23 Nippon Kogaku K.K. Protective device for photographic masks
JPS6197924A (ja) * 1984-10-19 1986-05-16 Nippon Sheet Glass Co Ltd 保護カバ−
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4719705A (en) 1986-06-24 1988-01-19 The Perkin-Elmer Corporation Reticle transporter
US4760429A (en) 1986-11-05 1988-07-26 The Perkin-Elmer Corporation High speed reticle change system
US4973217A (en) 1987-02-09 1990-11-27 Svg Lithography Systems, Inc. Wafer handling system
US4778332A (en) 1987-02-09 1988-10-18 The Perkin-Elmer Corporation Wafer flip apparatus
US4986007A (en) 1987-03-25 1991-01-22 Svg Lithography Systems, Inc. Reticle frame assembly
JPH0223606A (ja) * 1988-07-12 1990-01-25 Fujitsu Ltd レチクル移動交換機
JPH0493947A (ja) * 1990-08-07 1992-03-26 Seiko Epson Corp フォトマスク収納ケース及びフォトマスク検査方法
JP3037745B2 (ja) * 1990-11-29 2000-05-08 三井化学株式会社 ペリクル構造体
US5422704A (en) * 1992-07-13 1995-06-06 Intel Corporation Pellicle frame
US5344677A (en) * 1992-08-27 1994-09-06 Hong Gilbert H Photochemically stable deep ultraviolet pellicles for excimer lasers
US5453816A (en) * 1994-09-22 1995-09-26 Micro Lithography, Inc. Protective mask for pellicle
JPH0934102A (ja) * 1995-07-25 1997-02-07 Nikon Corp ペリクル枠
JPH0968792A (ja) * 1995-08-31 1997-03-11 Shin Etsu Chem Co Ltd フィルター付ペリクル
US5733024A (en) 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Modular system
US5700046A (en) 1995-09-13 1997-12-23 Silicon Valley Group, Inc. Wafer gripper
US5727685A (en) 1995-10-19 1998-03-17 Svg Lithography Systems, Inc. Reticle container with corner holding
JPH09320935A (ja) * 1996-05-28 1997-12-12 Canon Inc X線マスク、該x線マスクを用いたx線露光装置、前記x線マスクを用いた半導体デバイスの製造方法、及び前記x線マスクを用いて製造した半導体デバイス
JPH11153855A (ja) * 1997-08-29 1999-06-08 Nikon Corp マスクケース、搬送装置及び搬送方法
US5928817A (en) * 1997-12-22 1999-07-27 Intel Corporation Method of protecting an EUV mask from damage and contamination
JPH11295880A (ja) * 1998-04-07 1999-10-29 Seiko Epson Corp ペリクルフレーム

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61245163A (ja) * 1985-04-23 1986-10-31 Nippon Kogaku Kk <Nikon> マスク保護装置
JPH01219750A (ja) * 1988-02-29 1989-09-01 Canon Inc ペリクル着脱機構

Also Published As

Publication number Publication date
JP4527337B2 (ja) 2010-08-18
JP4690471B2 (ja) 2011-06-01
JP2009104188A (ja) 2009-05-14
JP4940364B2 (ja) 2012-05-30
WO2001027695A9 (en) 2002-08-08
JP2011139102A (ja) 2011-07-14
KR20020092918A (ko) 2002-12-12
AU1630001A (en) 2001-04-23
JP2009104187A (ja) 2009-05-14
JP2009104186A (ja) 2009-05-14
JP4768035B2 (ja) 2011-09-07
EP1226471A2 (en) 2002-07-31
WO2001027695A2 (en) 2001-04-19
WO2001027695A3 (en) 2002-03-14
JP2003511868A (ja) 2003-03-25
US6239863B1 (en) 2001-05-29

Similar Documents

Publication Publication Date Title
KR100756083B1 (ko) 레티클 보호용 제거 가능한 덮개, 이를 포함하는 장치 및 이를 사용하는 방법
US7304720B2 (en) System for using a two part cover for protecting a reticle
US7065894B2 (en) Apparatus for kinematic registration of a reticle
JP6325518B2 (ja) Euvレチクル検査ツールにおけるレチクルの取り扱い装置及び方法
US6598789B1 (en) Substrate managing system and substrate storing system
JP5071109B2 (ja) レチクル搬送装置、露光装置、レチクル搬送方法、レチクルの処理方法、及びデバイス製造方法
US20100007869A1 (en) Reticle Handler
JPWO2008129982A1 (ja) 基板処理方法及びシステム、並びにデバイス製造方法
JP3975212B2 (ja) レチクルバリヤシステム
KR100376626B1 (ko) 반도체제조장치및그방법
JP2008021730A (ja) レチクル・カバー、レチクル搬送方法および投影露光方法
KR20040098753A (ko) 레티클
JPH04260319A (ja) 露光装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120817

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130823

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140822

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150821

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160819

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee