KR100619111B1 - 에칭 방법 및 이를 실행시키기 위한 프로그램이 기억된 컴퓨터기억매체 - Google Patents

에칭 방법 및 이를 실행시키기 위한 프로그램이 기억된 컴퓨터기억매체 Download PDF

Info

Publication number
KR100619111B1
KR100619111B1 KR1020040073632A KR20040073632A KR100619111B1 KR 100619111 B1 KR100619111 B1 KR 100619111B1 KR 1020040073632 A KR1020040073632 A KR 1020040073632A KR 20040073632 A KR20040073632 A KR 20040073632A KR 100619111 B1 KR100619111 B1 KR 100619111B1
Authority
KR
South Korea
Prior art keywords
mask layer
pattern width
pattern
etching
region
Prior art date
Application number
KR1020040073632A
Other languages
English (en)
Other versions
KR20050031375A (ko
Inventor
구시비키마사토
사와타이시마사유키
시미즈아키타카
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20050031375A publication Critical patent/KR20050031375A/ko
Application granted granted Critical
Publication of KR100619111B1 publication Critical patent/KR100619111B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Abstract

마스크층의 각 패턴폭을 나란히 배열하면서 마스크층에 의해서 마스크되는 피에칭층을 소정의 패턴폭으로 에칭한다.
제1 공정에서는 패터닝된 마스크층(212)의 측벽에 반응 생성물을 퇴적시키고, 각 패턴폭을 넓히도록 또한 초기 상태(a)에 있어서 패턴폭이 다른 제1 영역 reg11에 속하는 마스크층(212-1)의 패턴폭과 제2 영역 reg12에 속하는 마스크층(212-2)의 패턴폭이 제1 공정종료시점(b)에서 일치하도록 프로세스 조건을 설정한다. 제2 공정에서는 반사방지막(210)을 종방향으로 에칭하는 것 뿐만 아니라, 마스크층(212)의 패턴폭을 좁히는 트리밍 처리도 병렬실시한다. 제2 공정종료시점(c)에서는 마스크층 및 반사방지막의 패턴폭은 패턴밀도에 관계없이 웨이퍼 전역에서 균일하게 조정된다.
챔버, 서셉터, 웨이퍼, 반사방지막, 챔버

Description

에칭 방법 및 이를 실행시키기 위한 프로그램이 기억된 컴퓨터기억매체{ETCHING METHOD AND COMPUTER STORAGE MEDIUM STORING PROGRAM FOR EXECUTING SAME}
도 1은 본 발명의 실시예에 관한 플라즈마 처리 장치의 개략 구성도,
도 2는 도 1에 도시한 플라즈마 처리 장치에 의해서 에칭 처리되는 피 처리체의 막구조를 도시하는 개략 단면도,
도 3은 제1 실시예에 관한 에칭 방법이 적용된 피처리체의 공정마다의 개략 단면도,
도 4는 제1 실시예에 관한 에칭 방법을 실시했을 때의 에칭 시간과 패턴폭의 관계를 도시한 그래프,
도 5는 제1 실시예에 관한 에칭 방법을 실시하는 것에 의해서 변화한 패턴폭의 실측결과를 도시하는 도면,
도 6은 제1 실시예에 관한 에칭 방법의 제1 공정종료시점에서의 패턴밀도와 CD 바이어스와의 관계를 도시하는 그래프,
도 7은 제1 실시예에 관한 에칭 방법의 제2 공정종료시점에서의 패턴밀도와 CD 바이어스와의 관계를 도시하는 그래프,
도 8은 제2 실시예에 관한 에칭 방법이 적용된 피처리체의 공정마다의 개략 단면도,
도 9는 제2 실시예에 관한 에칭 방법을 실시했을 때의 에칭 시간과 패턴폭의 관계를 도시하는 그래프,
도 10은 제2 실시예에 관한 에칭 방법을 실시하는 것에 의해서 변화한 패턴폭의 실측 결과를 도시하는 도면,
도 11은 일반적인 에칭 방법이 적용된 피처리체의 공정마다의 개략 단면도,
도 12는 일반적인 포토리소그래피 공정에 있어서 패터닝된 마스크층의 개략 단면도,
도 13은 에칭후의 마스크층과 반사방지막의 형상을 도시하는 종단면의 설명도.
[부호의 설명]
101…플라즈마 처리 장치
102…챔버
105…서셉터
110…제어장치
121…상부 전극
140…제1 고주파 전원
141…제1 정합기
150…제2 고주파 전원
151…제2 정합기
200…피처리체
202…웨이퍼
204…절연층
206…도체층
208…하드 마스크층
210…반사방지막
212…마스크층
reg11…제1 영역
reg12…제2 영역
본 발명은 에칭 방법 및 이를 실행시키는 프로그램이 기억된 판독가능 컴퓨터 기억매체에 관한 것이다.
일반적으로, 반도체 디바이스를 제조하기 위해서는 반도체 웨이퍼( 이하, 「웨이퍼」라 함)의 위에 적층된 박막에 원하는 미세 패턴을 형성하는 에칭 처리가 실행된다. 이 에칭 처리에는 미세 패턴 회로를 형성하기 위해 포토 리소그래피 기 술이 이용된다. 구체적으로는 우선 에칭의 대상으로 되는 피에칭층상에 포토 레지스트재를 균일하게 도포하고, 건조시킨 후, 이 포토 레지스트막에 소정 파장의 광을 조사하는 노광 처리를 실시하고 미세 회로 패턴을 전사한다.
예를 들면, 포토 레지스트재가 포지티브형인 경우, 포토 레지스트막 중 광이 조사된 부분이 현상 처리에 의해서 제거되고 패터닝된 마스크층이 형성된다. 다음에, 이 마스크층을 마스크로서 이용하여 플라즈마 에칭 처리 등을 실시하는 것에 의해서, 피에칭층이 원하는 패턴으로 깎인다.
종래, 노광 처리에 있어서, 포토 레지스트막에 조사한 광이 포토 레지스트막과 하지막의 계면에서 난반사하면, 포토 레지스트막중의 본래 감광되어서는 안되는 영역에까지 광이 닿아 버려 원하는 패턴이 얻어지지 않게 될 가능성이 있었다. 특히, 회로 패턴의 미세화가 진행하여 노광 처리에 사용되는 광원도 KrF 엑시머 레이저(248 ㎚)에서 ArF 엑시머 레이저(193 ㎚)로 단파장화되고 있는 최근에 있어서는 이 현상은 큰 문제로 되고 있었다. 그래서, 포토 레지스트막 아래에 노광광을 흡수하는 반사방지막(Bottom Anti-Reflecting Coating : BARC)을 배치하는 막구조가 일반적으로 되어 있다. 도 11a~11c는 반사방지막을 구비한 종래의 반도체 장치(10)의 막 구조 및 그 제조공정의 일예를 도시하고 있다.
도 11a에 도시한 바와 같이, 웨이퍼(12)의 위에는 실리콘 산화막으로 이루어지는 절연층(14), 다결정 실리콘으로 이루어지는 도체층(16) 및 TEOS(TetraEthyl OrthoSilicate)막으로 이루어지는 하드 마스크층(18)이 형성되어 있고, 이 하드 마스크층(18)의 위에 반사방지막(20)과 포토 레지스트재로 이루어지는 마스크층(22) 이 형성되어 있다.
우선, 노광 장치 및 현상 장치를 이용하여 마스크층(22)을 노광/현상하고, 도 11b에 도시하는 바와 같이, 마스크층(22)을 소정의 패턴으로 성형한다. 다음에, 패터닝된 마스크층(22)을 마스크로서 이용하고 소정의 처리 가스에 의한 플라즈마 에칭 처리를 실시하여 도 11c에 도시하는 바와 같이, 반사방지막(20)을 선택적으로 에칭 제거한다.
계속해서 마스크층(22) 및 반사방지막(20)을 마스크로서 이용하여 하드 마스크층(18)을 선택적으로 에칭제거한다(도시하지 않음). 이와 같이 해서 하드 마스크층(18)이 패터닝된 후, 마스크층(22)(및 반사방지막(20))의 제거를 목적으로 한 애싱 처리를 실시한다. 그리고, 하드 마스크층(18)을 마스크로서 이용하여 도체층(16)을 선택적으로 에칭 제거한다. 그 후, 몇개의 공정을 거쳐서 반도체 장치(10)가 완성된다.
그런데, 포토 리소그래피 공정에 있어서 마스크층(22)에 전사되는 회로 패턴의 패턴 밀도는 웨이퍼 전체에서 균일하다고는 한정할 수 없다. 도 12에 도시하는 바와 같이, 패터닝된 마스크층(22)이, 인접하는 패턴이 접근하고 있는(패턴밀도가「밀」하다) 제l 영역 reg1과, 인접하는 패턴이 이간되어 있는 (패턴밀도가「소」하다) 제2 영역 reg2을 갖는 경우가 있다. 이와 같이 마스크층(22)의 패턴 밀도에 소밀차가 존재하면, 각 패터닝된 마스크층(22)이 마스크로서 이용되어 에칭되는 반사방지막(20)의 측벽형상이 제1 영역 reg1과 제2 영역 reg2 사이에서는 불균일할 가능성이 있다. 이 측벽형상의 불균일은 회로의 미세화를 진행시키는 데에 있어서 큰 장해로 된다. 단, 이 문제에 관해서는 정미 하기 특허문헌1에 기재된 발명에 의해서 해결이 도모되고 있다.
[특허문헌1] 국제공개 제03/007357호 팜플렛
그러나, 마스크층의 패턴밀도에 소밀차가 존재하는 경우, 포토 리소그래피 공정에 있어서 동일선폭(패턴폭)의 포토 마스크를 이용하여 마스크층을 패터닝해도 패터닝된 마스크층의 패턴폭이 패턴밀도에 따라서 불균일할 가능성이 있다.
예를 들면, 도 12에 도시하는 바와 같이, 패턴밀도가「밀」한 제1 영역 reg1에 속하는 마스크층(22-1)은 패턴폭 L1으로 패터닝되는 것에 반해, 패턴밀도가 「소」한 제2 영역 reg2에 속하는 마스크층(22-2)은 패턴폭 L2(<L1)로 패터닝될 우려가 있다. 즉, 마스크층(22-1)쪽이 마스크층(22-2)에 비해 넓게 패터닝되어 버린다. 또한, 도 12에 도시한 형상과는 반대로, 마스크층(22-1)쪽이 마스크층(22-2)에 비해 좁게 패터닝되어 버리는 경우도 있다.
이와 같이, 패턴폭이 다른 마스크층(22-1)과 마스크층(22-2)을 마스크로서 이용하여 반사방지막(20)을 선택 에칭하고, 또한 하드 마스크층(18) 및 그 이하의 층에 대한 에칭 처리를 진행시키면, 회로 패턴의 미소치수(Critical Dimensions : CD)에 편차가 발생하게 되어 제조되는 반도체 장치의 성능을 웨이퍼 전체에 걸쳐 균일화하는 것은 극히 곤란하게 된다.
또한, 패터닝된 마스크층의 패턴폭이 패턴밀도에 따라서 편차없이 균일하더라도 그 패턴폭이 설계값으로부터 어긋날 가능성도 있다. 또한, 최근에는 포토 리 소그래피 기술에서는 실현하는 것이 곤란한 미세치수 레벨의 패터닝이 요구되고 있다. 어느 경우에도 종래의 기술에서는 정확하게 설계값에 맞추어 넣는 것은 극히 곤란하다.
본 발명은 이와 같은 문제를 감안해서 이루어진 것으로서, 그 목적은 마스크층의 패턴폭을 조정하면서, 마스크층에 의해서 마스크되는 피에칭층을 소정의 패턴폭으로 에칭하는 것이 가능한 신규이고 또한 개량된 에칭 방법 및 이를 실행시키는 프로그램이 기억된 판독가능 컴퓨터 기억매체을 제공하는 것이다.
상기 과제를 해결하기 위해, 본 발명에 의하면, 미리 패터닝된 마스크층의 측벽에 플라즈마 반응 생성물을 퇴적시켜 상기 마스크층의 패턴폭을 넓히는 제1 공정과, 패턴폭이 넓혀진 상기 마스크층을 마스크로 해서 피에칭층을 에칭하는 제2 공정을 갖는 것을 특징으로 하는 에칭 방법 및 이를 실행시키는 프로그램이 기억된 판독가능 컴퓨터 기억매체가 제공된다. 이 방법에 따르면, 미리 패터닝된 마스크층의 패턴폭이 설계치수에 대해 어긋남을 갖고 있어도, 이 어긋남을 수정하는 것이 가능해진다. 그 결과, 피에칭층의 패턴도 설계값 치수대로 형성할 수 있다. 또한, 상기 제2 공정에 있어서 상기 마스크층의 측벽을 에칭하고 상기 마스크층의 패턴폭을 좁히면서 상기 피에칭층을 에칭하는 것이 바람직하다. 제2 공정에 있어서 마스크층의 패턴폭이 좁혀지기 때문에 패턴폭을 더욱 미세치수로 조정하는 것이 가능해진다. 또한, 제1 공정에 있어서 처리 가스로서 CF계 가스, CHF계 가스 또는 CH계 가스 중의 적어도 어느 하나를 이용하는 것이 바람직하다. 예를 들면, CHF3를 처리 가스로서 이용하면, 제1 공정에 있어서 마스크층의 패턴폭은 효율적으로 확장된다.
상기 과제를 해결하기 위해, 본 발명에 의하면, 미리 패터닝된 마스크층의 측벽을 횡방향으로 에칭하여 마스크층의 패턴폭을 좁히는 제1 공정과, 패턴폭이 좁혀진 마스크층을 마스크로 해서 해당 마스크층의 측벽을 횡방향으로 에칭하여 마스크층의 패턴폭을 더욱 좁히면서 피에칭층을 에칭하는 제2 공정을 갖는 것을 특징으로 하는 에칭 방법 및 이를 실행시키는 프로그램이 기억된 판독가능 컴퓨터 기억매체가 제공된다. 이 방법에 따르면, 미리 패터닝된 마스크층의 패턴폭이 설계치수에 대해 어긋남을 갖고 있어도 이 어긋남을 수정하는 것이 가능해진다. 그 결과, 피에칭층의 패턴도 설계치수대로 형성할 수 있다. 또한, 제1 공정과 제2 공정에 있어서 마스크층이 횡방향으로 에칭되기 때문에, 마스크층의 패턴폭을 더욱 미세하게 마무리할 수 있다. 또한, 제1 공정에 있어서 처리 가스로서 O2 가스를 이용하는 것이 바람직하다. 또한, 제1 공정에 있어서 마스크층 및 피에칭층을 구비하는 피처리체가 탑재되는 한쪽의 전극(예를 들면, 하부 전극)에 대해 전력을 인가하지 않고, 다른쪽의 전극(예를 들면, 상부 전극)에만 전력을 인가하는 것에 의해서, 플라즈마내의 이온이 피처리체의 방향으로 인입되어 없어진다. 이들 프로세스 조건을 선택하는 것에 의해서, 제1 공정에 있어서 마스크층의 측벽의 에칭이 효율좋게 진행하게 된다.
마스크층이 각 패턴이 접근하여 밀하게 배치된 제1 영역과, 각 패턴이 이간 되어 소하게 배치된 제2 영역을 갖고, 미리 패터닝된 마스크층의 패턴폭이 제1 영역에 속하는 마스크층과 제2 영역에 속하는 마스크층 사이에서 다른 경우, 제1 공정에 있어서 제1 영역에 속하는 마스크층의 패턴폭과 제2 영역에 속하는 마스크층의 패턴폭이 일치하도록, 상기 각 영역에 속하는 마스크층의 패턴폭을 넓히는(또는 좁히는) 것이 바람직하다. 이와 같이 각 영역에 속하는 마스크층의 패턴폭이 나란히 배열되는 것에 의해서 피에칭층의 패턴폭도 균일화되게 된다.
마스크층은 포토 레지스트재로 구성할 수 있다. 또한, 이 포토 레지스트재는 ArF광에 감광하는 형태라도 좋다.
한편, 피에칭층은 반사방지막으로 구성할 수 있다. 그리고, 유기계의 반사방지막을 채용하는 것이 가능하다.
상기 제2 공정에 있어서 처리 가스로서, CF4 가스와 O2 가스의 양쪽의 가스를 이용해도 좋다. 또한, 상기 제2 공정에 있어서 처리 가스로서 CF4 가스를 이용해도 좋다.
상기 마스크층에는 콘택트 홀의 패턴이 형성되어 있어도 좋다. 또한, 상기 제1 공정에 있어서 상기 마스크층의 패턴폭을 감시하여 해당 패턴폭이 목표치수에 도달했을 때에 해당 제1 공정을 종료해도 좋다.
이하에 첨부 도면을 참조하면서, 본 발명의 바람직한 실시예에 대해 상세하 게 설명한다. 또, 본 명세서 및 도면에 있어서, 실질적으로 동일한 기능구성을 갖는 구성요소에 대해서는 동일 부호를 붙이는 것에 의해 중복설명을 생략한다.
(플라즈마 처리 장치)
본 실시예에 관한 플라즈마 처리 장치의 일예로서, 평행평판형의 플라즈마 처리 장치(101)의 개략구성을 도 1에 도시한다.
이 플라즈마 처리 장치(101)는 예를 들면 표면이 양극산화 처리(알루마이트 처리)된 알루미늄으로 이루어지는 챔버(처리용기)(102)를 갖고 있고, 이 챔버(102)는 접지되어 있다. 챔버(102)내의 바닥부에는 세라믹 등의 절연판(103)을 거쳐서 피처리체로서의 반도체 웨이퍼(이하, 「웨이퍼」라 함) W를 탑재하기 위한 서셉터 지지대(104)가 마련되어 있다. 이 서셉터 지지대(104)의 위에는 하부 전극을 구성하는 서셉터(105)가 마련되어 있다. 이 서셉터(105)에는 하이패스필터(HPF)(106)가 접속되어 있다.
서셉터 지지대(104)의 내부에는 온도조절 매체실(107)이 마련되어 있다. 그리고, 도입관(108)을 거쳐서 온도조절 매체실(107)에 온도조절 매체가 도입, 순환되어 배출관(109)으로부터 배출된다. 이와 같은 온도조절 매체의 순환에 의해, 서셉터(105)를 원하는 온도로 조정할 수 있다.
서셉터(105)는 그의 상측 중앙부가 볼록 형상인 원판형상으로 성형되고, 그 위에 웨이퍼 W와 대략 동일 형태의 정전척(111)이 마련되어 있다. 정전척(111)은 절연재의 사이에 전극(112)이 개재된 구성으로 되어 있다. 정전척(111)은 전극 (112)에 접속된 직류 전원(113)으로부터 예를 들면 2.5 ㎸의 직류 전압이 인가된다. 이것에 의해서, 웨이퍼 W가 정전척(111)에 정전 흡착된다.
그리고, 절연판(103), 서셉터 지지대(104), 서셉터(105) 및 정전척(111)에는 피처리체인 웨이퍼 W의 이면에 전열매체(예를 들면 He 가스 등의 백사이드 가스)를 공급하기 위한 가스통로(114)가 형성되어 있다. 이 전열 매체를 거쳐서 서셉터(105)와 웨이퍼 W 사이의 열 전달이 이루어지고 웨이퍼 W가 소정의 온도로 유지된다.
서셉터(105)의 상단 주연부에는 정전척(111)상에 탑재된 웨이퍼 W를 둘러싸도록 환상의 포커스링(115)이 배치되어 있다. 이 포커스링(115)은 세라믹스 또는 석영 등의 절연성재료, 또는 도전성재료에 의해 구성되어 있다. 포커스링(115)이 배치되는 것에 의해서, 에칭의 균일성이 향상한다.
또한, 서셉터(105)의 위쪽에는 이 서셉터(105)와 평행하게 대향하여 상부 전극(121)이 마련되어 있다. 이 상부 전극(121)은 절연재(122)를 거쳐서 챔버(102)의 내부에 지지되어 있다. 상부 전극(121)은 서셉터(105)와의 대향면을 구성하고 다수의 토출 구멍(123)을 갖는 전극판(124)과, 이 전극판(124)을 지지하는 전극지지체(125)에 의해서 구성되어 있다. 전극판(124)은 예를 들면 석영으로 이루어지고, 전극지지체(125)는 예를 들면 표면이 알루마이트 처리된 알루미늄 등의 도전성재료로 이루어진다. 또, 서셉터(105)와 상부 전극(121)의 간격은 조절 가능하게 되어 있다.
상부 전극(121)에 있어서의 전극지지체(125)의 중앙에는 가스도입구(126)가 마련되어 있다. 이 가스도입구(126)에는 가스 공급관(127)이 접속되어 있다. 또, 이 가스 공급관(127)에는 밸브(128) 및 매스플로컨트롤러(129)를 거쳐서 처리 가스 공급원(130)이 접속되어 있다.
이 처리 가스 공급원(130)으로부터 플라즈마 에칭을 위한 에칭 가스가 공급되도록 되어 있다. 또, 도 1에는 가스 공급관(127), 밸브(128), 질량유량 컨트롤러(129) 및 처리 가스 공급원(130) 등으로 이루어지는 처리 가스 공급계를 1개만 도시하고 있지만, 플라즈마 처리 장치(101)는 복수의 처리 가스 공급계를 구비하고 있다. 예를 들면, CF4, CHF3, CH4, O2, N2, Ar, He 및 Xe 등의 처리 가스가 각각 독립적으로 유량 제어되어 챔버(102)내로 공급된다.
챔버(102)의 바닥부에는 배기관(131)이 접속되어 있고, 이 배기관(131)에는 배기 장치(135)가 접속되어 있다. 배기 장치(135)는 터보분자 펌프 등의 진공 펌프를 구비하고 있고, 챔버(102)내를 소정의 감압분위기(예를 들면 0.67 Pa이하)로 조정한다. 또한, 챔버(102)의 측벽에는 게이트밸브(132)가 마련되어 있다. 이 게이트밸브(132)가 열리는 것에 의해서, 챔버(102)내로의 웨이퍼 W의 반입 및 챔버(102)내로부터의 웨이퍼 W의 반출이 가능해진다. 또, 웨이퍼 W의 반송에는 예를 들면 웨이퍼 카세트가 이용된다.
상부 전극(121)에는 제1 고주파 전원(140)이 접속되어 있고, 그 급전선에는 제1 정합기(141)가 개재되어 삽입되어 있다. 또한, 상부 전극(121)에는 로우패스필터(LPF)(142)가 접속되어 있다. 이 제1 고주파 전원(140)은 50 ∼ 150 ㎒의 범위의 주파수를 갖는 전력을 출력하는 것이 가능하다. 이와 같이 높은 주파수의 전력을 상부 전극(121)에 인가하는 것에 의해, 챔버(102)내에 바람직한 해리상태이고 또한 고밀도의 플라즈마를 형성할 수 있어 종래에 비해 저압 조건하의 플라즈마 처리가 가능해진다. 제1 고주파 전원(140)의 출력 전력의 주파수는 50 ∼ 80 ㎒가 바람직하고, 전형적으로는 도시한 60 ㎒ 또는 그 근방의 주파수로 조정된다.
하부 전극으로서의 서셉터(105)에는 제2 고주파 전원(150)이 접속되어 있고, 그 급전선에는 제2 정합기(151)가 개재되어 삽입되어 있다. 이 제2 고주파 전원(150)은 수백 ㎑ ∼ 십수 ㎒의 범위의 주파수를 갖는 전력을 출력하는 것이 가능하다. 이와 같은 범위의 주파수의 전력을 서셉터(105)에 인가하는 것에 의해, 피처리체인 웨이퍼 W에 대해 손상을 주지 않고 적절한 이온작용을 부여할 수 있다. 제2 고주파 전원(150)의 출력 전력의 주파수는 전형적으로는 도시한 13.5 6㎒ 또는 2 ㎒ 등으로 조정된다.
또한, 플라즈마 처리 장치(101)는 제어장치(110)을 포함한다. 제어장치(110)는, 예를 들어, 온도조절매체와 열전달 매체의 유량, 및 필터(106, 142), 정합기(141, 151), 전원(113, 140, 150), 밸브(128, 132), MFC(129) 및 배기장치(135)와 같은 전기적·기계적인 구성요소들의 조작을 제어하여 플라즈마 처리 장치(101)에 의해 실행되는 공정들을 자동으로 제어하도록 하는 것이 바람직하다. 제어장치(110)는, CPU, 마더보드(MB), 하드디스크(HD), ROM 및 RAM과 같은 메모리, CD/DVD 드라이브를 포함하는, 예를 들어, PC와 같은 범용 컴퓨터에 의해 실행될 수 있다. 공정의 제어는 제어장치(110)의 제어 프로그램이나 소프트웨어의 제어하에서 실시 될 수 있다. 도 1에 구체적으로 나타나 있지는 않지만, 제어장치 라인(도시하지 않음)을 통해, 제어장치(110)으로부터 전술한 전기적 기계적 구성요소들에게 제어신호가 제공된다. 전술한 전기적 기계적 구성요소들이 거기에 설치된 엑츄에이터를 이용해 제어될 수 있다는 것은 그 기술분야의 기술자들에게 명백히 공지되어 있다. 또한, 도 1에 도시하지 않았지만, 플라즈마 처리장치(101)는, 가령 써셉터(105)의 온도, 챔버내 압력과 같은 프로세스 파라미터를 모니터하는데 필요한 여러 센서들을 구비하여 그들을 제어하고, 센서에 의해 모니터된 신호들은 제어장치(110)에 제공될 수 있다. 제어 프로그램은 제어장치(110)에 프로그램되거나, 예를 들어, 네트워크나 CD/DVD 드라이브를 통해 외부로부터 제공되어, 그 후, 예를 들어 실행용 하드 디스크에 기억될 수 있다.
(피처리체의 막 구조)
다음에, 도 1에 도시한 플라즈마 처리 장치(101)에 의해서, 에칭 처리되는 피처리체의 예에 대해서 도 2를 참조하면서 설명한다.
도 2에 도시한 바와 같이, 피처리체(200)는 웨이퍼(202)상에 순차 적층된 절연층(204), 도체층(206), 하드 마스크층(208)을 구비하고, 또한 하드 마스크층(208)상에 반사방지막(210)과, 포토 레지스트재로 이루어지는 마스크층(212)을 구비하고 있다.
마스크층(212)을 구성하는 포토 레지스트재는 예를 들면, ArF광(파장 193 ㎚)에 감광하는 형태의 것으로서, 그 두께는 300 ㎚이다.
반사방지막(210)은 마스크층(212)을 ArF광 등으로 노광할 때에, 하지층으로부터의 반사광을 억제하는 작용을 한다. 이것에 의해서, 보다 미세한 패터닝이 가능해진다. 또, 여기서의 반사방지막(210)의 막두께는 90 ㎚이다.
하드 마스크층(208)은 예를 들면 TEOS(TetraEthyl OrthoSilicate)로 구성되어 있고, 패터닝된 마스크층(212)과 반사방지막(210)을 마스크로서 이용하여 선택적으로 에칭된다. 아래에 위치하는 도체층(206)은 패터닝된 하드 마스크층(208)을 이용하여 선택적으로 에칭된다. 또, 여기서의 하드 마스크층의 두께는 50 ㎚이다.
도체층(206)은 예를 들면 다결정 실리콘으로 구성되어 있고, 그 두께는 150 ㎚이다. 또한, 절연층(204)은 예를 들면 실리콘 산화막으로 구성되어 있고, 그 두께는 2 ㎚이다. 예를 들면, 피처리체(200)로부터 트랜지스터를 제조하는 경우, 도체층(206)은 드레인 전극 및 소스 전극으로 되고, 절연층(204)은 게이트 산화막으로 된다.
이상과 같은 막 구조를 갖는 피처리체(200)는 플라즈마 처리 장치(101)에 의해서 에칭 처리되기 전에, 포토 리소그래피 처리가 실시된다. 이 포토 리소그래피 처리는 마스크층(212)에 대해 실시되는 것으로서, 마스크층(212)에는 예를 들면 라인 앤드 스페이스 패턴이 형성된다.
포토 리소그래피 처리가 실시된 시점에서의 피처리체(200)의 종단면을 도 3a에 도시한다. 도 3a에 도시한 바와 같이, 패터닝된 마스크층(212)은 인접하는 패턴이 접근하고 있는(패턴 밀도가「밀」하다) 제1 영역 reg11과, 인접하는 패턴이 이간되어 있는(패턴밀도가「소」하다) 제2 영역 reg12을 갖고 있다. 여기서는 제1 영 역 reg11에 속하는 마스크층(212-1)은 1 : 1의 라인 앤드 스페이스 패턴(선폭과 간극폭이 1 : 1인 패턴)으로 형성되어 있고, 제2 영역 reg12에 속하는 마스크층(212-2)은 1 : 10의 라인 앤드 스페이스 패턴(선폭과 간극폭이 1 : 10인 패턴)으로 형성되어 있다.
그런데, 포토 리소그래피 기술을 이용하여 마스크층(212)을 패터닝하면, 포토 마스크의 선폭과 마스크층(212)의 선폭 사이에 치수 변환차(Critical Dimensional shift : CD 시프트)가 발생해 버린다. 또한, 이 CD 시프트의 크기는 마스크층(212)에 전사되는 패턴밀도에 의해서 불균일할 가능성이 있다. 도 3(a)에 도시한 예에서는 제2 영역 reg12에 속하는 마스크층(212-2)의 패턴폭 L120은 제1 영역 reg11에 속하는 마스크층(212-1)의 패턴폭 L110에 비해 좁게 되어 있다.
마스크층(212)의 아래에 위치하는 각 층은 이 마스크층(212)의 패턴에 따라 에칭되기 때문에, 마스크층(212)의 패턴폭이 패턴밀도에 따라서 불균일하게 되어 있던 것에서는 회로 패턴의 미소치수(Critical Dimensions : CD)에도 편차가 생기게 된다. 또한, 제조되는 반도체 장치의 성능을 웨이퍼 전체에 걸쳐 균일화하는 것은 극히 곤란하게 된다.
본 발명에 의하면, 포토 리소그래피 기술을 이용하여 패터닝된 마스크층(212)의 패턴폭에 편차가 있던 경우에도 이 편차를 없애고 웨이퍼 전체에 걸쳐 균일한 회로를 형성하는 것이 가능해진다. 이하, 본 발명의 제 1 실시예에 관한 에칭 방법을 설명한다.
(제 1 실시예)
본 실시예에 있어서는 마스크층(212)을 마스크로서 이용하고 그 아래의 반사방지막(피에칭층)(210)을 선택적으로 플라즈마 에칭한다. 그리고, 이 에칭 처리는 프로세스 조건이 다른 적어도 2개의 공정(제1 공정과 제2 공정)으로 나누어 실시된다.
우선, 제1 공정에서는 미리 포토 리소그래피 공정에 있어서 패터닝된 마스크층(212)의 측벽에 반응 생성물을 퇴적시키고, 각 패턴폭을 넓히도록 프로세스 조건을 설정한다. 또한, 단지 패턴폭을 넓히는 것 뿐만 아니라 패턴폭이 다른 제1 영역 reg11에 속하는 마스크층(212-1)의 패턴폭 L110과 제2 영역 reg12에 속하는 마스크층(212-2)의 패턴폭 L120이 제1 공정종료시점에서 일치하도록 프로세스 조건을 설정한다. 제1 공정에 있어서의 구체적인 설정 조건의 예를 이하에 기재한다. 또, 이 제1 공정에 있어서는 마스크층(212)에 의해서 마스크되는 피에칭층으로서의 반사방지막(210)은 거의 에칭되지 않는다.
처리 가스 : CHF3(유량 200 sccm)
챔버내압력: 10 mTorr
상부전극 인가 고주파 전력: 200 W
하부전극 인가 고주파 전력: 100 W
He 가스압력(센터/에지):3/3 Torr
챔버내 온도(톱/벽/보틈): 80/60/30 ℃
에칭 시간: 185 sec
상기의 프로세스 조건으로 제1 공정을 실시하면, 도 3b에 도시하는 바와 같이, 제1 영역 reg11에 속하는 마스크층(212-1)의 패턴폭 L110이 패턴폭 L111로 증가하고, 제2 영역 reg12에 속하는 마스크층(212-2)의 패턴폭 L 120도 패턴폭 L121로 증가한다. 단, 제1 영역 reg11에 속하는 마스크층(212-1)에 비해 제2 영역 reg12에 속하는 마스크층(212-2)의 측벽에 부착되는 반응생성물의 양은 많고, 그 패턴폭의 증가율도 크다. 그 결과, 초기 상태에서 패턴폭이 다르던 제1 영역 reg11에 속하는 마스크층(212-1)의 패턴폭 L110과 제2 영역 reg12에 속하는 마스크층(212-2)의 패턴폭 L120은 제1 공정종료시점에서 각각 패턴폭 L111 및 패턴폭 L121(= L111)로 되고, 양자는 일치한다.
도 4는 에칭 시간(제1 공정에서는「데포 시간」)과 마스크층(212)의 패턴폭의 관계를 도시하고 있다. 동일도면 중, 제1 영역 reg11에 속하는 마스크층(212-1)의 패턴폭은 ◇로 플롯되어 있고, 제2 영역 reg12에 속하는 마스크층(212-2)의 패턴폭은 ○로 플롯되어 있다. 동일 도면에 의하면, 에칭 시간 185 sec경과 시점에서 마스크층(212-1)의 패턴폭과 마스크층(212-2)의 패턴폭이 일치하고 있는 것을 알 수 있다. 이 제1 공정의 에칭 시간(185 sec)에 대해서는 예를 들면 다음의 방법에 근거하여 결정된다.
미리 동일한 막 구조를 갖는 웨이퍼샘플을 이용하여 마스크층의 패턴폭(초기 값)을 측정해 둔다. 다음에, 동일 조건하에서 제1 공정을 실시하고, 적당한 시간으로 처리를 중지시키고, 그 때의 마스크층의 패턴폭을 측정한다. 여기서 측정된 패턴폭과 초기값의 차를 구한다. 그리고, 이 패턴폭의 차와 처리 시간에 따라 제1 공정에 있어서의 마스크층의 패턴폭 증가율(선분의 기울기)을 산출한다. 본 실시예에 있어서는 패턴밀도가「밀」한 영역에 속하는 마스크층과, 패턴밀도가「소」한 영역에 속하는 마스크층은 패턴폭 증가율이 다르기 때문에, 임의의 시간 경과후에 마스크층의 패턴폭이 일치하게(선분이 교차하게) 된다. 이 경과 시간을 제1 공정의 실시 시간으로 한다. 본 실시예에서는「185 sec」이다. 또, 각종 프로세스 파라미터를 변경하는 것에 의해서 에칭 시간(제1 공정의 실시 시간)을 조정할 수 있다.
도 5는 제1 영역 reg11에 속하는 마스크층(212-1)의 패턴폭과, 제2 영역 reg12에 속하는 마스크층(212-2)의 패턴폭을 측정한 결과를 도시하고 있다.
초기 상태, 즉 포토 리소그래피 공정을 끝낸 시점에서의 제1 영역 reg11에 속하는 마스크층(212-1)의 패턴폭 L110은 119.1 ㎚인 데 대해, 제2 영역1eg12에 속하는 마스크층(212-2)의 패턴폭 L120은 104.6 ㎚로 좁다. 그 차는 -14.5 ㎚이다.
본 실시예에 관한 에칭 방법의 제1 공정을 실시하면, 제1 영역 reg11에 속하는 마스크층(212-1)의 패턴폭 L110은 134.6 ㎚(L111)로 넓어지고, 제2 영역 reg12에 속하는 마스크층(212-2)의 패턴폭 L120도 134.8 ㎚(L121)로 넓어진다. 이 때, 제2 영역 reg12에 속하는 마스크층(212-2)의 패턴폭의 증가율은 제1 영역 reg11에 속하는 마스크층(212-1)의 패턴폭의 증가율에 비해 크기 때문에, 양 패턴폭의 차는 0.2 ㎚로 된다. 이 값은 실질적으로 제1 영역 reg11에 속하는 마스크층(212-1)의 패턴폭 L111과 제2 영역 reg12에 속하는 마스크층(212-2)의 패턴폭 L121이 일치하고 있다고 할 수 있을 정도로 약간의 것이다.
그런데, 포토 리소그래피 공정에 있어서, 포토 레지스트재로 이루어지는 마스크층에 라인 앤드 스페이스 패턴이 형성되면, 라인단(측벽부)은 완전한 직선으로는 마무리되지 않고, 여기에 약간의 조도(물결)가 발생해 버린다. 이것은 일반적으로 라인 에지 러프니스(LER : Line Edge Roughness)로 칭해져 있다. LER은 통상 수 ㎚ 정도로 작지만, 패턴이 미세화가 진행하면 이 수치도 무시할 수 없게 된다. 더욱 고성능이고 초미세한 반도체 장치를 제조하기 위해서는 LER을 경감시킬 필요가 있다. 이 점에서, 본 실시예에 따르면, 제1 공정에 있어서 마스크층(212-1)과 마스크층(212-2)의 패턴폭이 확대하고, 이것에 따라 라인단의 평탄화도 실현한다.
이와 같이, 제1 공정을 종료한 시점에서 패턴밀도의 소밀에 관계없이 마스크층(212)의 패턴폭이 나란히 배열되고 또한 LER도 경감한다. 그리고, 계속되는 제2 공정에 있어서 패턴폭이 나란히 배열된 마스크층(212)을 마스크로서 이용하여 반사방지막(210)을 에칭한다.
제2 공정에서는 반사방지막(210)을 종방향으로 에칭하는 것 뿐만 아니고, 제1 공정에 있어서 넓혀진 마스크층(212)의 패턴폭을 좁히는 처리도 실행된다. 즉, 마스크층(212)에 대한 횡방향의 에칭(소위, 「트리밍」)도 아울러 실시된다. 이 트리밍은 제1 공정의 종료시점에서의 마스크층(212)의 패턴폭에 따라 실시된다. 예를 들면, 제1 공정에 있어서 넓혀진 마스크층(212)의 패턴폭이 최종적으로 요구되는 반도체 장치의 회로패턴폭보다 넓은 경우에는 트리밍 처리를 실시하는 것이 바람직 하다. 본 실시예에 있어서는 반사방지막(210)에 대한 에칭 처리와 마스크층(212)에 대한 트리밍 처리가 병행 실시된다.
제2 공정에 있어서의 프로세스 조건은 반사방지막(210)에 대한 에칭 처리를 고려하여 설정될 뿐만 아니라, 제2 공정에 있어서 마스크층(212)에 대한 트리밍을 실행하는 경우, 상기의 제1 공정과는 달리, 제1 영역 reg11에 속하는 마스크층(212-1)의 패턴폭과 제2 영역 reg12에 속하는 마스크층(212-2)의 패턴폭이 동등 레이트로 좁아져 가도록 설정된다. 제2 공정에 있어서의 구체적인 설정 조건의 예를 이하에 기재한다.
처리 가스: CF4(유량 40 sccm) + O2(유량 40 sccm)
챔버내압력: 20 mTorr
상부전극 인가 고주파 전력: 600 W
하부전극 인가 고주파 전력: 100 W
오버 에칭: 10 %
또, 제2 공정에서는 반사방지막(210)의 하지층(여기서는 하드 마스크층(208))의 노출을 검출한 후, 상기한 바와 같이 10 %의 오버에칭을 실행하고, 에칭처리를 종료한다.
상기의 프로세스 조건으로 제2 공정을 실시하면, 도 3c에 도시하는 바와 같 이, 제1 영역 reg11에 속하는 마스크층(212-1)의 패턴폭 L111이 패턴폭 L112로 축소하고, 제2 영역 reg12에 속하는 마스크층(212-2)의 패턴폭 L121도 패턴폭 L122로 축소한다. 또한, 그 축소율은 마스크층(212)의 패턴밀도에 관계없이 일정하다. 그 결과, 제2 공정종료시점에서 패턴폭 L112 및 패턴폭 L122은 동일하다.
또, 제2 공정에서는 패턴폭 L112의 마스크층(212-1)과 패턴폭 L122의 마스크층(212-2)을 마스크로서 이용하여 반사방지막(210)이 에칭된다. 따라서, 반사방지막(210)의 패턴폭도 패턴밀도에 관계없이 웨이퍼 전역에서 균일하게 조정된다.
여기서 재차 도 4와 도 5를 참조한다. 도 4에 도시하는 바와 같이, 제1 공정에서 일단 증가한 패턴폭은 제2 공정에 있어서 패턴밀도에 관계없이 일정한 레이트로 축소한다. 그리고, 마스크층(212-1)(및 반사방지막(210))의 패턴폭과 마스크층(212-2)(및 반사방지막(210))의 패턴폭이 일치한 상태에서 제2 공정이 종료한다.
도 5는 제2 공정종료시에 있어서의 제1 영역 reg11에 속하는 마스크층(212-1)의 패턴폭 L112와, 제2 영역 reg12에 속하는 마스크층(212-2)의 패턴폭 L122의 측정 결과를 도시하고 있다. 본 실시예에 관한 에칭 방법의 제2 공정을 실시하면, 제1 공정종료시점에 비해, 제1 영역 reg11에 속하는 마스크층(212-1)의 패턴폭은 104.7 ㎚로 좁아지고, 제2 영역 reg12에 속하는 마스크층(212-2)의 패턴폭도 104. 1 ㎚로 좁아진다. 그리고, 양 패턴폭의 차는 -0.6 ㎚로 된다. 이 값은 실질적으로 제1 영역 reg11에 속하는 마스크층(212-1)의 패턴폭 L112와 제2 영역 reg12에 속하는 마스크층(212-2)의 패턴폭 L122가 일치하고 있다고 할 수 있는 정도로 약간의 것이다.
이상과 같이, 제1 실시예에 관한 에칭 방법에 따르면, 제1 공정에 있어서 마스크층(212)의 패턴폭이 일단 넓혀지고, 제2 공정에 있어서 마스크층(212)이 소정의 패턴폭까지 좁혀진다. 특히, 제1 공정에서는, 마스크층(212)의 패턴밀도의 소밀에 따라서 다른 레이트로 패턴폭이 확장되고, 제1 공정종료시점에 있어서 패턴밀도의 소밀에 관계없이 모든 마스크층(212)의 패턴폭이 동일하게 되도록 처리가 실시된다. 따라서, 초기 상태에 있어서, 마스크층(212)의 패턴밀도의 소밀에 기인하여 마스크층(212)의 패턴폭이 다른 경우에도 피에칭층으로서의 반사방지막(210)의 패턴폭을 균일하게 조정하는 것이 가능해진다.
또한, 제1 실시예에 관한 에칭 방법에 따르면, 초기 상태에 있어서 마스크층(212)의 패턴폭이 큰 CD 시프트량을 갖고 있어도 제1 공정에 있어서 일단 설계값보다 넓게 마스크층(212)의 패턴폭을 확장하고, 제2 공정에 있어서 마스크층(212)의 패턴폭을 설계값에 맞추어 넣는 것도 가능해진다. 그 결과, 극히 미세한 회로 패턴을 형성하고, 또한 그 회로패턴의 치수오차도 최소한으로 억제할 수 있다.
그런데, 실제의 웨이퍼(피처리체)에 있어서의 마스크층의 패턴밀도 영역은 도 3에 도시한 바와 같은 2종류에 한정되는 경우는 드물며, 일반적으로는 하나의 웨이퍼에는 많은 마스크층의 패턴밀도 영역이 존재한다. 본 발명에 따르면, 마스크층의 패턴밀도의 배리에이션이 많이 존재하는 웨이퍼에 대해서도 앞서 설명한 작용 및 효과와 마찬가지의 작용, 효과가 얻어진다. 이 점을 확인한 실험 결과를 도 6과 도 7에 도시한다.
도 6은, 다수의 패턴밀도 영역(라인 앤드 스페이스 패턴 1:1, 1:1.2, 1:1.4, …, 1:5, 1:10, 1:20)을 구비한 샘플 웨이퍼에 대해, 본 실시예에 관한 에칭방법의 제1 공정을 실시했을 때의 CD의 변화(CD 바이어스)의 측정 결과를 도시하고 있다. 또한, 도 7은 동일한 샘플에 대해, 본 실시예에 관한 에칭 방법의 제2 공정을 실시했을 때의 CD 바이어스의 측정 결과를 도시하고 있다. 또, 이 샘플에서는 1:1의 라인 앤드 스페이스 패턴(선폭과 간극폭이 1:1인 패턴)의 영역이 가장 패턴밀도가「밀」하고, 1:20의 라인 앤드 스페이스 패턴(선폭과 간극폭이 1:20인 패턴)의 영역이 가장 패턴밀도가 「소」하다.
도 6으로부터 명확한 바와 같이, 제1 공정이 완료한 시점에서는 패턴밀도가「밀」한 영역에 비해 「소」한 영역에 속하는 마스크층의 패턴폭이보다 확장하고 있다. 예를 들면, 라인 앤드 스페이스 패턴 1:1인 영역에서는 마스크층의 패턴폭이 초기값에 대해 약 13 ㎚ 증가하고 있는 데 반해, 라인 앤드 스페이스 패턴 1:20인 영역에서는 마스크층의 패턴폭이 초기값에 대해 약 25 ㎚나 증가하고 있다. 이 상태로부터 제2 공정을 실시하는 것에 의해서, 도 7에 도시한 바와 같이, 패턴밀도에 관계없이 모든 영역에 있어서 마스크층의 패턴폭이 초기값에 대해 약 47 ㎚ 축소한다. 즉, 제2 공정이 종료한 시점에서는 각 마스크층의 패턴폭은 모두 거의 동일한 값으로 조정되어 있다.
이상과 같이, 본 실시예에 관한 에칭 방법에 따르면, 하나의 웨이퍼에 많은 마스크층의 패턴밀도 영역이 존재하는 경우에도 모든 마스크층의 패턴폭을 균일화하면서 이 마스크층을 이용하여 피에칭층을 에칭할 수 있다.
본 실시예에서는 마스크층에 라인 앤스 스페이스 패턴이 형성되어 있는 예에 대해서 설명했지만, 마스크층에는 콘택트 홀의 패턴이 형성되어 있어도 좋다. 이러한 경우, 예를 들면 제1 공정에 있어서 마스크층의 홀 패턴의 내벽에 플라즈마 반응 생성물을 퇴적시키는 것에 의해서, 피에칭층에 더욱 직경이 작은 미세한 콘택트 홀을 형성할 수 있다.
(제2 실시예)
다음에, 본 발명의 제2 실시예에 대해서 도면을 참조하면서 설명한다. 제1 실시예에 있어서 도 3a에 도시한 예에서는 제2 영역 reg12(패턴밀도가「소」한 영역)에 속하는 마스크층(212-2)의 패턴폭 L120이 제1 영역 reg11( 패턴밀도가「밀」한 영역)에 속하는 마스크층(212-1)의 패턴폭 L110에 비해 좁게 되어 있다. 마스크층(212)에 대해 포토 그래피 처리를 실행하고 마스크층을 패터닝했을 때에는 이것과 반대의 현상이 일어날 가능성도 있다. 즉, 도 8a에 도시하는 바와 같이, 제2 영역 reg22(패턴밀도가「소」한 영역)에 속하는 마스크층(212-2)의 패턴폭 L220이 제1 영역 reg21(패턴밀도가「밀」한 영역)에 속하는 마스크층(212-1)의 패턴폭 L210에 비해 넓게 되는 경우도 있다.
그래서, 제2 실시예에서는 포토 리소그래피 기술을 이용하여 패터닝된 마스크층(212)의 패턴폭에 도 8a에 도시한 바와 같은 편차가 있던 경우에도 이 편차를 없애 웨이퍼 전체에 걸쳐 균일한 회로를 형성할 수 있는 예를 설명한다.
본 실시예에 있어서는 상기의 제 1 실시예와 마찬가지로, 마스크층(212)을 마스크로서 이용하여 그 아래의 반사방지막(피에칭층)(210)을 선택적으로 플라즈마 에칭한다. 그리고, 이 에칭처리는 프로세스 조건이 다른 적어도 2개의 공정(제1 공정과 제2 공정)으로 나누어 실시된다.
우선, 제1 공정에서는 패터닝된 마스크층(212)을 횡방법으로 에칭하는 트리밍 처리를 실행한다. 이것에 의해서, 마스크층(212)의 각 패턴폭이 좁아지게 된다. 또한, 단지 패턴폭을 좁히는 것 뿐만 아니라, 패턴폭이 다르던 제1 영역 reg21에 속하는 마스크층(212-1)의 패턴폭 L210과 제2 영역 reg22에 속하는 마스크층(212-2)의 패턴폭 L220이 제1 공정종료시점에서 일치하도록 프로세스 조건을 설정한다. 제1 공정에 있어서의 구체적인 설정 조건의 예를 이하에 기재한다. 또, 이 제1 공정에 있어서는 마스크층(212)에 의해서 마스크되는 피에칭층으로서의 반사방지막(210)은 거의 에칭되지 않는다.
처리 가스: O2(유량 70 sccm)
챔버내압력 : 10 mTorr
상부전극 인가 고주파 전력: 200 W
하부전극 인가 고주파 전력: 0 W
He 가스압력(센터/에지) : 3/3 Torr
챔버내 온도(톱/벽/보틈) : 80/60/30 ℃
에칭 시간: 35.6 sec
상기의 프로세스 조건으로 제1 공정을 실시하면, 도 8b에 도시하는 바와 같이, 제1 영역 reg21에 속하는 마스크층(212-1)의 패턴폭 L210이 패턴폭 L211로 축소하고, 제2 영역 reg22에 속하는 마스크층(212-2)의 패턴폭 L220도 패턴폭 L221로 축소한다. 단, 제2 영역 reg22에 속하는 마스크층(212-2)에 대한 트리밍량이 많고, 그 패턴폭의 축소율도 크다. 그 결과, 초기 상태에서 패턴폭이 다르던 제1 영역 reg21에 속하는 마스크층(212-1)의 패턴폭 L210과 제2 영역 reg22에 속하는 마스크층(212-2)의 패턴폭 L220은 제1 공정종료시점에서 각각 패턴폭 L211 및 패턴폭 L221(= L211)로 되고, 양자는 일치한다.
도 9는 에칭 시간(제1 공정에서는「트리밍시간」)과 마스크층(212)의 패턴폭의 관계를 도시하고 있다. 동일도면 중, 제1 영역 reg21에 속하는 마스크층(212-1)의 패턴폭은 ◇로 플롯되어 있고, 제2 영역 reg22에 속하는 마스크층(212-2)의 패턴폭은 ○로 플롯되어 있다. 동일 도면에 의하면, 에칭 시간 35.6 sec 경과 시점에서 마스크층(212-1)의 패턴폭과 마스크층(212-2)의 패턴폭이 일치하고 있는 것을 알 수 있다. 이 제1 공정의 에칭 시간(35.6 sec)에 대해서는 제1 실시예에 있어서의 제1 공정의 경우와 마찬가지의 방법에 근거하여 결정할 수 있다.
미리 마찬가지의 막 구조를 갖는 웨이퍼샘플을 이용하여 마스크층의 패턴폭(초기값)을 측정해 둔다. 다음에, 동일 조건하에서 제1 공정을 실시하고, 적당한 시간에 처리를 중지시켜 그 때의 마스크층의 패턴폭을 측정한다. 여기서 측정된 패턴폭과 초기값과의 차를 구한다. 그리고, 이 패턴폭의 차와 처리 시간에 따라 제1 공정에 있어서의 마스크층의 패턴폭증가율(선분의 기울기)을 산출한다. 본 실시예에 있어서는, 패턴밀도가「밀」한 영역에 속하는 마스크층과, 패턴밀도가「소」한 영역에 속하는 마스크층은 패턴폭 축소율이 다르기 때문에, 임의의 시간경과후에 마스크층의 패턴폭이 일치하게 (선분이 교차하게) 된다. 이 경과 시간을 제1 공정의 실시 시간으로 한다. 본 실시예에 있어서는「35.6 sec」이다. 또, 각종 프로세스 파라미터를 변경하는 것에 의해서 에칭 시간(제1 공정의 실시 시간)을 조정할 수 있다.
도 10은 제1 영역 reg21에 속하는 마스크층(212-1)의 패턴폭과 제2 영역 reg22에 속하는 마스크층(212-2)의 패턴폭을 측정한 결과를 도시하고 있다.
초기 상태, 즉 포토 리소그래피 공정을 끝낸 시점에서의 제1 영역 reg21에 속하는 마스크층(212-1)의 패턴폭 L210은 114.9 ㎚인 데 반해, 제2 영역 reg22에 속하는 마스크층(212-2)의 패턴폭 L220은 126.4 ㎚로 넓다. 그 차는 11.5 ㎚이다.
본 실시예에 관한 에칭 방법의 제1 공정을 실시하면, 제1 영역 reg21에 속하는 마스크층(212-1)의 패턴폭 L210은 91.6 ㎚(L211)로 좁아지고, 제2 영역 reg22에 속하는 마스크층(212-2)의 패턴폭 L220도 93.0 ㎚(L221)로 좁아진다. 이 때, 제2 영역 reg22에 속하는 마스크층(212-2)의 패턴폭의 축소율은 제1 영역 reg21에 속하는 마스크층(212-1)의 패턴폭의 축소율에 비해 크기 때문에, 양 패턴폭의 차는 1.4㎚로 된다. 이 값은 실질적으로 제1 영역 reg21에 속하는 마스크층(212-1)의 패턴폭 L211과 제2 영역 reg22에 속하는 마스크층(212-2)의 패턴폭 L221이 일치하고 있다고 할 수 있을 정도로 약간의 것이다.
이와 같이, 제1 공정을 종료한 시점에서 패턴밀도의 소밀에 관계없이 마스크 층(212)의 패턴폭이 나란히 배열된다. 그리고, 계속되는 제2 공정에 있어서 패턴폭이 나란히 배열된 마스크층(212)을 마스크로서 이용하여 반사방지막(210)을 에칭한다.
제2 공정에서는 반사방지막(210)을 종방향으로 에칭하는 것 뿐만 아니라 제1 공정에 있어서 좁혀진 마스크층(212)의 패턴폭을 더욱 좁히는 처리도 실행된다. 즉, 마스크층(212)에 대한 트리밍도 아울러 실시된다. 이 트리밍은 제1 공정의 종료시점에서의 마스크층(212)의 패턴폭에 따라 실시된다. 예를 들면, 제1 공정에 있어서 좁혀진 마스크층(212)의 패턴폭이 최종적으로 요구되는 반도체 장치의 회로 패턴폭보다 더욱 넓은 경우에는 트리밍 처리를 실시하는 것이 바람직하다. 본 실시예에 있어서는 반사방지막(210)에 대한 에칭 처리와 마스크층(212)에 대한 트리밍 처리가 병행 실시된다.
제2 공정에 있어서의 프로세스 조건은 반사방지막(210)에 대한 에칭 처리를 고려하여 설정될 뿐만 아니라, 제2 공정에 있어서 마스크층(212)에 대한 트리밍을 실행하는 경우, 상기의 제1 공정과는 달리, 제1 영역 reg21에 속하는 마스크층(212-1)의 패턴폭과 제2 영역 reg22에 속하는 마스크층(212-2)의 패턴폭이 동등 레이트로 좁아져가도록 설정된다. 제2 공정에 있어서의 구체적인 설정 조건의 예를 이하에 나타낸다.
처리 가스: CF4(유량 40 sccm) + O2(유량 40 sccm)
챔버내압력: 20 mTorr
상부전극 인가 고주파 전력: 600 W
하부전극 인가 고주파 전력: 100 W
오버 에칭: 10 %
또, 제2 공정에서는 반사방지막(210)의 하지층(여기서는 하드 마스크층(208))의 노출을 검출한 후, 상기한 바와 같이 10%의 오버 에칭을 실행하고 에칭 처리를 종료한다.
상기의 프로세스 조건으로 제2 공정을 실시하면, 도 8c에 도시하는 바와 같이, 제1 영역 reg21에 속하는 마스크층(212-1)의 패턴폭 L211이 패턴폭 L212로 축소하고, 제2 영역 reg22에 속하는 마스크층(212-2)의 패턴폭 L221도 패턴폭 L222로 축소한다. 또한, 그 축소율은 마스크층(212)의 패턴밀도에 관계없이 일정하다. 그 결과, 제2 공정종료시점에서 패턴폭 L212 및 패턴폭 L222는 동일하다.
또한, 제2 공정에서는 패턴폭 L212의 마스크층(212-1)과 패턴폭 L222의 마스크층(212-2)을 마스크로서 이용하여 반사방지막(210)이 에칭된다. 따라서, 반사방지막(210)의 패턴폭도 패턴밀도에 관계없이 웨이퍼 전역에서 균일하게 조정된다.
여기서 재차 도 9와 도 10을 참조한다. 도 9에 도시하는 바와 같이, 제1 공정에서 축소한 패턴폭은 제2 공정에 있어서 패턴밀도에 관계없이 일정한 레이트로 더욱 축소한다. 그리고, 마스크층(212-1)(및 반사방지막(210))의 패턴폭과 마스크층(212-2)(및 반사방지막(210))의 패턴폭이 일치한 상태에서 제2 공정이 종료한다.
도 10은 제2 공정종료시에 있어서의 제1 영역 reg21에 속하는 마스크층(212-1)의 패턴폭 L212과, 제2 영역 reg22에 속하는 마스크층(212-2)의 패턴폭 L222의 측정 결과를 도시하고 있다. 본 실시예에 관한 에칭 방법의 제2 공정을 실시하면, 제1 공정종료시점에 비해 제1 영역 reg21에 속하는 마스크층(212-1)의 패턴폭은 또한 64.4 ㎚로 좁아지고, 제2 영역 reg22에 속하는 마스크층(212-2)의 패턴폭도 또한 63.0 ㎚로 좁아진다. 그리고, 양 패턴폭의 차는 -1.4 ㎚로 된다. 이 값은 실질적으로 제1 영역 reg21에 속하는 마스크층(212-1)의 패턴폭 L212과 제2 영역 reg22에 속하는 마스크층(212-2)의 패턴폭 L222이 일치하고 있다고 할 수 있을 정도로 약간의 것이다.
이상과 같이, 제2 실시예에 관한 에칭 방법에 따르면, 제1 공정에 있어서 마스크층(212)의 패턴폭이 좁혀지고, 제2 공정에 있어서 마스크층(212)이 더욱 소정의 패턴폭까지 좁혀진다. 특히, 제1 공정에서는 마스크층(212)의 패턴밀도의 소밀에 따라서 다른 레이트로 패턴폭이 축소되고, 제1 공정종료시점에 있어서 패턴밀도의 소밀에 관계없이 모든 마스크층(212)의 패턴폭이 동일하게 되도록 처리가 실시된다. 따라서, 초기 상태에 있어서, 마스크층(212)의 패턴밀도의 소밀에 기인하여 마스크층(212)의 패턴폭이 다른 경우에도 최종적으로는 피에칭층으로서의 반사방지막(212)의 패턴폭을 균일하게 조정하는 것이 가능해진다.
또한, 제 2 실시예에 관한 에칭 방법에 따르면, 초기 상태에 있어서 마스크층(212)의 패턴폭이 큰 CD 시프트량을 갖고 있어도 제1 공정 및 제2 공정을 실시하여 마스크층(212)의 패턴폭을 설계값에 맞추어 넣는 것도 가능해진다. 그 결과, 극 히 미세한 회로 패턴을 형성하고 또한 그 회로 패턴의 치수오차도 최소한으로 억제할 수 있다.
일반적으로, 포토 리소그래피 기술을 이용하여 마스크층을 폭 100 ㎚ 이하로, 또한 편차없이 패터닝하는 것은 난이도가 높은 처리이다. 오늘날에는 사양상 요구되는 패턴폭이 포토 리소그래피 기술로 극복할 수 있는 한계 레벨에 도달하려 하고 있다. 이 점에서, 제 2 실시예에 관한 에칭 방법에 따르면, 포토 리소그래피 기술에 의해서 얻는 것이 곤란한 초미세의 CD(예를 들면, 70 ㎚ 이하)로 마스크층(212) 및 반사방지막(210)을 패터닝하는 것도 가능해진다.
또, 상기 제 2 실시예의 제1 공정에서는 바이어스 전력을 인가하지 않는 상태에서 처리 가스로서 O2 가스를 사용하고 있다. 바이어스 전력을 인가하지 않는 경우, 제1 공정의 처리 가스로서 CF4 가스 + O2 가스도 사용할 수 있지만, O2 가스만을 사용한 쪽이 (마스크층의 횡방향의 깎임량)/(마스크층의 종방향의 깎임량)의 비를 크게 할 수 있어, 상대적으로 마스크층의 종방향의 깎임을 저감할 수 있으므로 바람직하다.
이상, 첨부 도면을 참조하면서 본 발명의 바람직한 실시형태에 대해서 설명했지만, 본 발명은 이러한 예에 한정되는 것은 아니다. 당업자라면, 특허청구범위에 기재된 범주내에 있어서 각종 변경예 또는 수정예에 도달할 수 있는 것은 명백하며, 그들에 대해서도 당연히 본 발명의 기술적범위에 속하는 것이라고 양해된다.
예를 들면, 제1 실시예에 관한 에칭 방법의 설명 중에서 제1 공정에 있어서 CHF3 가스를 처리 가스로서 이용한다고 기재했지만, 본 발명은 이것에 한정되는 것은 아니고, 다른 CHF계 가스, CF계 가스, CH계 가스, 및 그들의 혼합물을 처리 가스로서 이용해도 좋다. 즉, 마스크층의 측벽에 반응 생성물을 퇴적시키는 다른 처리 가스를 선택하도록 해도 좋다. 이하, 적용 가능한 처리 가스의 예를 든다.
상기 CF계 가스로서는 예를 들면 CF4, C2F4, C2F6, C3F6, C3F8, C4F6, C4F 8(환상/직쇄형상), C5F8(환상/직쇄형상), C5F10을 들 수 있다.
상기 CHF계 가스로서는 예를 들면 CHF3, CH2F2, CH3F, C2 H2F4, C2 H6F를 들 수 있다.
상기 CH계 가스로서는 예를 들면 CH4, C2H6, C3H8, C2H4 및 그 밖의 불포화 탄화수소 가스, C3H6 등을 들 수 있다.
상술한 제1 및 제2 실시예에 있어서의 제2 공정에서는 처리 가스로서 CF4 가스와 O2 가스의 양쪽의 가스을 이용하고 있었지만, CF4 가스만을 이용해도 좋다.
예를 들면 제2 실시예에 있어서, 상술한 프로세스 조건 즉 처리 가스로서 O2 가스를 공급하고, 하부전극 인가 고주파 전력 0 W(바이어스 전력 없음)인 상태에서 제1 공정을 실행하고, 그 후 제2 공정에 있어서 처리 가스로서 CF4 가스를 공급한다. 또, 이 제2 공정의 프로세스 조건은 처리 가스 이외, 예를 들면 상기 제2 실시예의 프로세스 조건과 마찬가지로 한다. 이러한 경우, 상술한 바와 같이 최종적 으로 형성되는 반사방지막(210)의 패턴폭을 균일하게 조정할 수 있는 것에 부가하여, 에칭시의 마스크층(212)의 종방향의 깎임량을 줄일 수 있다. 또한, 에칭후의 마스크층(212)의 패턴폭과 반사방지막(210)의 패턴폭과의 차를 저감할 수 있다.
여기서, 제2 공정에 처리 가스로서 CF4 가스를 이용했을 때의 상기 효과에 대해서 검증한다. 예를 들면 도 13에 도시하는 바와 같이, 마스크층(212)을 마스크로 하여 반사방지막(210)을 에칭한 경우, 마스크층(212)은 패턴폭이 좁혀지는 것 뿐만 아니라, 종방향으로도 깎인다. 또한, 반사방지막(210)은 마스크층(212)보다 에칭레이트가 높으므로, 에칭종료시에는 반사방지막(210)의 패턴폭이 마스크층(212)의 패턴폭보다 좁아지는 경향에 있다. 또, 도 13에 있어서, H는 에칭시의 마스크층(212)의 종방향의 깎임량을 나타내어, I는 에칭시의 마스크층(212)의 패턴의 횡방향의 깎임량을 나타낸다. 또한, J는 에칭후의 마스크층(212)의 패턴폭을 나타내고, K는 에칭후의 반사방지막(210)의 패턴폭을 나타낸다.
발명자에 의한 실험에 따르면, 예를 들면 에칭시에 처리 가스로서 CF4 가스와 O2 가스를 이용하여 상기 실시예의 에칭 방법과 달리 하나의 공정만으로 반사방지막(210)의 에칭을 실행한 경우, 에칭후의 형상의 I/H비가 0.40이고, K/J 비가 0.69이었다. 한편, 제 2 실시예에 관한 에칭 방법에 있어서 제2 공정에서 처리 가스로서 CF4 가스를 이용한 경우에는 I/H비가 0.67이고 K/J비가 0.76이었다. I/H비는 마스크층(212)의 종방향의 깎임량에 대한 횡방향의 깎임량의 비율이다. 이것은 마스크층(212)의 패턴폭을 일정량 좁혔을 때에 어느정도 종방향으로 깎이는지를 나타 내는 것이므로, 마스크층(212)의 종방향의 깎임량을 측정하는 기준으로 된다. 또한, K/J비는 마스크층(212)의 패턴폭과 반사방지막(210)의 패턴폭과의 비이므로, 양자의 패턴폭의 차를 측정하는 기준으로 된다.
상기 실험으로부터, 제2 공정시에 처리 가스로서 CF4 가스를 이용한 경우에 I/H비가 0.40에서 0.67로 대폭 상승하고, 마스크층(212)의 종방향의 깎임량이 감소하고 있다는 것을 알 수 있다. 이러한 경우, 에칭시의 마스크층의 두께가 확보되어 마스크층이 피에칭막의 마스크로서의 기능을 충분히 할 수 있으므로, 에칭 특성을 향상시킬 수 있다.
또한, 상기 실험으로부터, 제2 공정시에 CF4 가스를 이용한 경우에 K/J비가 0.69에서 0.76으로 대폭 상승하고, 마스크층(212)의 패턴폭과 반사방지막(210)의 패턴폭의 차가 저감하고 있다는 것을 알 수 있다. 이러한 경우, 반사방지막상에 직립한 마스크층의 패턴의 안정성이 향상하므로, 마스크층의 패턴 전도를 방지할 수 있다.
또, 제2 실시예의 제2 공정에 있어서 처리 가스로서 CF4 가스를 이용하고 있었지만, 제1 실시예의 제2 공정에 있어서 CF4 가스를 이용해도 마찬가지의 효과를 얻을 수 있다. 또한, 제1 실시예에 있어서 CF4 가스를 이용하는 경우, 이 CF4 가스는 제1 공정에 있어서 마스크층의 패턴폭을 넓힐 때의 처리 가스로서도 이용할 수 있고, 제2 공정에 있어서 마스크층의 종방향의 깎임량을 저감하고, 마스크층과 반 사방지막과의 패턴폭의 차를 저감할 때의 처리 가스로서도 이용할 수 있다. CF4 가스를 사용하는 경우, 프로세스 조건을 변경하는 것에 의해, 피에칭막의 에칭속도나 패턴폭의 치수를 자유롭게 제어할 수 있다. 예를 들면, 제1 공정에 있어서 처리용기내의 압력을 낮게 하고, CF4 가스의 유량을 많게 하고, 플라즈마를 생성시키기 위한 고주파 전력을 높게 한 경우, 피에칭층의 에칭속도가 작아져 플라즈마 반응 생성물이 퇴적하므로, 마스크층의 패턴폭을 넓힐 수 있다. 또한, 제2 공정에 있어서도 프로세스 조건을 적절히 설정하는 것에 의해, 마스크층의 패턴의 종방향의 감소를 억제하면서, 피에칭막과 마스크층의 패턴폭의 감소량을 억제하도록 에칭할 수 있다.
이상의 실시예에서는 제1 공정의 에칭이 미리 정해진 설정 시간에서 실행되고 있었지만, 제1 공정의 에칭중에 마스크층의 패턴폭을 감시하여 해당 패턴폭이 목표치수에 도달했을 때에 제1 공정을 종료시켜도 좋다.
이러한 경우, 예를 들면, 플라즈마 처리 장치(101)내에는 스캐터로메트리(Scatterometry)법에 의해 마스크층의 표면 구조를 측정할 수 있는 표면 구조 측정 장치가 설치된다. 그리고, 제1 공정의 에칭시에는 상기 표면구조 측정 장치에 의해, 예를 들면 마스크층(212)의 비교적 패턴밀도가 「밀」한 제1 영역과, 비교적 소의 패턴밀도가「소」한 제2 영역의 패턴폭이 계속적으로 측정되고 모니터링된다. 그리고, 제1 영역과 제2 영역의 패턴폭이 목표치수로 되어 일치한 시점에서 제1 공정의 에칭이 종료된다. 이와 같이 하는 것에 의해서, 마스크층(212)의 패턴폭을 더욱 확실하게 균일화할 수 있다.
본 발명은 예를 들면 처리 가스를 플라즈마화하여 피처리체에 에칭 처리를 실시하는 에칭 방법에 적용 가능하다.
본 발명에 따르면, 마스크층의 패턴폭을 조정하면서, 마스크층에 의해서 마스크되는 피에칭층을 에칭하는 것이 가능해진다. 그 결과, 피에칭층은 소정폭으로 패터닝된다. 또한, 본 발명에 따르면, 동일 웨이퍼내에 패턴밀도가 다른 마스크층이 존재하고, 포토 리소그래피 공정에 있어서 패터닝된 마스크층의 패턴폭에 패턴밀도마다 불균일이 생긴 경우라도 각 마스크층의 패턴폭을 나란히 배열하는 것이 가능해진다. 따라서, 웨이퍼 전체에 걸쳐 피에칭층의 패턴폭이 균일화된다.

Claims (19)

  1. 미리 패터닝된 마스크층의 측벽에 플라즈마 반응 생성물을 퇴적시켜 상기 마스크층의 패턴폭을 넓히는 제1 공정과,
    패턴폭이 넓혀진 상기 마스크층을 마스크로 해서 피에칭층을 에칭하는 제2 공정을 갖는 것을 특징으로 하는
    에칭 방법.
  2. 제1항에 있어서,
    상기 제2 공정에 있어서 상기 마스크층의 측벽을 에칭하여 상기 마스크층의 패턴폭을 좁히면서 상기 피에칭층을 에칭하는 것을 특징으로 하는
    에칭 방법.
  3. 제1항에 있어서,
    상기 마스크층은 각 패턴이 접근해서 밀하게 배치된 제1 영역과, 각 패턴이 이간되어 소하게 배치된 제2 영역을 갖고, 미리 패터닝된 상기 마스크층의 패턴폭은 상기 제1 영역에 속하는 마스크층과 상기 제2 영역에 속하는 마스크층 사이에서 다르고,
    상기 제1 공정에 있어서 상기 제1 영역에 속하는 마스크층의 패턴폭과 상기 제2 영역에 속하는 마스크층의 패턴폭이 일치하도록, 상기 각 영역에 속하는 마스 크층의 패턴폭을 넓히는 것을 특징으로 하는
    에칭 방법.
  4. 제1항에 있어서,
    상기 제1 공정에 있어서 처리 가스로서 CF계 가스, CHF계 가스, 또는 CH계 가스중의 적어도 어느 하나를 이용하는 것을 특징으로 하는
    에칭 방법.
  5. 제4항에 있어서,
    상기 처리 가스는 CHF3인 것을 특징으로 하는
    에칭 방법.
  6. 미리 패터닝된 마스크층의 측벽을 에칭하여 상기 마스크층의 패턴폭을 좁히는 제1 공정과,
    패턴폭이 좁혀진 상기 마스크층을 마스크로 해서 해당 마스크층의 측벽을 에칭하여 상기 마스크층의 패턴폭을 더욱 좁히면서 피에칭층을 에칭하는 제2 공정을 갖는 것을 특징으로 하는
    에칭 방법.
  7. 제6항에 있어서,
    상기 마스크층은 각 패턴이 접근해서 밀하게 배치된 제1 영역과, 각 패턴이 이간되어 소하게 배치된 제2 영역을 갖고, 미리 패터닝된 상기 마스크층의 패턴폭은 상기 제1 영역에 속하는 마스크층과 상기 제2 영역에 속하는 마스크층 사이에서 다르고,
    상기 제1 공정에 있어서 상기 제1 영역에 속하는 마스크층의 패턴폭과 상기 제2 영역에 속하는 마스크층의 패턴폭이 일치하도록, 상기 각 영역에 속하는 마스크층의 패턴폭을 좁히는 것을 특징으로 하는
    에칭 방법.
  8. 제6항에 있어서,
    상기 제1 공정에 있어서 처리 가스로서 O2가스를 이용하는 것을 특징으로 하는
    에칭 방법.
  9. 제6항에 있어서,
    상기 제1 공정에 있어서 상기 마스크층 및 상기 피에칭층을 구비하는 피처리체가 탑재되는 전극에 대해 전력을 인가하지 않는 것을 특징으로 하는
    에칭 방법.
  10. 제1항에 있어서,
    상기 마스크층은 포토 레지스트재로 이루어지는 것을 특징으로 하는
    에칭 방법.
  11. 제10항에 있어서,
    상기 포토 레지스트재는 ArF광에 감광하는 것을 특징으로 하는
    에칭 방법.
  12. 제1항에 있어서,
    상기 피에칭층은 반사방지막으로 이루어지는 것을 특징으로 하는
    에칭 방법.
  13. 제12항에 있어서,
    상기 반사방지막은 유기계 재료로 이루어지는 것을 특징으로 하는
    에칭 방법.
  14. 제1항에 있어서,
    상기 제2 공정에 있어서 처리 가스로서 CF4 가스와 O2 가스의 양쪽의 가스를 이용하는 것을 특징으로 하는
    에칭 방법.
  15. 제1항에 있어서,
    상기 제2 공정에 있어서 처리 가스로서 CF4 가스를 이용하는 것을 특징으로 하는
    에칭 방법.
  16. 제1항에 있어서,
    상기 마스크층에는 콘택트 홀의 패턴이 형성되어 있는 것을 특징으로 하는
    에칭 방법.
  17. 제1항에 있어서,
    상기 제1 공정에 있어서 상기 마스크층의 패턴폭을 감시하여 해당 패턴폭이 목표치수에 도달했을 때에 해당 제1 공정을 종료하는 것을 특징으로 하는
    에칭 방법.
  18. 제1항의 에칭방법을 실행시키기 위한 프로그램이 기억된
    컴퓨터 판독가능 기억매체.
  19. 제6항의 에칭방법을 실행시키기 위한 프로그램이 기억된
    컴퓨터 판독가능 기억매체.
KR1020040073632A 2003-09-29 2004-09-15 에칭 방법 및 이를 실행시키기 위한 프로그램이 기억된 컴퓨터기억매체 KR100619111B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2003337373 2003-09-29
JPJP-P-2003-00337373 2003-09-29
JPJP-P-2004-00188013 2004-06-25
JP2004188013A JP4727171B2 (ja) 2003-09-29 2004-06-25 エッチング方法

Publications (2)

Publication Number Publication Date
KR20050031375A KR20050031375A (ko) 2005-04-06
KR100619111B1 true KR100619111B1 (ko) 2006-09-04

Family

ID=34380387

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040073632A KR100619111B1 (ko) 2003-09-29 2004-09-15 에칭 방법 및 이를 실행시키기 위한 프로그램이 기억된 컴퓨터기억매체

Country Status (5)

Country Link
US (1) US7256135B2 (ko)
JP (1) JP4727171B2 (ko)
KR (1) KR100619111B1 (ko)
CN (1) CN1300637C (ko)
TW (1) TW200512791A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101061621B1 (ko) * 2008-02-12 2011-09-01 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법 및 컴퓨터 기억 매체

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7723238B2 (en) * 2004-06-16 2010-05-25 Tokyo Electron Limited Method for preventing striation at a sidewall of an opening of a resist during an etching process
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7539969B2 (en) * 2005-05-10 2009-05-26 Lam Research Corporation Computer readable mask shrink control processor
US7465525B2 (en) * 2005-05-10 2008-12-16 Lam Research Corporation Reticle alignment and overlay for multiple reticle process
US20060292876A1 (en) * 2005-06-21 2006-12-28 Tokyo Electron Limited Plasma etching method and apparatus, control program and computer-readable storage medium
US7271108B2 (en) * 2005-06-28 2007-09-18 Lam Research Corporation Multiple mask process with etch mask stack
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness
US20070211402A1 (en) * 2006-03-08 2007-09-13 Tokyo Electron Limited Substrate processing apparatus, substrate attracting method, and storage medium
JP2007294905A (ja) * 2006-03-30 2007-11-08 Hitachi High-Technologies Corp 半導体製造方法およびエッチングシステム
JP4861893B2 (ja) * 2006-07-28 2012-01-25 東京エレクトロン株式会社 基板の処理方法、プログラム、コンピュータ記憶媒体及び基板の処理システム
US7491343B2 (en) * 2006-09-14 2009-02-17 Lam Research Corporation Line end shortening reduction during etch
JP2008078582A (ja) * 2006-09-25 2008-04-03 Hitachi High-Technologies Corp プラズマエッチング方法
JP4912907B2 (ja) * 2007-02-06 2012-04-11 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP5065787B2 (ja) * 2007-07-27 2012-11-07 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、および記憶媒体
US7838426B2 (en) * 2007-08-20 2010-11-23 Lam Research Corporation Mask trimming
US7998872B2 (en) * 2008-02-06 2011-08-16 Tokyo Electron Limited Method for etching a silicon-containing ARC layer to reduce roughness and CD
JP5027753B2 (ja) * 2008-07-30 2012-09-19 東京エレクトロン株式会社 基板処理制御方法及び記憶媒体
JP4638550B2 (ja) 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US9601349B2 (en) * 2009-02-17 2017-03-21 Macronix International Co., Ltd. Etching method
JP5260356B2 (ja) 2009-03-05 2013-08-14 東京エレクトロン株式会社 基板処理方法
CN102117737B (zh) * 2009-12-30 2015-01-07 中国科学院微电子研究所 减小半导体器件中ler的方法及半导体器件
JP5634313B2 (ja) * 2011-03-29 2014-12-03 富士フイルム株式会社 レジストパターン形成方法およびそれを用いたパターン化基板の製造方法
JP2013222852A (ja) 2012-04-17 2013-10-28 Tokyo Electron Ltd 有機膜をエッチングする方法及びプラズマエッチング装置
JP6355374B2 (ja) * 2013-03-22 2018-07-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP6289996B2 (ja) * 2014-05-14 2018-03-07 東京エレクトロン株式会社 被エッチング層をエッチングする方法
JP6817168B2 (ja) * 2017-08-25 2021-01-20 東京エレクトロン株式会社 被処理体を処理する方法
JP6913569B2 (ja) 2017-08-25 2021-08-04 東京エレクトロン株式会社 被処理体を処理する方法
US11227767B2 (en) 2018-05-03 2022-01-18 Tokyo Electron Limited Critical dimension trimming method designed to minimize line width roughness and line edge roughness
JP7066565B2 (ja) * 2018-07-27 2022-05-13 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
JP7278456B2 (ja) * 2018-07-27 2023-05-19 東京エレクトロン株式会社 プラズマ処理装置
JP7195113B2 (ja) * 2018-11-07 2022-12-23 東京エレクトロン株式会社 処理方法及び基板処理装置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE68923247T2 (de) * 1988-11-04 1995-10-26 Fujitsu Ltd Verfahren zum Erzeugen eines Fotolackmusters.
JP3445886B2 (ja) * 1995-10-27 2003-09-08 松下電器産業株式会社 半導体装置の製造方法及び半導体装置の製造装置
JP3316407B2 (ja) * 1997-02-26 2002-08-19 シャープ株式会社 半導体装置の製造方法
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
JP2000077386A (ja) * 1998-08-27 2000-03-14 Seiko Epson Corp パターン形成方法
US6362111B1 (en) * 1998-12-09 2002-03-26 Texas Instruments Incorporated Tunable gate linewidth reduction process
JP2000183027A (ja) * 1998-12-11 2000-06-30 Mitsubishi Electric Corp 半導体装置の製造方法
TW525260B (en) * 1999-08-02 2003-03-21 Taiwan Semiconductor Mfg Shallow trench isolation pull-back process
US6461969B1 (en) * 1999-11-22 2002-10-08 Chartered Semiconductor Manufacturing Ltd. Multiple-step plasma etching process for silicon nitride
US6569774B1 (en) * 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
JP2002343780A (ja) * 2001-05-01 2002-11-29 Applied Materials Inc ガス導入装置、成膜装置、及び成膜方法
CN1277293C (zh) * 2001-07-10 2006-09-27 东京毅力科创株式会社 干蚀刻方法
JP2003077900A (ja) * 2001-09-06 2003-03-14 Hitachi Ltd 半導体装置の製造方法
US20040097077A1 (en) * 2002-11-15 2004-05-20 Applied Materials, Inc. Method and apparatus for etching a deep trench
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US6955961B1 (en) * 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101061621B1 (ko) * 2008-02-12 2011-09-01 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법 및 컴퓨터 기억 매체

Also Published As

Publication number Publication date
CN1300637C (zh) 2007-02-14
JP2005129893A (ja) 2005-05-19
TWI357092B (ko) 2012-01-21
CN1603959A (zh) 2005-04-06
US20050070111A1 (en) 2005-03-31
TW200512791A (en) 2005-04-01
US7256135B2 (en) 2007-08-14
KR20050031375A (ko) 2005-04-06
JP4727171B2 (ja) 2011-07-20

Similar Documents

Publication Publication Date Title
KR100619111B1 (ko) 에칭 방법 및 이를 실행시키기 위한 프로그램이 기억된 컴퓨터기억매체
US7179752B2 (en) Dry etching method
US7094613B2 (en) Method for controlling accuracy and repeatability of an etch process
KR101713330B1 (ko) Sf6 및 탄화수소를 이용하여 arc층을 패터닝하는 방법
US8343371B2 (en) Apparatus and method for improving photoresist properties using a quasi-neutral beam
KR101811910B1 (ko) 질화규소막에 피처를 에칭하는 방법
TW201517168A (zh) 橫向修整硬遮罩的方法
US8129282B2 (en) Plasma etching method and computer-readable storage medium
KR20080093392A (ko) 제어된 임계 치수 수축의 에칭 처리
US7723238B2 (en) Method for preventing striation at a sidewall of an opening of a resist during an etching process
JP4558296B2 (ja) プラズマアッシング方法
KR100743873B1 (ko) 플라즈마 처리 챔버 내에서의 에칭을 개선하기 위한 기술
US20090029557A1 (en) Plasma etching method, plasma etching apparatus and storage medium
JP4722550B2 (ja) 半導体装置の製造方法
US10950444B2 (en) Metal hard mask layers for processing of microelectronic workpieces
JP4522892B2 (ja) 微細パターン形成方法
KR102419049B1 (ko) 선택된 에칭 가스 혼합물에 의한 무기 레지스트의 트리밍 및 동작 변수의 조절
US8173036B2 (en) Plasma processing method and apparatus
US7604908B2 (en) Fine pattern forming method
US6914010B2 (en) Plasma etching method
JP7054759B2 (ja) プラズマ処理方法
US20230094212A1 (en) Plasma etch process for fabricating high aspect ratio (har) features

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120802

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130801

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140808

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150730

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160727

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170804

Year of fee payment: 12