KR100434560B1 - 반도체 공정 방법 및 게이트 적층구조 - Google Patents

반도체 공정 방법 및 게이트 적층구조 Download PDF

Info

Publication number
KR100434560B1
KR100434560B1 KR10-2001-7002811A KR20017002811A KR100434560B1 KR 100434560 B1 KR100434560 B1 KR 100434560B1 KR 20017002811 A KR20017002811 A KR 20017002811A KR 100434560 B1 KR100434560 B1 KR 100434560B1
Authority
KR
South Korea
Prior art keywords
layer
metal silicide
silicon
forming
silicon nitride
Prior art date
Application number
KR10-2001-7002811A
Other languages
English (en)
Other versions
KR20010073111A (ko
Inventor
지핑 인
라비 이에르
토마스알. 글래스
리차드 호슬러
아르다반 니루맨드
린다케이. 좀머빌
거테이에스. 산듀
Original Assignee
미크론 테크놀로지,인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 미크론 테크놀로지,인코포레이티드 filed Critical 미크론 테크놀로지,인코포레이티드
Publication of KR20010073111A publication Critical patent/KR20010073111A/ko
Application granted granted Critical
Publication of KR100434560B1 publication Critical patent/KR100434560B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Abstract

한 태양에서, 발명은 다음과 같은 반도체 공정 방법을 포함한다. 즉, a) 기판(12) 위에 금속규화물층(20)이 형성되고, b) 금속규화물층(20) 위에 규소, 질소, 산소를 포함하는 층(50)을 증착하며, 그리고 c) 금속규화물층을 어닐링처리한다.
또다른 태양에서, 발명은 게이트 적층구조 형성 방법을 포함한다. a) 기판(12) 위에 폴리실리콘층(18)이 형성된다. b) 폴리실리콘층(18) 위에 금속규화물층(20)이 형성된다. c) 금속규화물층(20) 위에 반사방지 물질층(50)이 증착된다. d) 반사방지 물질층(50) 위에 질화규소층(24)이 형성되고, e) 질화규소층(24) 위에 포토레지스트층(28)이 형성된다. f) 포토레지스트층(28)으로부터 마스크층을 형성하기 위해 포토레지스트층이 포토리소그래피 방식으로 패턴처리된다. g) 마스크층으로부터 질화규소층(24), 반사방지 물질층(50), 금속규화물층(20), 폴리실리콘층(18)으로 패턴이 전이되어, 질화규소층, 반사방지 물질층, 금속 규화물층, 폴리실리콘층을 게이트 적층구조로 패턴처리한다.
또한가지 태양에서, 발명은 반도체 회로와 게이트 적층구조를 포함한다.

Description

반도체 공정 방법 및 게이트 적층구조{SEMICONDUCTOR PROCESSING METHOD, SEMICONDUCTOR CIRCUITRY, AND GATE STACKS}
반도체 공정 방법은 트렌지스터 게이트 구조를 형성하기 위해 물질층을 패턴처리하는 과정을 자주 포함한다. 도 1은 공지기술의 게이트 구조 패턴처리 과정의 예비 단계에서의 반도체 웨이퍼(10)를 도시한다. 반도체 웨이퍼(10)는 물질의 적층구조(14)를 갖는 기판(12)을 포함한다. 기판(12)은 가령, p형 도펀트로 약하게 도핑된 단결정 실리콘을 포함할 수 있다. 첨부된 청구범위의 해석을 돕기 위해, "반도체 기판"이라는 용어는 반도체 웨이퍼와 같은 벌크 반도체 물질과 반도체 물질층을 포함하는(그러나 이에 한정되지는 않는) 반도체 물질을 포함하는 모든 구조를 의미한다. "기판"이라는 용어는 앞서 기술한 반도체 기판을 포함하는(그러나 이에 한정되지는 않는) 모든 지지 구조를 의미한다.
적층구조(14)는 게이트 산화물층(16), 폴리실리콘층(18), 금속 규화물층(20), 산화물층(22), 질화물층(24), 반사방지 물질층(26), 그리고 포토레지스트층(28)을 포함한다. 게이트 산화물층(16)은 가령 이산화규소를 포함할 수 있고, 폴리실리콘층(18)과 기판(12) 사이에 절연층을 형성한다. 폴리실리콘층(18)은 가령 전도성 도핑된 폴리실리콘을 포함할 수 있고, 트랜지스터 게이트의 제 1 전도부로 종국에 패턴처리될 것이다.
규화물층(20)은 규화텅스텐(tungsten silicide)이나 규화티타늄(titatum silicide)와 같은 금속 규화물을 포함하고, 종국에는 트랜지스터 게이트의 제 2 전도부를 포함한다. 트랜지스터 게이트의 전도부로 규화물층(20)을 이용하기 전에, 규화물은 규화물층(20)의 전도도와 결정순도를 개선시키도록 어닐링처리된다. 이러한 어닐링과정은 예를 들어, 질소(N2) 분위기에서 30분간 섭씨 800-900도의 조건을 포함할 수 있다.
규화물층(20)이 어닐링처리 중 기체 형태의 산소에 노출될 경우, 규화물층은 산화될 수 있고, 이는 규화물층의 전도도에 악영향을 미칠 수 있다. 따라서, 어닐링처리 전에 규화물층(20) 위에 산화물층(22)이 제공된다. 산화물층(22)은 가령 이산화 규소를 포함할 수 있다. 규화물층(20) 위에 산화물층(22)을 갖는 또다른 목적은 규화물층(20)과, 규화물층(20)에 인접하게 종국에 형성되는 다른 절연층과의 전기적 접촉을 방지하기 위해 절연층의 역할을 하는 것이다.
질화물층(24)은 가령 질화규소를 포함할 수 있고, 다른 전도층에 대해 전도층(18, 20)을 절연시키기 위해 제공된다. 이때 다른 전도층이란 층(18, 20)에 인접하게 종국에 형성될 수 있는 층들이다. 질화물층(24)은 두께가 두꺼운 층(전형적인 두께는 수백-수천 옹스트롬)으로서, 하부의 층에 응력을 생성할 수 있다. 따라서,산화물층(22)의 또다른 기능은 하부층(18, 20)에 질화물층(24)에 의해 발생되는 응력을 완화시키는 것이다.
반사방지 물질층(26)은 질화물층(24) 위에 생성되는 유기질층을 포함할 수 있다. 대안으로, 반사방지 물질층(26)은 SixOyNz:H와 같은 증착식 무기질 반사방지 물질일 수 있고, 이때 x는 0.39-0.65, y는 0.02-0.56, z는 0.05-0.33이다. 실제로, 층은 본질적으로 무기질이며, 이때 "본질적으로 무기질"이란 반사방지 물질층이 소량의 탄소(무게비로 1% 미만)를 포함할 수 있다는 것을 의미한다. 대안으로, 유기질 프리커서가 사용될 경우, 층은 무게비로 1% 이상의 탄소를 포함할 수 있다.
포토레지스트층(28)은 양성 또는 음성 포토레지스트를 포함할 수 있다. 포토레지스트층(28)은 마스크처리된 광원을 통한 광에 층을 노출시킴으로서 패턴처리된다. 마스크는 포토레지스트층(28)에 생성될 패턴을 형성하는 투명 및 불투명 특징부를 포함한다. 광에 노출되는 포토레지스트층(28)의 영역은 용매에 가용성이거나 불용성으로 만들어진다. 노출된 영역이 가용성일 경우, 마스크의 양의 상이 포토레지스트층(28)에 생성되고, 포토레지스트는 양성 포토레지스트로 불린다. 이와 달리, 비방사 영역이 용매에 불용성일 경우, 음의 상이 생성되고, 포토레지스트는 음성 포토레지스트로 불린다.
포토레지스트층(28)을 방사파동에 노출시킬 때 발생할 수 있는 어려움은 방사파가 포토레지스트층(28)을 통해 포토레지스트층 아래의 층까지 전파하고 포토레지스트층을 통해 다시 반사되어 포토레지스트층을 통해 전파하는 파동과 간섭을 일으킬 수 있다는 점이다. 반사파는 다른 파동과 보강간섭이나 상쇄간섭을 일으켜서, 포토레지스트층 내의 광강도를 주기적으로 변화시킬 수 있다. 이러한 광강도의 변화로 인해 포토레지스트층이 그 두께 전반을 통해 에너지의 균일하지 않은 분포를 수용할 수 있다. 이러한 균일하지 않은 분포는 마스크처리된 패턴이 포토레지스트층으로 전이될 때의 정확성을 저하시킨다. 포토레지스트층(28)으로 다시 반사되는 파동을 막기 위해 반사방지 물질(26)이 제공된다. 반사방지층(26)은 방사를 흡수하거나 감쇠시키는 물질을 포함하며, 그래서 파동의 반사를 감소시키거나 제거한다.
도 2는 포토레지스트층(28) 일부를 제거하기 위해 광과 용매에 노출시킴으로서 포토레지스트층(28)이 패턴처리된 후의 웨이퍼(10)를 도시한다.
도 3에서, 패턴처리된 적층구조(30)를 형성하기 위해 포토레지스트층(28)으로부터 하부층(16, 18, 20, 22, 24, 26)으로 패턴이 전이된다. 마스크층(28)으로부터의 이러한 패턴 전이는 Cl, HBr, CH2F2, He, 그리고 NF3중 한 개 이상을 이용한 플라즈마 에칭과 같은 적절한 에칭에 의해 발생할 수 있다.
층(16, 18, 20, 22,, 24, 26)을 패턴처리한 후, 층(28, 26)은 층(16, 18, 20, 22, 24)을 포함하는 패턴처리된 게이트 적층구조(30)를 남기도록 제거될 수 있다.
반도체 웨이퍼 제작 기술에서의 지속적인 목적은 공정의 복잡성을 감소시키는 것이다. 이러한 감소는 가령, 공정 단계의 수를 감소시키거나 특정 반도체 구조 형성에 사용되는 층의 수를 감소시킴으로서 이루어질 수 있다. 따라서, 도 1-3에기술된 공지기술 실시예에 사용되는 단계와 층보다 더 적은 단계나 층을 사용하는, 패턴처리된 게이트 적층구조를 형성하는 대안의 방법을 개발하는 것이 바람직할 것이다.
발명은 반사방지 물질을 형성하고 이용하는 방법에 관한 것이다. 또한 발명은 게이트 적층구조와 같은 물질의 적층구조를 형성하는 반도체 공정 방법에 관한 것이다.
도 1은 기존 공정 순서의 예비 단계에서 반도체 웨이퍼의 단면도.
도 2는 도 1의 다음 순서의 기존 공정 단계의 도면.
도 3은 도 2의 다음 순서의 기존 공정 단계의 도면.
도 4는 본 발명의 방법의 에비 공정 단계에서의 반도체 웨이퍼 단면도.
도 5는 도 4의 다음 순서의 공정 단계의 도면.
도 6은 도 5의 다음 순서의 공정 단계의 도면.
(도면의 부호설명)
10, 10a ... 반도체 웨이퍼 12 ... 기판
14, 60 ... 적층구조 16 ... 게이트 산화물층
18 ... 폴리실리콘층 20 ... 금속규화물층
22 ... 산화물층 24 ... 질화물층
26 ... 반사방지 물질층 28 ... 포토레지스트층
50 ... 규소, 산소, 질소를 포함하는 층
70 ... 게이트 적층구조
한 태양에서, 발명은 반도체 공정 방법을 포함한다. 기판 위에 금속규화물층이 형성된다. 금속규화물층과 직접 접촉하도록 반사방지 물질층이 화학증기증착된다. 반사방지 물질층 위에 포토레지스트층이 가해지고, 포토리소그래피 방식으로 패턴처리된다.
또다른 태양에서, 발명은 게이트 적층구조 형성 방법을 포함한다. 기판 위에 폴리실리콘층이 형성된다. 폴리실리콘층 위에 금속규화물층이 형성된다. 금속규화물층 위에 반사방지 물질층이 증착된다. 반사방지 물질층 위에 질화규소층이 형성되고, 질화규소층 위에 포토레지스트층이 형성된다. 포토레지스트층으로부터 마스크층을 형성하기 위해 포토레지스트층이 포토리소그래피 방식으로 패턴처리된다. 마스크층으로부터 질화규소층, 반사방지 물질층, 금속 규화물층, 폴리실리콘층으로 패턴이 전이되어, 질화규소층, 반사방지 물질층, 금속 규화물층, 폴리실리콘층을 게이트 적층구조로 패턴처리한다.
또한가지 태양에서, 발명은 반도체 기판 위에 폴리실리콘층을 포함하는 게이트 적층구조를 포함한다. 게이트 적층구조는 폴리실리콘층 위에 금속규화물층과, 금속규화물층 위에 규소, 산소, 질소를 포함하는 층을 추가로 포함한다. 추가적으로, 게이트 적층구조는 규소, 산소, 질소를 포함하는 층 위에 질화규소층을 포함한다.
본 발명에 포함되는 실시예는 도 4-6을 들어 설명된다. 도 4-6의 실시예를 설명하는 데 있어, 도 1-3의 공지기술 설명에 사용된 도면부호와 유사한 도면부호가 사용되며, 차이점은 첨자 "a"나 다른 도면부호로 표시된다.
도 4에서, 예비 공정 단계에서의 반도체 웨이퍼(10a)가 도시된다. 도 1-3의 웨이퍼(10)와 마찬가지로, 웨이퍼(10a)는 기판(12), 게이트 산화물층(16), 폴리실리콘층(18), 규화물층(20)을 포함한다. 그러나 도 1-3을 들어 앞서 설명된 공지기술에서와는 달리, 규소, 질소, 산소를 포함하는 층(50)이 규화물층(20) 위에 형성되고, 도면의 선호되는 실시예에서 규화물층(20) 바로 위에 위치한다. 따라서 층(50)은 도 1-3의 공지기술 실시예의 산화물층(22)을 대신한다.
화학증기증착법에 의해 층(50)이 형성되는 것이 선호된다. 예를 들어, 반응기 챔버 내에서 섭씨 400도로 SiH4와 N2O를 프리커서로 이용하는 CVD에 의해 층(50)이 형성될 수 있다. 이러한 증착은 반응 챔버 내 플라즈마 존재 여부에 상관없이 실행될 수 있다. 층(50) 증착을 위한 조건의 예는 40-300sccm으로 SiH4(80sccm이 선호됨)를, 80-600sccm으로 N2O(80sccm이 선호됨)를, 1300-2500sccm으로 He(2200sccm이 선호됨)를, 플라즈마 강화 CVD 챔버에 흐르게 하는 것으로서, 이때 챔버 내의 압력은 4-6.5Torr이고, 챔버에 공급되는 전력은 50-200 와트(100와트가 선호됨)이다.
앞서 기술한 조건의 예는 질소 기체(N2)를 300 sccm 이하의 속도(200sccm이 선호됨)로, 또는 암모니아(NH3)를 100 sccm이하의 속도로 반응기 챔버로 흐르게 하는 단계를 또한 포함할 수 있다.
층(50)의 조성의 예는 SixNyOz:H로서, 이때 x는 0.5, y는 0.37, z는 0.13이다. 증착되는 물질의 흡수 특성을 변경시키기 위해 x, y, z의 상대적인 값과 수소함유량이 조절될 수 있다. 층(50)의 두께는 250-650 옹스트롬이 선호된다.
규화물층(20)을 어닐링처리하기 전에 규화물층(20) 위에 층(50)이 제공되는 것이 선호된다. 따라서 규화물층을 어닐링처리할 때 기체 산소의 노출로부터 규화물층(20)을 보호할 수 있도록, 층(50)이 산화물층(22)의 앞서 기술한 기능을 제공한다.
층(50) 위에 질화규소층(24)이 형성되고 층(50)과 직접 접촉할 수 있다. "배경 기술" 단락에서 설명한 바와 같이, 질화규소층(24)은 아래의 층에 응력을 가할 수 있다. 따라서, 하부의 전도층(18, 20)에 악영향을 미치지 않도록 이러한 응력을 완화시키기 위해 층(50)이 공지기술의 이산화규소층(22)의 기능을 할 수 있다. 규화물층(20)의 어닐링처리 전/후에 층(50) 위에 질화규소층(24)이 형성될 수 있다.
질화규소층(24) 위에 포토레지스트층(28)이 형성된다. 도 1-3을 들어 기술된 공지기술 실시예와 달리, 질화규소층(24)과 포토레지스트층(28) 사이에 반사방지 물질층이 형성되지 않는다. 대신에, 반사방지 물질의 기능을 하기 위해 층(50)을 사용하는 것이 선호된다. 특히, 질화물층(24)은 포토레지스트층(28)의 패턴처리에 사용되는 방사파에 대해 투과성이다(효율적임). 따라서, 포토레지스트층(28)을 투과하는 방사파는 질화규소층(24)을 일반적으로 투과하고, 층(50)에 진입한다. 질소, 산소, 규소의 화학구조를 가지는 층(50)은 포토레지스트층(28)으로 방사파가 다시 반사되는 것을 막기 위해 층(50)에 도달하는 방사를 소멸시키도록 적절하게 조절된다. 이러한 화학구조 조절은 당 분야의 통상의 지식을 가진 자에게 공지된방법을 이용하여 실험적으로 조절될 수 있다. 층(24, 50)의 조절을 기술하는 또다른 방법은 층(24, 50)이 두께(층(24, 50) 중 한 개 이상의 두께를 조절)와 화학식(층(50)의 화학식을 조절)으로 조절되어 포토레지스트층같이 위에 놓이는 층으로의 재반사가 최소화된다.
도 5에서, 층(16, 18, 20, 50, 24)을 포함하는 적층구조(60) 위에 패턴처리된 마스크를 형성하기 위해 포토레지스트층(28)이 패턴처리된다. 도 6에서, 층(16, 18, 20, 50, 24)을 포함하는 패턴처리된 게이트 적층구조(70)를 형성하기 위해 포토레지스트층(28)으로부터 적층구조(60)로 패턴이 전이된다. 포토레지스트층(28)으로부터의 이러한 패턴전이는 Cl, HBr, CF4, CH2F2, He, NF3중 한 개 이상을 이용한 플라즈마 에칭 등에 의해 달성될 수 있다. 게이트 적층구조(70)로부터 포토레지스트층(28)이 제거될 수 있다. 이어서, 게이트 적층구조에 인접한 위치에 소스 및 드레인 영역이 주입될 수 있고, 게이트 적층구조(70)로부터 트랜지스터 게이트 구축을 완료하기 위해 게이트 적층구조의 측벽에 측벽 스페이서가 제공될 수 있다.
본 발명의 방법은 도 1-3을 들어 기술된 공지기술의 게이트 적층구조 형성 방법에 비해 복잡성을 감소시킬 수 있다. 특히, 본 발명의 방법은 단일층(50)을 이용하여 1) 어닐링처리중 규화물층을 보호하고, 2) 위에 놓인 질화규소층으로부터의 응력을 감소시키며, 그리고 3) 위에 놓인 포토레지스트층의 포토리소그래피 공정중 광의 반사를 완화시키는, 이상의 여러 기능을 달성하게 할 수 있다. 따라서, 본 발명의 방법은 도 1-3을 들어 앞서 설명된 공지기술 공정에 비해 한 개의 층(도 1-3의 반사방지 물질층(26))을 완전히 제거할 수 있다. 이러한 층 제거를 통해 층 형성 및 제거에 관련된 제작 단계를 또한 제거할 수 있다. 따라서, 본 발명이 포함하는 방법은 공지기술 방법보다 보다 효율적인 반도체 제작 공정일 수 있다.

Claims (43)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 반도체 공정 방법으로서, 상기 방법은,
    - 기판(12) 위에 금속규화물층(20)을 형성하고,
    - 금속규화물층(20) 위에 규소, 질소, 산소를 포함하는 층(50)을 증착하며,
    - 규소, 질소, 산소를 포함하는 상기 층(50)이 금속규화물층(20) 위에 있을 때, 금속규화물층(20)을 어닐링처리하는,
    이상의 단계를 포함하고, 이때, 실리콘, 질소, 산소를 포함하는 상기 층(50)이 SixNyOz:H를 포함하고, 이때 x는 0.39~0.65, y는 0.02~0.56, z는 0.05~0.33의 범위에 놓이는 것을 특징으로 하는 반도체 공정 방법.
  6. 제 5 항에 있어서, 규소, 질소, 산소, 그리고 수소를 포함하는 상기 층(50) 위에 질화규소층(24)을 형성하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  7. 제 5 항에 있어서, 어닐링 처리 단계 이전에 규소, 질소, 산소, 그리고 수소를 포함하는 상기 층(50) 위에 질화규소층(24)을 형성하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  8. 반도체 공정 방법으로서, 상기 방법은,
    - 기판(12) 위에 금속규화물층(20)을 형성하고,
    - 금속규화물층(20) 위에 규소, 질소, 산소를 포함하는 층(50)을 증착하며,
    - 규소, 질소, 산소를 포함하는 상기 층(50) 위에 질화규소층(24)을 형성하고, 그리는,
    이상의 단계를 포함하고, 이때, 규소, 질소, 산소를 포함하는 상기 층(50)이 SixNyOz:H를 포함하고, 이때 x는 0.39~0.65, y는 0.02~0.56, z는 0.05~0.33의 범위에 놓이는 것을 특징으로 하는 반도체 공정 방법.
  9. 반도체 공정 방법으로서, 상기 방법은,
    - 기판(12) 위에 금속규화물층(20)을 형성하고,
    - 금속규화물층(20)과 직접 접촉하도록 반사방지물질층(50)을 화학증기증착하며, 이때, 증착되는 반사방지물질층(50)은 규소, 질소, 산소, 그리고 수소를 포함하며,
    - 상기 반사방지물질층(50) 위에 포토레지스트층(28)을 형성하고, 그리고
    - 상기 포토레지스트층(28)을 포토리소그래피 방식으로 패턴처리하는,
    이상의 단계를 포함하는 것을 특징으로 하는 반도체 공정 방법.
  10. 제 9 항에 있어서, 증착되는 반사방지물질층(50)은 규소, 질소, 산소를 포함하는 것을 특징으로 하는 방법.
  11. 제 9 항에 있어서, 증착되는 반사방지물질층(50)이 규소, 질소, 산소, 그리고 수소를 포함하는 것을 특징으로 하는 방법.
  12. 제 9 항에 있어서, 상기 방법은 증착된 상기 반사방지물질층(50) 위에 질화규소층(24)을 형성하는 단계를 추가로 포함하고, 이때, 상기 포토레지스트층(28)이 상기 질화규소층(24) 위에 형성되는 것을 특징으로 하는 방법.
  13. 게이트 적층구조(70) 형성 방법으로서, 상기 방법은:
    - 기판(12) 위에 폴리실리콘층(18)을 형성하고,
    - 폴리실리콘층(18) 위에 금속규화물층(20)을 형성하며,
    - 금속규화물층(20) 위에 반사방지물질층(50)을 증착하고, 이때, 증착된 반사방지물질층(50)은 규소, 질소, 산소를 포함하며,
    - 반사방지물질층(50) 위에 질화규소층(24)을 형성하며,
    - 질화규소층(24) 위에 포토레지스트층(28)을 형성하고,
    - 포토레지스트층(28)으로부터 패턴처리된 마스크층을 형성하기 위해 포토레지스트층(28)을 포토리소그래피 방식으로 패턴처리하며, 그리고
    - 질화규소층(24), 반사방지물질층(50), 금속규화물층(20), 폴리실리콘층(18)을 게이트 적층구조(70)로 패턴처리하기 위해, 패턴처리된 마스크층으로부터 질화규소층(24), 반사방지물질층(50), 금속규화물층(20), 폴리실리콘층(18)으로 패턴을 전이하는,
    이상의 단계를 포함하는 것을 특징으로 하는 게이트 적층구조(70) 형성 방법.
  14. 제 13 항에 있어서, 상기 반사방지물질층(50)이 금속규화물층(20) 위에 놓일 때, 금속규화물층(20)을 어닐링처리하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  15. 제 13 항에 있어서, 상기 증착 단계가 화학 증기 증착을 포함하는 것을 특징으로 하는 방법.
  16. 게이트 적층구조(70) 형성 방법으로서, 상기 방법은:
    - 기판(12) 위에 폴리실리콘층(18)을 형성하고,
    - 폴리실리콘층(18) 위에 금속규화물층(20)을 형성하며,
    - 금속규화물층(20) 위에 반사방지물질층(50)을 증착하고,
    - 반사방지물질층(50) 위에 질화규소층(24)을 형성하며,
    - 질화규소층(24) 위에 포토레지스트층(28)을 형성하고,
    - 포토레지스트층(28)으로부터 패턴처리된 마스크층을 형성하기 위해 포토레지스트층(28)을 포토리소그래피 방식으로 패턴처리하며, 그리고
    - 질화규소층(24), 반사방지물질층(50), 금속규화물층(20), 폴리실리콘층(18)을 게이트 적층구조(70)로 패턴처리하기 위해, 패턴처리된 마스크층으로부터 질화규소층(24), 반사방지물질층(50), 금속규화물층(20), 폴리실리콘층(18)으로 패턴을 전이하는,
    이상의 단계를 포함하며, 이때, 증착된 반사방지물질층(50)이 규소, 질소, 산소, 그리고 수소를 포함하는 것을 특징으로 하는 게이트 적층구조(70) 형성 방법.
  17. 제 16 항에 있어서, 규소, 질소, 산소를 포함하는 상기 층이 금속규화물층(20)과 직접 접촉하는 것을 특징으로 하는 방법.
  18. 제 16 항에 있어서, 상기 질화규소층(24)이 규소, 질소, 산소를 포함하는 상기 층(50)과 직접 접촉하는 것을 특징으로 하는 방법.
  19. 제 16 항에 있어서, 상기 질화규소층(24)이 규소, 질소, 산소를 포함하는 층(50)과 직접 접촉하고, 규소, 질소, 산소를 포함하는 상기 층(50)이 금속규화물층(20)과 직접 접촉하는 것을 특징으로 하는 방법.
  20. 게이트 적층구조(70)에 있어서, 본 게이트 적층구조(70)는
    - 반도체 기판(12) 위의 폴리실리콘층(18),
    - 폴리실리콘층(18) 위의 게이트산화물층(16),
    - 게이트산화물층(16) 위의 금속규화물층(20),
    - 금속규화물층(20)과 직접 접촉하면서 금속규화물층(20) 위에 형성되는 SixNyOz:H 형태의 층으로서, 이때, x는 0.39~0.65, y는 0.02~0.56, z는 0.05~0.33의 범위에 놓이며, 상기 금속규화물층(20)은 SixNyOz:H를 포함하는 상기 층이 형성된 후 금속규화물층(20)을 어닐링 처리하는 공정의 부산물인, 이러한 SixNyOz:H 형태의 층, 그리고
    - SixNyOz:H를 포함하는 상기 층 위의 질화규소층(24)
    을 포함하고, 이때, 폴리실리콘층(18), 게이트산화물층(16), 금속규화물층(20), SixNyOz:H를 포함하는 상기 층(50), 그리고 질화규소층(24)이 게이트 적층구조(70)를 형성하도록 패턴처리되는 것을 특징으로 하는 게이트 적층구조(70).
  21. 제 20 항에 있어서, SixNyOz:H를 포함하는 상기 층(50)의 두께가 250~650 옹스트롬 범위인 것을 특징으로 하는 게이트 적층구조(70).
  22. 제 20 항에 있어서, y가 0.02 이상 0.1 미만인 것을 특징으로 하는 게이트 적층구조(70).
  23. 제 20 항에 있어서, x = 0.5, y = 0.37, 그리고 z = 0.13인 것을 특징으로 하는 게이트 적층구조(70).
  24. 제 20 항에 있어서, 금속규화물층(20)이 티타늄을 포함하는 것을 특징으로 하는 게이트 적층구조(70).
  25. 금속규화물층(20)이 규소, 질소, 산소를 포함하는 층(50)으로 덮힐 때, 섭씨 800~900도의 온도에서 금속규화물층(20)을 어닐링하는 단계를 포함하는 것을 특징으로 하는 반도체 공정 방법.
  26. 제 25 항에 있어서, 규소, 질소, 산소를 포함하는 상기 층(50)이 SixNyOz:H를 포함하고, 이때, x는 0.39~0.65, y는 0.02~0.56, z는 0.05~0.33 사이의 범위를 가지는 것을 특징으로 하는 방법.
  27. 제 26 항에 있어서, 규소, 질소, 산소, 그리고 수소를 포함하는 상기 층(50) 위에 질화규소층(24)을 형성하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  28. 제 26 항에 있어서, 어닐링 처리 이전에 규소, 질소, 산소, 그리고 수소를 포함하는 상기 층(50) 위에 질화규소층(24)을 형성하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  29. 제 25 항에 있어서, 규소, 질소, 산소를 포함하는 상기 층(50) 위에 질화규소층(24)을 형성하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  30. 제 25 항에 있어서, 어닐링 처리 이전에 규소, 질소, 산소를 포함하는 상기 층(50) 위에 질화규소층(24)을 형성하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  31. 제 25 항에 있어서, 금속규화물(20)의 금속이 텅스텐이나 티타늄인 것을 특징으로 하는 방법.
  32. 반도체 공정 방법으로서,
    - 금속규화물(20) 덩어리를 제공하고,
    - 금속규화물(20)과 직접 접촉하는 반사방지물질층(50)을 화학적 증기 증착(CVD)처리하며, 이때 증착되는 반사방지물질층(50)은 규소, 질소, 산소, 그리고 수소를 포함하며,
    - 반사방지물질층(50) 위에 포토레지스트층(28)을 형성하고, 그리고
    - 포토레지스트층(28)을 광에 노출시키는,
    이상의 단계를 포함하는 것을 특징으로 하는 반도체 공정 방법.
  33. 제 32 항에 있어서, 증착되는 반사방지물질층(50)이 규소, 질소, 산소를 포함하는 것을 특징으로 하는 방법.
  34. 제 32 항에 있어서, 증착되는 반사방지물질층(50)이 규소, 질소, 산소, 그리고 수소를 포함하는 것을 특징으로 하는 방법.
  35. 제 32 항에 있어서, 상기 방법은 증착되는 반사방지물질층(50) 위에 질화규소층(24)을 형성하는 단계를 추가로 포함하고, 이때, 포토레지스트층(28)이 질화규소층(24) 위에 형성되는 것을 특징으로 하는 방법.
  36. 게이트 적층구조(70) 형성 방법으로서,
    - 단결정 실리콘 기판(12) 위에 폴리실리콘층(18)을 형성하고,
    - 폴리실리콘층(18) 위에 금속규화물층(20)을 형성하며,
    - 금속규화물층(20) 위에 반사방지물질층(50)을 형성하고,
    - 반사방지물질층(50) 위에 질화규소층(24)을 형성하며,
    - 질화규소층(24) 위에 포토레지스트층(28)을 형성하고,
    - 포토레지스트층(28)으로부터 패턴처리된 마스크층을 형성하기 위해 포토레지스트층(28)을 포토리소그래피 방식으로 패턴처리하며,
    - 질화규소층(24), 반사방지물질층(50), 금속규화물층(20), 그리고 폴리실리콘층(18)을 게이트 적층구조(70)로 패턴처리하기 위해 패턴처리된 마스크층으로부터 질화규소층(24), 반사방지물질층(50), 금속규화물층(20), 그리고 폴리실리콘층(18)으로 패턴을 전이하는,
    이상의 단계를 포함하는 것을 특징으로 하는 게이트 적층구조(70) 형성 방법.
  37. 제 36 항에 있어서, 증착된 반사방지물질층(50)이 금속규화물층(20)과 직접 접촉하는 것을 특징으로 하는 방법.
  38. 제 36 항에 있어서, 질화규소층(24)은 증착된 반사방지물질층(50)과 직접 접촉하는 것을 특징으로 하는 방법.
  39. 제 36 항에 있어서, 질화규소층(24)은 증착된 반사방지물질층(50)과 직접 접촉하고, 증착된 반사방지물질층(50)이 금속규화물층(20)과 직접 접촉하는 것을 특징으로 하는 방법.
  40. 반도체 공정 방법으로서,
    - 기판(12) 위에 금속규화물층(20)을 형성하고,
    - 금속규화물층(20)에 맞닫도록 금속규화물층(20) 위에 규소, 질소, 산소를 포함하는 층을 증착하며,
    - 규소, 질소, 산소를 포함하는 층에 대해 맞닫도록 이 층 위에 질화규소층(24)을 형성하고,
    - 질화규소층(24)을 형성한 후 금속규화물층(20)을 어닐링처리하며, 이때, 어닐링처리는 섭씨 800~900도의 온도에 금속규화물층(20)을 노출시키는 과정으로 이루어지는,
    이상의 단계를 포함하는 것을 특징으로 하는 반도체 공정 방법.
  41. 제 40 항에 있어서, 금속규화물층(20)의 상기 어닐링 처리 단계는 30분동안 섭씨 800~900도의 온도에 금속규화물층(20)을 노출시키는 과정을 포함하는 것을 특징으로 하는 방법.
  42. 제 40 항에 있어서, 금속규화물(20)이 증착된 반사방지물질층(50)과 직접 접촉할 때, 금속규화물(20)을 섭씨 800~900도의 온도로 어닐링처리하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  43. 제 40 항에 있어서, 반사방지물질층(50)이 금속규화물(20) 위에 있을 때, 금속규화물(20)을 섭씨 800~900도의 온도로 어닐링처리하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
KR10-2001-7002811A 1998-09-03 1999-08-31 반도체 공정 방법 및 게이트 적층구조 KR100434560B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/146,842 US6281100B1 (en) 1998-09-03 1998-09-03 Semiconductor processing methods
US09/146,842 1998-09-03

Publications (2)

Publication Number Publication Date
KR20010073111A KR20010073111A (ko) 2001-07-31
KR100434560B1 true KR100434560B1 (ko) 2004-06-07

Family

ID=22519209

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-7002811A KR100434560B1 (ko) 1998-09-03 1999-08-31 반도체 공정 방법 및 게이트 적층구조

Country Status (8)

Country Link
US (4) US6281100B1 (ko)
EP (1) EP1114444B1 (ko)
JP (1) JP2003506854A (ko)
KR (1) KR100434560B1 (ko)
AT (1) ATE345580T1 (ko)
AU (1) AU5590699A (ko)
DE (1) DE69934019T2 (ko)
WO (1) WO2000014780A1 (ko)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7804115B2 (en) 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6274292B1 (en) 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US6291868B1 (en) * 1998-02-26 2001-09-18 Micron Technology, Inc. Forming a conductive structure in a semiconductor device
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US7235499B1 (en) * 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
US6291361B1 (en) * 1999-03-24 2001-09-18 Conexant Systems, Inc. Method and apparatus for high-resolution in-situ plasma etching of inorganic and metal films
JP3498022B2 (ja) * 1999-10-15 2004-02-16 Necエレクトロニクス株式会社 半導体装置の製造方法
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
KR100408743B1 (ko) * 2001-09-21 2003-12-11 삼성전자주식회사 양자점 형성 방법 및 이를 이용한 게이트 전극 형성 방법
US6573175B1 (en) 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
US6777829B2 (en) 2002-03-13 2004-08-17 Celis Semiconductor Corporation Rectifier utilizing a grounded antenna
US6720235B2 (en) * 2002-09-10 2004-04-13 Silicon Integrated System Corp. Method of forming shallow trench isolation in a semiconductor substrate
US7384727B2 (en) * 2003-06-26 2008-06-10 Micron Technology, Inc. Semiconductor processing patterning methods
US7115532B2 (en) * 2003-09-05 2006-10-03 Micron Technolgoy, Inc. Methods of forming patterned photoresist layers over semiconductor substrates
US7132201B2 (en) * 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US6969677B2 (en) * 2003-10-20 2005-11-29 Micron Technology, Inc. Methods of forming conductive metal silicides by reaction of metal with silicon
US7026243B2 (en) * 2003-10-20 2006-04-11 Micron Technology, Inc. Methods of forming conductive material silicides by reaction of metal with silicon
US7153769B2 (en) * 2004-04-08 2006-12-26 Micron Technology, Inc. Methods of forming a reaction product and methods of forming a conductive metal silicide by reaction of metal with silicon
US7119031B2 (en) * 2004-06-28 2006-10-10 Micron Technology, Inc. Methods of forming patterned photoresist layers over semiconductor substrates
US7241705B2 (en) * 2004-09-01 2007-07-10 Micron Technology, Inc. Methods of forming conductive contacts to source/drain regions and methods of forming local interconnects
JP2009071232A (ja) * 2007-09-18 2009-04-02 Elpida Memory Inc 半導体装置及びその製造方法
KR102458034B1 (ko) 2015-10-16 2022-10-25 삼성전자주식회사 반도체 패키지, 반도체 패키지의 제조방법, 및 반도체 모듈
CN108172621A (zh) * 2018-01-19 2018-06-15 矽力杰半导体技术(杭州)有限公司 Ldmos晶体管及其制造方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4954867A (en) * 1987-06-18 1990-09-04 Seiko Instruments Inc. Semiconductor device with silicon oxynitride over refractory metal gate electrode in LDD structure

Family Cites Families (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2553314A (en) 1944-07-01 1951-05-15 Gen Electric Method of rendering materials water repellent
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4523214A (en) 1981-07-03 1985-06-11 Fuji Photo Film Co., Ltd. Solid state image pickup device utilizing microcrystalline and amorphous silicon
US4562091A (en) 1982-12-23 1985-12-31 International Business Machines Corporation Use of plasma polymerized orgaosilicon films in fabrication of lift-off masks
US4444617A (en) 1983-01-06 1984-04-24 Rockwell International Corporation Reactive ion etching of molybdenum silicide and N+ polysilicon
US4474975A (en) 1983-05-09 1984-10-02 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Process for producing tris (N-methylamino) methylsilane
US4600671A (en) 1983-09-12 1986-07-15 Canon Kabushiki Kaisha Photoconductive member having light receiving layer of A-(Si-Ge) and N
US4702936A (en) 1984-09-20 1987-10-27 Applied Materials Japan, Inc. Gas-phase growth process
US4552783A (en) * 1984-11-05 1985-11-12 General Electric Company Enhancing the selectivity of tungsten deposition on conductor and semiconductor surfaces
US4592129A (en) 1985-04-01 1986-06-03 Motorola, Inc. Method of making an integral, multiple layer antireflection coating by hydrogen ion implantation
JP2635021B2 (ja) 1985-09-26 1997-07-30 宣夫 御子柴 堆積膜形成法及びこれに用いる装置
US4648904A (en) 1986-02-14 1987-03-10 Scm Corporation Aqueous systems containing silanes for rendering masonry surfaces water repellant
US6087267A (en) 1986-03-04 2000-07-11 Motorola, Inc. Process for forming an integrated circuit
JPS63184340A (ja) * 1986-09-08 1988-07-29 Nec Corp 半導体装置
US4695859A (en) 1986-10-20 1987-09-22 Energy Conversion Devices, Inc. Thin film light emitting diode, photonic circuit employing said diode imager employing said circuits
US4764247A (en) 1987-03-18 1988-08-16 Syn Labs, Inc. Silicon containing resists
US4905073A (en) * 1987-06-22 1990-02-27 At&T Bell Laboratories Integrated circuit with improved tub tie
US4755478A (en) 1987-08-13 1988-07-05 International Business Machines Corporation Method of forming metal-strapped polysilicon gate electrode for FET device
US4870470A (en) 1987-10-16 1989-09-26 International Business Machines Corporation Non-volatile memory cell having Si rich silicon nitride charge trapping layer
US4863755A (en) 1987-10-16 1989-09-05 The Regents Of The University Of California Plasma enhanced chemical vapor deposition of thin films of silicon nitride from cyclic organosilicon nitrogen precursors
US4833096A (en) 1988-01-19 1989-05-23 Atmel Corporation EEPROM fabrication process
US4805683A (en) 1988-03-04 1989-02-21 International Business Machines Corporation Method for producing a plurality of layers of metallurgy
US4940509A (en) 1988-03-25 1990-07-10 Texas Instruments, Incorporated Isotropic etchant for capped silicide processes
JPH02285638A (ja) 1989-04-27 1990-11-22 Toshiba Corp 半導体装置
US5270267A (en) 1989-05-31 1993-12-14 Mitel Corporation Curing and passivation of spin on glasses by a plasma process wherein an external polarization field is applied to the substrate
US4910160A (en) 1989-06-06 1990-03-20 National Semiconductor Corporation High voltage complementary NPN/PNP process
US5061509A (en) 1989-08-25 1991-10-29 Kabushiki Kaisha Toshiba Method of manufacturing polyimide thin film and method of manufacturing liquid crystal orientation film of polyimide
US4971655A (en) * 1989-12-26 1990-11-20 Micron Technology, Inc. Protection of a refractory metal silicide during high-temperature processing using a dual-layer cap of silicon dioxide and silicon nitride
US5244537A (en) 1989-12-27 1993-09-14 Honeywell, Inc. Fabrication of an electronic microvalve apparatus
US4992306A (en) 1990-02-01 1991-02-12 Air Products Abd Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
US5140390A (en) 1990-02-16 1992-08-18 Hughes Aircraft Company High speed silicon-on-insulator device
JP2814009B2 (ja) 1990-06-05 1998-10-22 三菱電機株式会社 半導体装置の製造方法
US5219613A (en) 1990-06-13 1993-06-15 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for producing storage-stable surfaces of polished silicon wafers
JP2637265B2 (ja) 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
US5034348A (en) 1990-08-16 1991-07-23 International Business Machines Corp. Process for forming refractory metal silicide layers of different thicknesses in an integrated circuit
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US5302366A (en) 1991-03-28 1994-04-12 Phillips Petroleum Company Production of silicon product containing both carbon and nitrogen
CA2056456C (en) 1991-08-14 2001-05-08 Luc Ouellet High performance passivation for semiconductor devices
US5652187A (en) 1991-10-30 1997-07-29 Samsung Electronics Co., Ltd. Method for fabricating doped interlayer-dielectric film of semiconductor device using a plasma treatment
KR940009599B1 (ko) 1991-10-30 1994-10-15 삼성전자 주식회사 반도체 장치의 층간 절연막 형성방법
US5470772A (en) 1991-11-06 1995-11-28 Intel Corporation Silicidation method for contactless EPROM related devices
US5472829A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern by using an anti-reflective layer
US5677111A (en) 1991-12-20 1997-10-14 Sony Corporation Process for production of micropattern utilizing antireflection film
US5472827A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern using an anti-reflective layer
US5670297A (en) 1991-12-30 1997-09-23 Sony Corporation Process for the formation of a metal pattern
JPH0667019A (ja) 1992-01-17 1994-03-11 Asahi Glass Co Ltd 反射防止層およびその製造方法
US5543654A (en) 1992-01-28 1996-08-06 Thunderbird Technologies, Inc. Contoured-tub fermi-threshold field effect transistor and method of forming same
US5653619A (en) 1992-03-02 1997-08-05 Micron Technology, Inc. Method to form self-aligned gate structures and focus rings
JPH05275345A (ja) 1992-03-30 1993-10-22 Nippon Sheet Glass Co Ltd プラズマcvd方法およびその装置
EP0572704B1 (en) 1992-06-05 2000-04-19 Semiconductor Process Laboratory Co., Ltd. Method for manufacturing a semiconductor device including method of reforming an insulating film formed by low temperature CVD
JP3262334B2 (ja) 1992-07-04 2002-03-04 トリコン ホルディングズ リミテッド 半導体ウエハーを処理する方法
TW349185B (en) 1992-08-20 1999-01-01 Sony Corp A semiconductor device
US5286661A (en) 1992-08-26 1994-02-15 Motorola, Inc. Method of forming a bipolar transistor having an emitter overhang
DE4231312C2 (de) 1992-09-18 1996-10-02 Siemens Ag Antireflexschicht und Verfahren zur lithografischen Strukturierung einer Schicht
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5429987A (en) 1993-01-25 1995-07-04 Sharp Microelectronics Technology, Inc. Method for profile control of selective metallization
US5312768A (en) 1993-03-09 1994-05-17 Micron Technology, Inc. Integrated process for fabricating raised, source/drain, short-channel transistors
US5397684A (en) 1993-04-27 1995-03-14 International Business Machines Corporation Antireflective polyimide dielectric for photolithography
US5378659A (en) 1993-07-06 1995-01-03 Motorola Inc. Method and structure for forming an integrated circuit pattern on a semiconductor substrate
KR970004447B1 (ko) 1993-09-08 1997-03-27 삼성전자 주식회사 반사방지막 제조 방법 및 이를 이용한 반도체 장치의 제조 방법
KR970007116B1 (ko) 1993-08-31 1997-05-02 삼성전자 주식회사 반도체장치의 절연층 형성방법 및 그 형성장치
JP2641385B2 (ja) 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
FR2711275B1 (fr) * 1993-10-15 1996-10-31 Intel Corp Procédé automatiquement aligné de contact en fabrication de semi-conducteurs et dispositifs produits.
JP3029235B2 (ja) 1993-12-29 2000-04-04 現代電子産業株式会社 半導体素子の電荷貯蔵電極形成方法
US5508881A (en) * 1994-02-01 1996-04-16 Quality Microcircuits Corporation Capacitors and interconnect lines for use with integrated circuits
KR950034588A (ko) 1994-03-17 1995-12-28 오가 노리오 탄탈계 고유전체재료 및 고유전체막의 형성방법 및 반도체장치
JP3254885B2 (ja) 1994-03-22 2002-02-12 双葉電子工業株式会社 抵抗体の製造方法
KR100366910B1 (ko) 1994-04-05 2003-03-04 소니 가부시끼 가이샤 반도체장치의제조방법
US5441914A (en) 1994-05-02 1995-08-15 Motorola Inc. Method of forming conductive interconnect structure
US5858880A (en) 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
US5461003A (en) 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
CN1052116C (zh) 1994-06-15 2000-05-03 精工爱普生株式会社 薄膜半导体器件的制造方法
US5536857A (en) 1994-07-05 1996-07-16 Ford Motor Company Single source volatile precursor for SiO2.TiO2 powders and films
JPH0845926A (ja) * 1994-07-26 1996-02-16 Sony Corp 半導体装置およびその製造方法
KR960005761A (ko) 1994-07-27 1996-02-23 이데이 노부유끼 반도체장치
US5413963A (en) 1994-08-12 1995-05-09 United Microelectronics Corporation Method for depositing an insulating interlayer in a semiconductor metallurgy system
US5482894A (en) * 1994-08-23 1996-01-09 Texas Instruments Incorporated Method of fabricating a self-aligned contact using organic dielectric materials
JP3963961B2 (ja) 1994-08-31 2007-08-22 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5554567A (en) 1994-09-01 1996-09-10 Taiwan Semiconductor Manufacturing Company Ltd. Method for improving adhesion to a spin-on-glass
US5439838A (en) 1994-09-14 1995-08-08 United Microelectronics Corporation Method of thinning for EEPROM tunneling oxide device
JP3334370B2 (ja) * 1994-10-13 2002-10-15 ヤマハ株式会社 半導体デバイス
US5498555A (en) 1994-11-07 1996-03-12 United Microelectronics Corporation Method of making LDD with polysilicon and dielectric spacers
US5780891A (en) 1994-12-05 1998-07-14 Micron Technology, Inc. Nonvolatile floating gate memory with improved interploy dielectric
DE19500674A1 (de) 1995-01-12 1996-07-18 Degussa Oberflächenmodifizierte pyrogen hergestellte Mischoxide, Verfahren zu ihrer Herstellung und Verwendung
NO303649B1 (no) 1995-02-03 1998-08-10 Bj Services As Broplugg
JPH08239241A (ja) 1995-02-28 1996-09-17 Toray Dow Corning Silicone Co Ltd ガラス用撥水処理剤および撥水性ガラス
US5962581A (en) 1995-04-28 1999-10-05 Kabushiki Kaisha Toshiba Silicone polymer composition, method of forming a pattern and method of forming an insulating film
FR2734402B1 (fr) 1995-05-15 1997-07-18 Brouquet Pierre Procede pour l'isolement electrique en micro-electronique, applicable aux cavites etroites, par depot d'oxyde a l'etat visqueux et dispositif correspondant
US5710067A (en) 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
US6040619A (en) * 1995-06-07 2000-03-21 Advanced Micro Devices Semiconductor device including antireflective etch stop layer
JPH0955351A (ja) 1995-08-15 1997-02-25 Sony Corp 半導体装置の製造方法
JP3061255B2 (ja) 1995-08-18 2000-07-10 キヤノン販売株式会社 成膜方法
JPH0982687A (ja) 1995-09-19 1997-03-28 Mitsubishi Electric Corp 半導体装置の製造方法
US5948482A (en) 1995-09-19 1999-09-07 University Of New Mexico Ambient pressure process for preparing aerogel thin films reliquified sols useful in preparing aerogel thin films
US5750442A (en) 1995-09-25 1998-05-12 Micron Technology, Inc. Germanium as an antireflective coating and method of use
TW362118B (en) 1995-10-30 1999-06-21 Dow Corning Method for depositing amorphous SiNC coatings
US5744399A (en) 1995-11-13 1998-04-28 Lsi Logic Corporation Process for forming low dielectric constant layers using fullerenes
US5968324A (en) 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
EP0793271A3 (en) * 1996-02-22 1998-12-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device having a metal silicide film and method of fabricating the same
US5838052A (en) 1996-03-07 1998-11-17 Micron Technology, Inc. Reducing reflectivity on a semiconductor wafer by annealing titanium and aluminum
US6008121A (en) 1996-03-19 1999-12-28 Siemens Aktiengesellschaft Etching high aspect contact holes in solid state devices
KR100255512B1 (ko) 1996-06-29 2000-05-01 김영환 플래쉬 메모리 소자 제조방법
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5691212A (en) 1996-09-27 1997-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. MOS device structure and integration method
US5711987A (en) 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
US5994730A (en) * 1996-11-21 1999-11-30 Alliance Semiconductor Corporation DRAM cell having storage capacitor contact self-aligned to bit lines and word lines
US5840610A (en) 1997-01-16 1998-11-24 Advanced Micro Devices, Inc. Enhanced oxynitride gate dielectrics using NF3 gas
US5783493A (en) 1997-01-27 1998-07-21 Taiwan Semiconductor Manufacturing Company Ltd. Method for reducing precipitate defects using a plasma treatment post BPSG etchback
US5807660A (en) 1997-02-03 1998-09-15 Taiwan Semiconductor Manufacturing Company Ltd. Avoid photoresist lifting by post-oxide-dep plasma treatment
US5792689A (en) 1997-04-11 1998-08-11 Vanguard International Semiconducter Corporation Method for manufacturing double-crown capacitors self-aligned to node contacts on dynamic random access memory
US5933721A (en) 1997-04-21 1999-08-03 Advanced Micro Devices, Inc. Method for fabricating differential threshold voltage transistor pair
TW375779B (en) 1997-06-03 1999-12-01 United Microelectronics Corp Method for treating via side wall
US5883011A (en) 1997-06-18 1999-03-16 Vlsi Technology, Inc. Method of removing an inorganic antireflective coating from a semiconductor substrate
JPH1116904A (ja) 1997-06-26 1999-01-22 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP3390329B2 (ja) 1997-06-27 2003-03-24 日本電気株式会社 半導体装置およびその製造方法
KR100248144B1 (ko) 1997-06-30 2000-03-15 김영환 반도체 소자의 콘택 제조방법
US5973356A (en) 1997-07-08 1999-10-26 Micron Technology, Inc. Ultra high density flash memory
US6013553A (en) 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US5959325A (en) 1997-08-21 1999-09-28 International Business Machines Corporation Method for forming cornered images on a substrate and photomask formed thereby
US6060766A (en) * 1997-08-25 2000-05-09 Advanced Micro Devices, Inc. Protection of hydrogen sensitive regions in semiconductor devices from the positive charge associated with plasma deposited barriers or layers
US5924000A (en) 1997-09-19 1999-07-13 Vanguard International Semiconductor Corporation Method for forming residue free patterned polysilicon layer containing integrated circuit structures
US5995595A (en) 1997-10-14 1999-11-30 Ameritech Corporation Method of sharing and transferring information between ISDN telephones
FR2769781B1 (fr) 1997-10-14 2000-01-07 Sagem Telephone mobile a diffusion de messages sonores
US6541164B1 (en) * 1997-10-22 2003-04-01 Applied Materials, Inc. Method for etching an anti-reflective coating
US6187694B1 (en) 1997-11-10 2001-02-13 Intel Corporation Method of fabricating a feature in an integrated circuit using two edge definition layers and a spacer
US5968611A (en) 1997-11-26 1999-10-19 The Research Foundation Of State University Of New York Silicon nitrogen-based films and method of making the same
JPH11195704A (ja) * 1998-01-05 1999-07-21 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6436746B1 (en) * 1998-01-12 2002-08-20 Texas Instruments Incorporated Transistor having an improved gate structure and method of construction
US6133613A (en) * 1998-02-03 2000-10-17 Vanguard International Semiconductor Corporation Anti-reflection oxynitride film for tungsten-silicide substrates
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6004850A (en) 1998-02-23 1999-12-21 Motorola Inc. Tantalum oxide anti-reflective coating (ARC) integrated with a metallic transistor gate electrode and method of formation
EP0942330A1 (en) 1998-03-11 1999-09-15 Applied Materials, Inc. Process for depositing and developing a plasma polymerized organosilicon photoresist film
US6136636A (en) 1998-03-25 2000-10-24 Texas Instruments - Acer Incorporated Method of manufacturing deep sub-micron CMOS transistors
US6001741A (en) 1998-04-15 1999-12-14 Lucent Technologies Inc. Method for making field effect devices and capacitors with improved thin film dielectrics and resulting devices
US6140151A (en) 1998-05-22 2000-10-31 Micron Technology, Inc. Semiconductor wafer processing method
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US5960289A (en) 1998-06-22 1999-09-28 Motorola, Inc. Method for making a dual-thickness gate oxide layer using a nitride/oxide composite region
US6140677A (en) 1998-06-26 2000-10-31 Advanced Micro Devices, Inc. Semiconductor topography for a high speed MOSFET having an ultra narrow gate
US6159804A (en) 1998-09-02 2000-12-12 Advanced Micro Devices, Inc. Disposable sidewall oxidation fabrication method for making a transistor having an ultra short channel length
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US5981368A (en) 1998-11-05 1999-11-09 Advanced Micro Devices Enhanced shallow junction design by polysilicon line width reduction using oxidation with integrated spacer formation
US6156674A (en) 1998-11-25 2000-12-05 Micron Technology, Inc. Semiconductor processing methods of forming insulative materials
US6133096A (en) 1998-12-10 2000-10-17 Su; Hung-Der Process for simultaneously fabricating a stack gate flash memory cell and salicided periphereral devices
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6187657B1 (en) 1999-03-24 2001-02-13 Advanced Micro Devices, Inc. Dual material gate MOSFET technique
US6028015A (en) 1999-03-29 2000-02-22 Lsi Logic Corporation Process for treating damaged surfaces of low dielectric constant organo silicon oxide insulation material to inhibit moisture absorption
US6130168A (en) 1999-07-08 2000-10-10 Taiwan Semiconductor Manufacturing Company Using ONO as hard mask to reduce STI oxide loss on low voltage device in flash or EPROM process
US6198144B1 (en) 1999-08-18 2001-03-06 Micron Technology, Inc. Passivation of sidewalls of a word line stack
US6235591B1 (en) 1999-10-25 2001-05-22 Chartered Semiconductor Manufacturing Company Method to form gate oxides of different thicknesses on a silicon substrate

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4954867A (en) * 1987-06-18 1990-09-04 Seiko Instruments Inc. Semiconductor device with silicon oxynitride over refractory metal gate electrode in LDD structure

Also Published As

Publication number Publication date
EP1114444A1 (en) 2001-07-11
US20010028095A1 (en) 2001-10-11
US6461950B2 (en) 2002-10-08
DE69934019T2 (de) 2007-06-28
JP2003506854A (ja) 2003-02-18
ATE345580T1 (de) 2006-12-15
DE69934019D1 (de) 2006-12-28
US7576400B1 (en) 2009-08-18
US6281100B1 (en) 2001-08-28
KR20010073111A (ko) 2001-07-31
EP1114444B1 (en) 2006-11-15
US20090294878A1 (en) 2009-12-03
AU5590699A (en) 2000-03-27
WO2000014780A1 (en) 2000-03-16

Similar Documents

Publication Publication Date Title
KR100434560B1 (ko) 반도체 공정 방법 및 게이트 적층구조
KR100423560B1 (ko) 반도체 공정 방법 및 트랜지스터 게이트 적층구조 형성 방법
US8759223B2 (en) Double patterning etching process
US7638436B2 (en) Semiconductor processing methods of transferring patterns from patterned photoresists to materials
US7057263B2 (en) Semiconductor wafer assemblies comprising photoresist over silicon nitride materials
JPH08172039A (ja) 半導体装置の製造方法
JPH0774170A (ja) 配線パターンの製造方法
US6171764B1 (en) Method for reducing intensity of reflected rays encountered during process of photolithography
US6211054B1 (en) Method of forming a conductive line and method of forming a local interconnect
US7033960B1 (en) Multi-chamber deposition of silicon oxynitride film for patterning
US6806154B1 (en) Method for forming a salicided MOSFET structure with tunable oxynitride spacer
KR100253589B1 (ko) 반도체소자의 미세패턴 형성방법
KR960012321A (ko) 반도체 소자의 게이트 전극 형성방법
US6468905B1 (en) Methods of restricting silicon migration
JP3223616B2 (ja) オフセット酸化膜を備えた半導体装置及びオフセット酸化膜を備えた半導体装置の製造方法
US20030219961A1 (en) Method to reduce reflectivity of polysilicon layer
US20040132286A9 (en) Methods of forming refractory metal silicide components and methods of restricting silicon surface migration of a silicon structure
JP2000294775A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130503

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20140502

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20150430

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20160427

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20170504

Year of fee payment: 14

LAPS Lapse due to unpaid annual fee