JP2003506854A - 半導体製造方法、半導体電気回路、及びゲートスタック - Google Patents

半導体製造方法、半導体電気回路、及びゲートスタック

Info

Publication number
JP2003506854A
JP2003506854A JP2000569430A JP2000569430A JP2003506854A JP 2003506854 A JP2003506854 A JP 2003506854A JP 2000569430 A JP2000569430 A JP 2000569430A JP 2000569430 A JP2000569430 A JP 2000569430A JP 2003506854 A JP2003506854 A JP 2003506854A
Authority
JP
Japan
Prior art keywords
layer
silicon
nitrogen
oxygen
metal silicide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000569430A
Other languages
English (en)
Inventor
ジッピング イン,
ラビ イヤー,
トーマス, アール. グラス,
リチャード ホルスチャー,
アーダバン ニルーマンド,
リンダ, ケイ. サマービル,
ガーテジ, エス. サンデュー,
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2003506854A publication Critical patent/JP2003506854A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Abstract

(57)【要約】 第一の態様において、本発明は、a)基板(12)の上に金属シリサイド層(20)を形成し、b)金属シリサイド層(20)の上に、シリコン、窒素及び酸素からなる層(50)を堆積し、c)金属シリサイド層(20)の上に、シリコン、窒素及び酸素からなる層(50)を堆積する間、金属シリサイド層がアニール化されることからなる半導体製造方法を含む。他の態様において、本発明は、a)基板の上にポリシリコン層(18)を形成し、b)ポリシリコン層(18)の上に金属シリサイド層(20)を形成し、c)非反射物質層(50)を堆積し、e)窒化シリコン層(24)の上にフォトレジスト(28)の層を形成し、f)フォトレジストの層からパターンニングされたマスキング層を形成するために、フォトレジストの層をフォトリソグラフ法によりパターンニングし、g)窒化シリコン層、非反射物質層、金属シリサイド層及びポリシリコン層をゲートスタックへパターンニングするために、パターンニングされたマスキング層(28)から窒化シリコン層(24)、非反射物質層(50)、金属シリサイド層(20)及びポリシリコン層(18)へ、パターンを転写することからなるゲートスタック形成方法を含む。更に別の態様において、本発明は、電気回路及びゲートスタックに関する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】
本発明は、非反射物質を形成及び利用する方法に関する。本発明はまた、例え
ばゲートスタック等の物質のスタックを形成する半導体製造方法に関する。
【0002】
【従来の技術】
半導体製造方法は、トランジスタゲート構造を形成するために物質の層をパタ
ーンニングすることをしばしば伴う。図1は、従来のゲート構造のパターンニン
グ工程の予備処理工程における半導体ウェーハ片10を示す。半導体ウェーハ片
10は、基板の上に形成された物質のスタック14を有する基板12を含む。基
板12は、例えばp型バックグランドドーパントが低濃度にドープされた単結晶
シリコンからなる。請求の範囲の解釈を補助するために、用語「半導体基板」は
、半導体ウェーハ(単独物質又はその上に他の物質を含む集合物質)や、半導体
物質層(単独物質又は他の物質を含む集合物質)等のバルク半導体物質を含むが
これに限定されない半導体物質からなるあらゆる構造を意味するように定義され
る。用語「基板」は、上述の半導体基板を含むがこれに限定されないあらゆる支
持構造体を言う。
【0003】 スタック14は、ゲート酸化層16、ポリシリコン層18、金属シリサイド層
20、酸化層22、窒化層24、非反射物質層26、及びフォトレジスト層28
からなる。ゲート酸化層16は、例えば二酸化シリコンからなり、ポリシリコン
層18と基板12の間の絶縁層を形成する。ポリシリコン層18は、例えば導電
性ドープトポリシリコンからなり、最終的にはトランジスタゲートの第一導電部
へパターンニングされる。
【0004】 シリサイド層20は、例えばケイ化タングステン又はケイ化チタン等の金属シ
リサイドからなり、最終的にはトランジスタゲートの第二導電部となる。トラン
ジスタゲートの導電部としてシリサイド層20を利用する前に、層20のシリサ
イド物質の結晶性及び導電性を向上させるために、アニール化が典型的には行わ
れる。このようなアニール化は、例えば窒素(N2)浄化を用いて約30分間、
約800℃から約900℃の温度で行われる。
【0005】 アニール化の間、シリサイド層20がガス状の酸素に晒されると、シリサイド
層は酸化し、層の導電性に良くない影響を与える。従って、酸化層22は、好ま
しくはアニール化の前にシリサイド層20の上に設けられる。酸化層22は、例
えば二酸化シリコンからなる。シリサイド層20の上に酸化層22を設けること
の他の目的は、シリサイド層20と、最終的にシリサイド層20の近傍に設けら
れる他の導電層との電気的接触を防ぐための絶縁層とすることである。
【0006】 窒化層24は、例えば窒化シリコンからなり、最終的に層18,20の近傍に
形成される他の導電層から、導電層18,20を電気的に更に絶縁するために設
けられる。窒化層24は、厚い層(典型的な厚さは、数百、又は数千Åのオーダ
である)であり、下にある層上にストレスを生じさせる。従って、酸化層22の
別の作用は、窒化層24により下にある層18,20上へ誘起されるストレスを
緩和することである。
【0007】 非反射物質層26は、例えば窒化層24の上で回転成形される有機層からなる
。代わりに、層26は、例えば、xが0.39から0.65まで、yは0.02
から0.56まで、zは0.05から0.33までの、SixOyNz:H等の
堆積された無機非反射物質でも良い。実際上は、層は実質的に無機質であり、用
語「実質的に無機質」とは、層が少量のカーボン(1重量%より少ない)を含む
ことを意味する。代わりに、例えば有機前駆物質が利用されれば、層は1重量%
と同じかそれよりも多いカーボンを有しても良い。
【0008】 フォトレジスト層28は、陽又は陰のフォトレジストからなる。フォトレジス
ト層28は、マスクされた光源を介して層を露光することによりパターンニング
される。マスクは、フォトレジスト層28に作成されるパターンを画定する透明
及び不透明の形を有する。露光されるフォトレジスト層28の領域は、溶媒に対
して可溶性又は不溶性であるようにされる。もし露光された領域が可溶性であれ
ば、マスクの陽画像がフォトレジストに作られ、レジストは陽フォトレジストと
称される。他方、もし照射されなかった領域が溶媒で溶解されなければ、陰画像
が生じ、フォトレジストは陰フォトレジストと呼ばれる。
【0009】 照射光にフォトレジスト層28を晒すときに起こり得る障害は、照射光波がフ
ォトレジスト28を介してフォトレジストの下の層に伝わり、そして次に、フォ
トレジストを介して上に戻って反射し、その結果、フォトレジストを介して伝わ
る他の光波と相互に影響し合うことである。反射光波は、フォトレジストの中で
光強度の周期的な変動が生ずるように、他の波と強め合って及び/又は弱め合っ
て干渉する。このような光強度の変動は、フォトレジストにその厚み全体に不均
一なエネルギ量を与える結果となる。不均一な量は、フォトレジストに転写され
るマスクされたパターンの精度を悪くする。非反射物質26は、反射して戻って
くる光波を抑制するためにフォトレジスト層28へ設けられる。非反射層26は
、照射光波を吸収及び/又は減衰し、従って照射光波の反射を減ずる又は除去す
る物質からなる。
【0010】 図2は、層28の一部分を除去するために、光及び溶媒に晒すことによりフォ
トレジスト層28がパターンニングされた後の半導体ウェーハ片10を示す。
【0011】 図3を参照すると、層28のパターンが、パターンニングされたスタック30
を形成するように、下にある層16,18,20,22,24,26に転写され
る。このようなマスキング層28のパターンの転写は、適当なエッチング、例え
ばCl,HBr,CF4,CH2F2,He,NF3のうちの一つ又はそれより
多くを利用するプラズマエッチング等により起こる。
【0012】 層16,18,20,22,24,26のパターンニングの後、層16,18
,20,22,24からなるパターンニングされたゲートスタックが残るように
、層28,26が除去される。
【0013】 半導体ウェーハ製造技術において追求され続けていることは、工程の複雑性を
低減することである。このような低減は、例えば製造工程数を減らしたり、或い
は特定の半導体構造を形成するのに利用される層の数を減らしたりすることによ
り行う。従って、図1乃至図3を参照して説明した従来技術で利用されるものよ
りもより少ない数の工程及び/又は層が利用される、パターンニングされたゲー
トスタックを形成する代替方法を開発することが望まれる。
【0014】
【発明の開示】
第一の態様において、本発明は、半導体製造方法を達成する。基板の上に金属
シリサイド層が形成される。非反射物質層が金属シリサイド層と物理的に接触し
た状態で化学気相堆積される。フォトレジストの層が、非反射物質層の上に設け
られ、フォトリソグラフ法によりパターンニングされる。
【0015】 別の態様において、本発明は、ゲートスタック形成方法に関する。基板上にポ
リシリコン層が形成される。ポリシリコン層の上に金属シリサイド層が形成され
る。金属シリサイド層の上に非反射物質層が堆積される。非反射物質層の上に窒
化シリコン層が形成され、そして、該窒化シリコン層の上にフォトレジストの層
が形成される。フォトレジストの層は、フォトレジストの層からマスキング層を
形成するようにフォトリソグラフ法によりパターンニングされる。窒化シリコン
層、非反射物質層、金属シリサイド層及びポリシリコン層をゲートスタックへパ
ターンニングするために、窒化シリコン層、非反射物質層、金属シリサイド層及
びポリシリコン層に、マスキング層からパターンが転写される。
【0016】 更に別の態様において、本発明は、半導体基板の上にあるポリシリコン層から
なるゲートスタックに関する。ゲートスタックは更に、ポリシリコン層の上にあ
る金属シリサイド層と、金属シリサイドの上にあるシリコン、酸素及び窒素から
なる層とを含む。更に、ゲートスタックは、シリコン、酸素及び窒素からなる層
の上にある窒化シリコン層を含む。
【0017】
【発明の実施の形態】
本発明により達成される実施例が図4乃至図6を参照して説明される。図4乃
至図6の実施例の説明において、図1乃至図3の上述の従来技術の工程の説明で
利用した番号と同じものは同じ番号を用い、異なるものは後ろに「a」を付すか
異なる参照符号で示す。
【0018】 図4を参照すると、予備処理工程における半導体ウェーハ片10aを示してい
る。ウェーハ片10aは、図1乃至図3のウェーハ片10と同様に、基板12、
ゲート酸化層16、ポリシリコン層18、及びシリサイド層20からなる。しか
しながら、図1乃至図3を参照して上で説明した従来技術の工程とは対照的に、
シリサイド20の上には、シリコン、窒素及び酸素からなる層50が形成され、
好適実施例で示すように、シリサイド層20と物理的に接触して形成される。従
って、層50は、図1乃至図3の従来技術の酸化層22に取って代わるものであ
る。
【0019】 層50は、好ましくは化学気相堆積(CVD)法により形成される。層50は
、例えば約400℃の温度で、反応室内で前駆物質としてSiH4とN2Oを利
用するCVDにより形成される。このような堆積は、反応室内にプラズマが存在
している状態か又は存在していない状態の何れかで行われる。層50を堆積する
ための例示的な条件は、約4Torrから約6.5Torrの反応室内の圧力で
、約50ワットから約200ワット(好ましくは約100ワット)の反応室への
電力で、SiH4を約40基準立方センチメートル/分(SCCM)から約30
0SCCM(好ましく速く80SCCM)の速度で、N2Oを約80SCCMか
ら約600SCCM(好ましくは約80SCCM)の速度で、Heを約1300
SCCMから約2500SCCM(好ましくは約2200SCCM)の速度で、
プラズマ増強CVD室内に流入することを含む。
【0020】 上述の例示的な条件は、更に、窒素ガス(N2)を0SCCMよりも多く、約
300SCCMまでの速度で、好ましくは約200SCCMの速度で反応室内へ
流入することを含み、及び/又はNH3を0SCCMよりも多く、約100SC
CMまでの速度で反応室内へ流入することを含む。
【0021】 層50の例示的な構造は、SixNyOz:Hであり、x=0.5,y=0.
37,z=0.13である。x,y,zの相対的な値及び水素含有量が、堆積さ
れる物質の吸光度特性を変えるように調節される。層50は、好ましくは約25
0Åから約650Åの厚さを有する。
【0022】 層50は、層20のアニールの前に、シリサイド層20の上に設けられること
が好ましい。従って、層50は、上で説明したような、シリサイド層のアニール
化の間にガス状の酸素に晒されることからシリサイド層20を保護する酸化層2
2(図1乃至図3を参照して説明したもの)の作用を提供する。
【0023】 窒化シリコン層24が層50の上に形成され、この層は層50と物理的に接触
しても良い。本明細書の上述の従来技術の欄で説明したように、窒化シリコン層
24は、下にある層上にストレスを生じさせる。従って、層50は、下にある導
電層20,18に悪い影響を及ぼすこのようなストレスの緩和のための従来技術
の二酸化シリコン層22(図1乃至図3を参照して説明したもの)の作用を有す
る。窒化シリコン層24は、シリサイド層20のアニール化の前か後に層50の
上に形成される。
【0024】 シリコン窒化層24の上にフォトレジスト層28が形成される。図1乃至図3
を参照して説明した従来技術とは対照的に、窒化シリコン層24とフォトレジス
ト層28の間には、非反射物質層が形成されていない。その代わりに、好ましく
は層50が非反射物質の作用をするように利用される。具体的には、窒化層24
は、フォトレジスト層28をパターンニングするのに利用される照射光を効果的
に通す。従って、フォトレジスト層28を通り抜ける照射光は、大抵窒化シリコ
ン層24も通り抜け、その後層50に達する。好ましくは、層50のシリコン、
酸素及び窒素の化学量論は、フォトレジスト層28へ反射して戻ってくる層50
に達する照射光を相殺するように適当に調節される。化学量論のこのような調節
は、当業者に周知な方法を利用する日常的な経験により調節可能である。層24
,25を調節する他の方法は、フォトレジストの上の層へ戻る反射光が最少とな
るように、層24,50が、厚さ(層24,50のどちらか一方又は両方の厚み
を調節することによる)及び化学量論(層50の化学量論を調節することによる
)が調整されることである。
【0025】 図5を参照すると、フォトレジスト層28が、層16,18,20,50,2
4からなるスタック60の上にパターンニングされたマスクを形成するようにパ
ターンニングされる。図6を参照すると、層16,18,20,50,24から
なるパターンニングされたゲートスタック70を形成するために、パターンが、
フォトレジスト層28からスタック60(図5参照)に転写される。層28から
のパターンのこのような転写は、例えば、Cl,HBr,CF4,CH2F2,
He,NF3の一つ又はそれ以上を利用するプラズマエッチング法により行われ
る。フォトレジスト層28は、その後、ゲートスタック70の上から除去される
。次に、ソース及びドレイン領域がゲートスタックの近傍に埋め込まれ、ゲート
スタック70からトランジスタゲート構造が完成するように、側壁スペーサがゲ
ートスタックの側壁の上に設けられる。
【0026】 本発明の方法に拠れば、図1乃至図3を参照して上述した従来技術のゲートス
タック形成方法と比べて複雑性を低減することが可能である。特に、本発明の方
法に拠れば、アニール化の間のシリサイドの保護、上にある窒化シリコン層から
のストレスの低減、及び上にあるフォトレジスト層のフォトリソグラフ工程の間
の光の反射の軽減等、種々の作用を得るために、単一の層(50)を利用するこ
とが可能である。従って、本発明の方法に拠れば、図1乃至図3を参照して上述
した従来技術の工程と比べて、一つの層全体(図1乃至図3の非反射層26)を
省くことが可能である。このような一つの層の省略は層の形成及び除去に関連す
る製造工程を省略することにもなる。従って、本発明によって成し遂げられる方
法は、従来技術の方法と比べて、より効率の良い半導体製造工程であると言える
【図面の簡単な説明】
【図1】 図1は、従来の処理工程の予備処理工程における半導体ウェーハ片の概略断面
図である。
【図2】 図2は、図1の処理工程に続く従来のある処理工程における図1のウェーハ片
の図である。
【図3】 図3は、図2の処理工程に続く従来のある処理工程における図1のウェーハ片
の図である。
【図4】 図4は、本発明の方法による予備処理工程における半導体ウェーハ片の概略断
面図である。
【図5】 図5は、図4の処理工程に続くある処理工程における図4のウェーハ片の図で
ある。
【図6】 図6は、図5の処理工程に続くある処理工程における図4のウェーハ片の図で
ある。
【手続補正書】
【提出日】平成13年3月5日(2001.3.5)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】請求項2
【補正方法】変更
【補正の内容】
【手続補正2】
【補正対象書類名】明細書
【補正対象項目名】請求項9
【補正方法】変更
【補正の内容】
【手続補正3】
【補正対象書類名】明細書
【補正対象項目名】請求項26
【補正方法】変更
【補正の内容】
【手続補正4】
【補正対象書類名】明細書
【補正対象項目名】請求項28
【補正方法】変更
【補正の内容】
【手続補正5】
【補正対象書類名】明細書
【補正対象項目名】0004
【補正方法】変更
【補正の内容】
【0004】 シリサイド層20は、例えばケイ化タングステン又はケイ化チタン等の金属シ
リサイドからなり、最終的にはトランジスタゲートの第二導電部となる。トラン
ジスタゲートの導電部としてシリサイド層20を利用する前に、層20のシリサ
イド物質の結晶性及び導電性を向上させるために、アニール化が典型的には行わ
れる。このようなアニール化は、例えば窒素(N)浄化を用いて約30分間、
約800℃から約900℃の温度で行われる。
【手続補正6】
【補正対象書類名】明細書
【補正対象項目名】0007
【補正方法】変更
【補正の内容】
【0007】 非反射物質層26は、例えば窒化層24の上で回転成形される有機層からなる
。代わりに、層26は、例えば、xが0.39から0.65まで、yは0.02
から0.56まで、zは0.05から0.33までの、Si:H等の
堆積された無機非反射物質でも良い。実際上は、層は実質的に無機質であり、用
語「実質的に無機質」とは、層が少量のカーボン(1重量%より少ない)を含む
ことを意味する。代わりに、例えば有機前駆物質が利用されれば、層は1重量%
と同じかそれよりも多いカーボンを有しても良い。
【手続補正7】
【補正対象書類名】明細書
【補正対象項目名】0011
【補正方法】変更
【補正の内容】
【0011】 図3を参照すると、層28のパターンが、パターンニングされたスタック30
を形成するように、下にある層16,18,20,22,24,26に転写され
る。このようなマスキング層28のパターンの転写は、適当なエッチング、例え
ばCl,HBr,CF,CH,He,NFのうちの一つ又はそれより
多くを利用するプラズマエッチング等により起こる。
【手続補正8】
【補正対象書類名】明細書
【補正対象項目名】0019
【補正方法】変更
【補正の内容】
【0019】 層50は、好ましくは化学気相堆積(CVD)法により形成される。層50は
、例えば約400℃の温度で、反応室内で前駆物質としてSiHとNOを利
用するCVDにより形成される。このような堆積は、反応室内にプラズマが存在
している状態か又は存在していない状態の何れかで行われる。層50を堆積する
ための例示的な条件は、約4Torrから約6.5Torrの反応室内の圧力で
、約50ワットから約200ワット(好ましくは約100ワット)の反応室への
電力で、SiHを約40基準立方センチメートル/分(SCCM)から約30
0SCCM(好ましく速く80SCCM)の速度で、NOを約80SCCMか
ら約600SCCM(好ましくは約80SCCM)の速度で、Heを約1300
SCCMから約2500SCCM(好ましくは約2200SCCM)の速度で、
プラズマ増強CVD室内に流入することを含む。
【手続補正9】
【補正対象書類名】明細書
【補正対象項目名】0020
【補正方法】変更
【補正の内容】
【0020】 上述の例示的な条件は、更に、窒素ガス(N)を0SCCMよりも多く、約
300SCCMまでの速度で、好ましくは約200SCCMの速度で反応室内へ
流入することを含み、及び/又はNHを0SCCMよりも多く、約100SC
CMまでの速度で反応室内へ流入することを含む。
【手続補正10】
【補正対象書類名】明細書
【補正対象項目名】0021
【補正方法】変更
【補正の内容】
【0021】 層50の例示的な構造は、Si:Hであり、x=0.5,y=0.
37,z=0.13である。x,y,zの相対的な値及び水素含有量が、堆積さ
れる物質の吸光度特性を変えるように調節される。層50は、好ましくは約25
0Åから約650Åの厚さを有する。
【手続補正11】
【補正対象書類名】明細書
【補正対象項目名】0025
【補正方法】変更
【補正の内容】
【0025】 図5を参照すると、フォトレジスト層28が、層16,18,20,50,2
4からなるスタック60の上にパターンニングされたマスクを形成するようにパ
ターンニングされる。図6を参照すると、層16,18,20,50,24から
なるパターンニングされたゲートスタック70を形成するために、パターンが、
フォトレジスト層28からスタック60(図5参照)に転写される。層28から
のパターンのこのような転写は、例えば、Cl,HBr,CF,CH
He,NFの一つ又はそれ以上を利用するプラズマエッチング法により行われ
る。フォトレジスト層28は、その後、ゲートスタック70の上から除去される
。次に、ソース及びドレイン領域がゲートスタックの近傍に埋め込まれ、ゲート
スタック70からトランジスタゲート構造が完成するように、側壁スペーサがゲ
ートスタックの側壁の上に設けられる。
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,SD,SL,SZ,UG,ZW),E A(AM,AZ,BY,KG,KZ,MD,RU,TJ ,TM),AE,AL,AM,AT,AU,AZ,BA ,BB,BG,BR,BY,CA,CH,CN,CR, CU,CZ,DE,DK,DM,EE,ES,FI,G B,GD,GE,GH,GM,HR,HU,ID,IL ,IN,IS,JP,KE,KG,KP,KR,KZ, LC,LK,LR,LS,LT,LU,LV,MD,M G,MK,MN,MW,MX,NO,NZ,PL,PT ,RO,RU,SD,SE,SG,SI,SK,SL, TJ,TM,TR,TT,UA,UG,UZ,VN,Y U,ZA,ZW (72)発明者 グラス, トーマス, アール. アメリカ合衆国, アイダホ州 83631, アイダホ シティー, ピー.オー. ボックス エイビー (72)発明者 ホルスチャー, リチャード アメリカ合衆国, アイダホ州 83716, ボイズ, ナスターシャム プレイス 5651 (72)発明者 ニルーマンド, アーダバン アメリカ合衆国, アイダホ州 83705, ボイズ, サウス リムビュー 4338 (72)発明者 サマービル, リンダ, ケイ. アメリカ合衆国, アイダホ州 83704, ボイズ, ウエスト スカーダル コー ト 8008 (72)発明者 サンデュー, ガーテジ, エス. アメリカ合衆国, アイダホ州 83706, ボイズ, イースト パークリバー ド ライブ 2964 Fターム(参考) 4M104 BB01 CC05 DD62 DD78 EE05 EE14 EE17 FF14 GG09 HH20 5F033 HH04 HH25 MM07 MM15 QQ04 QQ10 RR06 RR08 SS01 SS02 SS15 VV06 XX19 XX20 5F058 BA10 BC11 BD15 BF04 BF07 BF23 BF29 BF30 BJ10 5F140 AA40 BA01 BF04 BF11 BF18 BG19 BG22 BG34 BG38 CE14 【要約の続き】 ン層(18)へ、パターンを転写することからなるゲー トスタック形成方法を含む。更に別の態様において、本 発明は、電気回路及びゲートスタックに関する。

Claims (31)

    【特許請求の範囲】
  1. 【請求項1】 半導体製造方法であって、該方法は、 基板の上に金属シリサイド層を形成し、 金属シリサイド層の上に、シリコン、窒素及び酸素からなる層を堆積し、 金属シリサイド層の上に、シリコン、窒素及び酸素からなる層を堆積する間、
    金属シリサイド層をアニール化する、 ことを特徴とする半導体製造方法。
  2. 【請求項2】 請求項1に記載の半導体製造方法であって、前記シリコン、
    窒素及び酸素からなる層は、SixNyOz:Hからなり、xは0.39から0
    .65で、yは0.02から0.56で、zは0.05から0.33であること
    を特徴とする半導体製造方法。
  3. 【請求項3】 請求項2に記載の半導体製造方法であって、該方法は更に、
    シリコン、窒素、酸素及び水素からなる層の上に窒化シリコンの層を形成する過
    程を含むことを特徴とする半導体製造方法。
  4. 【請求項4】 請求項2に記載の半導体製造方法であって、該方法は更に、
    アニール化の前に、シリコン、窒素、酸素及び水素からなる層の上に窒化シリコ
    ンの層を形成する過程を含むことを特徴とする半導体製造方法。
  5. 【請求項5】 請求項1に記載の半導体製造方法であって、前記堆積は、化
    学気相堆積法により行われることを特徴とする半導体製造方法。
  6. 【請求項6】 請求項1に記載の半導体製造方法であって、該方法は更に、
    シリコン、窒素及び酸素からなる層の上に窒化シリコンの層を形成する過程を含
    むことを特徴とする半導体製造方法。
  7. 【請求項7】 請求項1に記載の半導体製造方法であって、該方法は、更に
    、アニール化の前に、シリコン、窒素及び酸素からなる層の上に窒化シリコンの
    層を形成する過程を含むことを特徴とする半導体製造方法。
  8. 【請求項8】 半導体製造方法であって、該方法は、 基板の上に金属シリサイド層を形成し、 金属シリサイド層の上にシリコン、窒素及び酸素からなる層を堆積し、 シリコン、窒素及び酸素からなる層の上に窒化シリコンの層を形成する、 ことを特徴とする半導体製造方法。
  9. 【請求項9】 請求項8に記載の半導体製造方法であって、前記シリコン、
    窒素及び酸素からなる層は、SixNyOz:Hからなり、xは0.39から0
    .65で、yは0.02から0.56で、zは0.05から0.33であること
    を特徴とする半導体製造方法。
  10. 【請求項10】 請求項8に記載の半導体製造方法であって、前記堆積は、
    化学気相堆積法により行われることを特徴とする半導体製造方法。
  11. 【請求項11】 半導体製造方法であって、該方法は、 基板の上に金属シリサイド層を形成し、 金属シリサイドと物理的に接触するように非反射物質層が化学気相堆積され、 非反射物質層の上にフォトレジストの層を形成し、 フォトレジストの層をフォトリソグラフ法によりパターンニングする、 ことを特徴とする半導体製造方法。
  12. 【請求項12】 請求項11に記載の半導体製造方法であって、前記非反射
    物質層は、シリコン、窒素及び酸素からなることを特徴とする半導体製造方法。
  13. 【請求項13】 請求項11に記載の半導体製造方法であって、前記非反射
    物質層は、シリコン、窒素、酸素及び水素からなることを特徴とする半導体製造
    方法。
  14. 【請求項14】 請求項11に記載の半導体製造方法であって、該方法は更
    に、堆積された非反射物質層の上に窒化シリコン層を形成する過程を含み、フォ
    トレジストの層は、窒化シリコン層の上に形成されることを特徴とする半導体製
    造方法。
  15. 【請求項15】 ゲートスタック形成方法であって、該方法は、 基板の上にポリシリコン層を形成し、 ポリシリコン層の上に金属シリサイド層を形成し、 金属シリサイド層の上に非反射物質層を堆積し、 非反射物質層の上に窒化シリコン層を形成し、 窒化シリコン層の上にフォトレジストの層を形成し、 フォトレジストの層からパターンニングされたマスキング層を形成するために
    、フォトレジストの層をフォトリソグラフ法によりパターンニングし、 窒化シリコン層、非反射物質層、金属シリサイド層及びポリシリコン層をゲー
    トスタックへパターンニングするために、パターンニングされたマスキング層か
    ら窒化シリコン層、非反射物質層、金属シリサイド層及びポリシリコン層へパタ
    ーンを転写する、 ことを特徴とするゲートスタック形成方法。
  16. 【請求項16】 請求項15に記載のゲートスタック形成方法であって、該
    方法は更に、金属シリサイド層の上に非反射層を堆積する間、金属シリサイド層
    をアニール化する過程を含むことを特徴とするゲートスタック形成方法。
  17. 【請求項17】 請求項15に記載のゲートスタック形成方法であって、前
    記堆積は、化学気相堆積法により行われることを特徴とするゲートスタック形成
    方法。
  18. 【請求項18】 請求項15に記載のゲートスタック形成方法であって、前
    記堆積された非反射物質層は、シリコン、窒素、酸素及び水素からなることを特
    徴とするゲートスタック形成方法。
  19. 【請求項19】 請求項15に記載のゲートスタック形成方法であって、前
    記堆積された非反射物質層は、シリコン、窒素及び酸素からなることを特徴とす
    るゲートスタック形成方法。
  20. 【請求項20】 請求項19に記載のゲートスタック形成方法であって、前
    記シリコン、窒素及び酸素からなる層は、金属シリサイド層と物理的に接触する
    ことを特徴とするゲートスタック形成方法。
  21. 【請求項21】 請求項19に記載のゲートスタック形成方法であって、前
    記窒化シリコン層は、シリコン、窒素及び酸素からなる層と物理的に接触するこ
    とを特徴とするゲートスタック形成方法。
  22. 【請求項22】 請求項19に記載のゲートスタック形成方法であって、前
    記窒化シリコン層は、シリコン、窒素及び酸素からなる層と物理的に接触し、シ
    リコン、窒素及び酸素からなる層は、金属シリサイド層と物理的に接触すること
    を特徴とするゲートスタック形成方法。
  23. 【請求項23】 電気回路は、 半導体基板の上にある金属シリサイド層と、 金属シリサイド層と物理的に接触する、シリコン、窒素及び酸素からなる実質
    的に無機層と、 を含んでなることを特徴とする電気回路。
  24. 【請求項24】 請求項23に記載の電気回路であって、前記シリコン、窒
    素及び酸素からなる層は、金属シリサイド層の上にあり、更に、前記電気回路は
    、シリコン、窒素及び酸素からなる層の上にある窒化シリコンの層を含むことを
    特徴とする電気回路。
  25. 【請求項25】 請求項23に記載の電気回路であって、前記シリコン、窒
    素及び酸素からなる層は金属シリサイド層の上にあり、更に、前記電気回路は、
    シリコン、窒素及び酸素からなる層の上にあり、且つ物理的に接触する窒化シリ
    コンの層を含むことを特徴とする電気回路。
  26. 【請求項26】 請求項23に記載の電気回路であって、前記シリコン、窒
    素及び酸素からなる層は、SixNyOz:Hからなり、xは0.39から0.
    65で、yは0.02から0.56で、zは0.05から0.33であることを
    特徴とする電気回路。
  27. 【請求項27】 ゲートスタックは、 半導体基板の上にあるポリシリコン層と、 ポリシリコン層の上にある金属シリサイド層と、 金属シリサイドの上にあるシリコン、酸素及び窒素からなる層と、 シリコン、酸素及び窒素からなる層の上にある窒化シリコン層と、 からなることを特徴とするゲートスタック。
  28. 【請求項28】 請求項27に記載のゲートスタックであって、前記シリコ
    ン、窒素及び酸素からなる層は、SixNyOz:Hからなり、xは0.39か
    ら0.65で、yは0.02から0.56で、zは0.05から0.33である
    ことを特徴とするゲートスタック。
  29. 【請求項29】 請求項27に記載のゲートスタックであって、前記シリコ
    ン、窒素及び酸素からなる層は、金属シリサイド層と物理的に接触することを特
    徴とするゲートスタック。
  30. 【請求項30】 請求項27に記載のゲートスタックであって、前記窒化シ
    リコン層は、シリコン、窒素及び酸素からなる層と物理的に接触することを特徴
    とするゲートスタック。
  31. 【請求項31】 請求項27に記載のゲートスタックであって、前記窒化シ
    リコン層は、シリコン、窒素及び酸素からなる層と物理的に接触し、シリコン、
    窒素及び酸素からなる層は、金属シリサイド層と物理的に接触することを特徴と
    するゲートスタック。
JP2000569430A 1998-09-03 1999-08-31 半導体製造方法、半導体電気回路、及びゲートスタック Pending JP2003506854A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/146,842 US6281100B1 (en) 1998-09-03 1998-09-03 Semiconductor processing methods
US09/146,842 1998-09-03
PCT/US1999/020029 WO2000014780A1 (en) 1998-09-03 1999-08-31 Semiconductor processing method, semiconductor circuitry, and gate stacks

Publications (1)

Publication Number Publication Date
JP2003506854A true JP2003506854A (ja) 2003-02-18

Family

ID=22519209

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000569430A Pending JP2003506854A (ja) 1998-09-03 1999-08-31 半導体製造方法、半導体電気回路、及びゲートスタック

Country Status (8)

Country Link
US (4) US6281100B1 (ja)
EP (1) EP1114444B1 (ja)
JP (1) JP2003506854A (ja)
KR (1) KR100434560B1 (ja)
AT (1) ATE345580T1 (ja)
AU (1) AU5590699A (ja)
DE (1) DE69934019T2 (ja)
WO (1) WO2000014780A1 (ja)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7804115B2 (en) 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6274292B1 (en) 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US6291868B1 (en) * 1998-02-26 2001-09-18 Micron Technology, Inc. Forming a conductive structure in a semiconductor device
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US7235499B1 (en) * 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
US6291361B1 (en) * 1999-03-24 2001-09-18 Conexant Systems, Inc. Method and apparatus for high-resolution in-situ plasma etching of inorganic and metal films
JP3498022B2 (ja) * 1999-10-15 2004-02-16 Necエレクトロニクス株式会社 半導体装置の製造方法
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
KR100408743B1 (ko) * 2001-09-21 2003-12-11 삼성전자주식회사 양자점 형성 방법 및 이를 이용한 게이트 전극 형성 방법
US6573175B1 (en) 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
US6777829B2 (en) 2002-03-13 2004-08-17 Celis Semiconductor Corporation Rectifier utilizing a grounded antenna
US6720235B2 (en) * 2002-09-10 2004-04-13 Silicon Integrated System Corp. Method of forming shallow trench isolation in a semiconductor substrate
US7384727B2 (en) * 2003-06-26 2008-06-10 Micron Technology, Inc. Semiconductor processing patterning methods
US7115532B2 (en) * 2003-09-05 2006-10-03 Micron Technolgoy, Inc. Methods of forming patterned photoresist layers over semiconductor substrates
US7132201B2 (en) * 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US6969677B2 (en) * 2003-10-20 2005-11-29 Micron Technology, Inc. Methods of forming conductive metal silicides by reaction of metal with silicon
US7026243B2 (en) * 2003-10-20 2006-04-11 Micron Technology, Inc. Methods of forming conductive material silicides by reaction of metal with silicon
US7153769B2 (en) * 2004-04-08 2006-12-26 Micron Technology, Inc. Methods of forming a reaction product and methods of forming a conductive metal silicide by reaction of metal with silicon
US7119031B2 (en) * 2004-06-28 2006-10-10 Micron Technology, Inc. Methods of forming patterned photoresist layers over semiconductor substrates
US7241705B2 (en) * 2004-09-01 2007-07-10 Micron Technology, Inc. Methods of forming conductive contacts to source/drain regions and methods of forming local interconnects
JP2009071232A (ja) * 2007-09-18 2009-04-02 Elpida Memory Inc 半導体装置及びその製造方法
KR102458034B1 (ko) 2015-10-16 2022-10-25 삼성전자주식회사 반도체 패키지, 반도체 패키지의 제조방법, 및 반도체 모듈
CN108172621A (zh) * 2018-01-19 2018-06-15 矽力杰半导体技术(杭州)有限公司 Ldmos晶体管及其制造方法

Family Cites Families (151)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2553314A (en) 1944-07-01 1951-05-15 Gen Electric Method of rendering materials water repellent
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4523214A (en) 1981-07-03 1985-06-11 Fuji Photo Film Co., Ltd. Solid state image pickup device utilizing microcrystalline and amorphous silicon
US4562091A (en) 1982-12-23 1985-12-31 International Business Machines Corporation Use of plasma polymerized orgaosilicon films in fabrication of lift-off masks
US4444617A (en) 1983-01-06 1984-04-24 Rockwell International Corporation Reactive ion etching of molybdenum silicide and N+ polysilicon
US4474975A (en) 1983-05-09 1984-10-02 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Process for producing tris (N-methylamino) methylsilane
US4600671A (en) 1983-09-12 1986-07-15 Canon Kabushiki Kaisha Photoconductive member having light receiving layer of A-(Si-Ge) and N
US4702936A (en) 1984-09-20 1987-10-27 Applied Materials Japan, Inc. Gas-phase growth process
US4552783A (en) * 1984-11-05 1985-11-12 General Electric Company Enhancing the selectivity of tungsten deposition on conductor and semiconductor surfaces
US4592129A (en) 1985-04-01 1986-06-03 Motorola, Inc. Method of making an integral, multiple layer antireflection coating by hydrogen ion implantation
JP2635021B2 (ja) 1985-09-26 1997-07-30 宣夫 御子柴 堆積膜形成法及びこれに用いる装置
US4648904A (en) 1986-02-14 1987-03-10 Scm Corporation Aqueous systems containing silanes for rendering masonry surfaces water repellant
US6087267A (en) 1986-03-04 2000-07-11 Motorola, Inc. Process for forming an integrated circuit
JPS63184340A (ja) * 1986-09-08 1988-07-29 Nec Corp 半導体装置
US4695859A (en) 1986-10-20 1987-09-22 Energy Conversion Devices, Inc. Thin film light emitting diode, photonic circuit employing said diode imager employing said circuits
US4764247A (en) 1987-03-18 1988-08-16 Syn Labs, Inc. Silicon containing resists
JPS63316476A (ja) 1987-06-18 1988-12-23 Seiko Instr & Electronics Ltd 半導体装置およびその製造方法
US4905073A (en) * 1987-06-22 1990-02-27 At&T Bell Laboratories Integrated circuit with improved tub tie
US4755478A (en) 1987-08-13 1988-07-05 International Business Machines Corporation Method of forming metal-strapped polysilicon gate electrode for FET device
US4870470A (en) 1987-10-16 1989-09-26 International Business Machines Corporation Non-volatile memory cell having Si rich silicon nitride charge trapping layer
US4863755A (en) 1987-10-16 1989-09-05 The Regents Of The University Of California Plasma enhanced chemical vapor deposition of thin films of silicon nitride from cyclic organosilicon nitrogen precursors
US4833096A (en) 1988-01-19 1989-05-23 Atmel Corporation EEPROM fabrication process
US4805683A (en) 1988-03-04 1989-02-21 International Business Machines Corporation Method for producing a plurality of layers of metallurgy
US4940509A (en) 1988-03-25 1990-07-10 Texas Instruments, Incorporated Isotropic etchant for capped silicide processes
JPH02285638A (ja) 1989-04-27 1990-11-22 Toshiba Corp 半導体装置
US5270267A (en) 1989-05-31 1993-12-14 Mitel Corporation Curing and passivation of spin on glasses by a plasma process wherein an external polarization field is applied to the substrate
US4910160A (en) 1989-06-06 1990-03-20 National Semiconductor Corporation High voltage complementary NPN/PNP process
US5061509A (en) 1989-08-25 1991-10-29 Kabushiki Kaisha Toshiba Method of manufacturing polyimide thin film and method of manufacturing liquid crystal orientation film of polyimide
US4971655A (en) * 1989-12-26 1990-11-20 Micron Technology, Inc. Protection of a refractory metal silicide during high-temperature processing using a dual-layer cap of silicon dioxide and silicon nitride
US5244537A (en) 1989-12-27 1993-09-14 Honeywell, Inc. Fabrication of an electronic microvalve apparatus
US4992306A (en) 1990-02-01 1991-02-12 Air Products Abd Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
US5140390A (en) 1990-02-16 1992-08-18 Hughes Aircraft Company High speed silicon-on-insulator device
JP2814009B2 (ja) 1990-06-05 1998-10-22 三菱電機株式会社 半導体装置の製造方法
US5219613A (en) 1990-06-13 1993-06-15 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for producing storage-stable surfaces of polished silicon wafers
JP2637265B2 (ja) 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
US5034348A (en) 1990-08-16 1991-07-23 International Business Machines Corp. Process for forming refractory metal silicide layers of different thicknesses in an integrated circuit
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US5302366A (en) 1991-03-28 1994-04-12 Phillips Petroleum Company Production of silicon product containing both carbon and nitrogen
CA2056456C (en) 1991-08-14 2001-05-08 Luc Ouellet High performance passivation for semiconductor devices
US5652187A (en) 1991-10-30 1997-07-29 Samsung Electronics Co., Ltd. Method for fabricating doped interlayer-dielectric film of semiconductor device using a plasma treatment
KR940009599B1 (ko) 1991-10-30 1994-10-15 삼성전자 주식회사 반도체 장치의 층간 절연막 형성방법
US5470772A (en) 1991-11-06 1995-11-28 Intel Corporation Silicidation method for contactless EPROM related devices
US5472829A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern by using an anti-reflective layer
US5677111A (en) 1991-12-20 1997-10-14 Sony Corporation Process for production of micropattern utilizing antireflection film
US5472827A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern using an anti-reflective layer
US5670297A (en) 1991-12-30 1997-09-23 Sony Corporation Process for the formation of a metal pattern
JPH0667019A (ja) 1992-01-17 1994-03-11 Asahi Glass Co Ltd 反射防止層およびその製造方法
US5543654A (en) 1992-01-28 1996-08-06 Thunderbird Technologies, Inc. Contoured-tub fermi-threshold field effect transistor and method of forming same
US5653619A (en) 1992-03-02 1997-08-05 Micron Technology, Inc. Method to form self-aligned gate structures and focus rings
JPH05275345A (ja) 1992-03-30 1993-10-22 Nippon Sheet Glass Co Ltd プラズマcvd方法およびその装置
EP0572704B1 (en) 1992-06-05 2000-04-19 Semiconductor Process Laboratory Co., Ltd. Method for manufacturing a semiconductor device including method of reforming an insulating film formed by low temperature CVD
JP3262334B2 (ja) 1992-07-04 2002-03-04 トリコン ホルディングズ リミテッド 半導体ウエハーを処理する方法
TW349185B (en) 1992-08-20 1999-01-01 Sony Corp A semiconductor device
US5286661A (en) 1992-08-26 1994-02-15 Motorola, Inc. Method of forming a bipolar transistor having an emitter overhang
DE4231312C2 (de) 1992-09-18 1996-10-02 Siemens Ag Antireflexschicht und Verfahren zur lithografischen Strukturierung einer Schicht
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5429987A (en) 1993-01-25 1995-07-04 Sharp Microelectronics Technology, Inc. Method for profile control of selective metallization
US5312768A (en) 1993-03-09 1994-05-17 Micron Technology, Inc. Integrated process for fabricating raised, source/drain, short-channel transistors
US5397684A (en) 1993-04-27 1995-03-14 International Business Machines Corporation Antireflective polyimide dielectric for photolithography
US5378659A (en) 1993-07-06 1995-01-03 Motorola Inc. Method and structure for forming an integrated circuit pattern on a semiconductor substrate
KR970004447B1 (ko) 1993-09-08 1997-03-27 삼성전자 주식회사 반사방지막 제조 방법 및 이를 이용한 반도체 장치의 제조 방법
KR970007116B1 (ko) 1993-08-31 1997-05-02 삼성전자 주식회사 반도체장치의 절연층 형성방법 및 그 형성장치
JP2641385B2 (ja) 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
FR2711275B1 (fr) * 1993-10-15 1996-10-31 Intel Corp Procédé automatiquement aligné de contact en fabrication de semi-conducteurs et dispositifs produits.
JP3029235B2 (ja) 1993-12-29 2000-04-04 現代電子産業株式会社 半導体素子の電荷貯蔵電極形成方法
US5508881A (en) * 1994-02-01 1996-04-16 Quality Microcircuits Corporation Capacitors and interconnect lines for use with integrated circuits
KR950034588A (ko) 1994-03-17 1995-12-28 오가 노리오 탄탈계 고유전체재료 및 고유전체막의 형성방법 및 반도체장치
JP3254885B2 (ja) 1994-03-22 2002-02-12 双葉電子工業株式会社 抵抗体の製造方法
KR100366910B1 (ko) 1994-04-05 2003-03-04 소니 가부시끼 가이샤 반도체장치의제조방법
US5441914A (en) 1994-05-02 1995-08-15 Motorola Inc. Method of forming conductive interconnect structure
US5858880A (en) 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
US5461003A (en) 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
CN1052116C (zh) 1994-06-15 2000-05-03 精工爱普生株式会社 薄膜半导体器件的制造方法
US5536857A (en) 1994-07-05 1996-07-16 Ford Motor Company Single source volatile precursor for SiO2.TiO2 powders and films
JPH0845926A (ja) * 1994-07-26 1996-02-16 Sony Corp 半導体装置およびその製造方法
KR960005761A (ko) 1994-07-27 1996-02-23 이데이 노부유끼 반도체장치
US5413963A (en) 1994-08-12 1995-05-09 United Microelectronics Corporation Method for depositing an insulating interlayer in a semiconductor metallurgy system
US5482894A (en) * 1994-08-23 1996-01-09 Texas Instruments Incorporated Method of fabricating a self-aligned contact using organic dielectric materials
JP3963961B2 (ja) 1994-08-31 2007-08-22 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5554567A (en) 1994-09-01 1996-09-10 Taiwan Semiconductor Manufacturing Company Ltd. Method for improving adhesion to a spin-on-glass
US5439838A (en) 1994-09-14 1995-08-08 United Microelectronics Corporation Method of thinning for EEPROM tunneling oxide device
JP3334370B2 (ja) * 1994-10-13 2002-10-15 ヤマハ株式会社 半導体デバイス
US5498555A (en) 1994-11-07 1996-03-12 United Microelectronics Corporation Method of making LDD with polysilicon and dielectric spacers
US5780891A (en) 1994-12-05 1998-07-14 Micron Technology, Inc. Nonvolatile floating gate memory with improved interploy dielectric
DE19500674A1 (de) 1995-01-12 1996-07-18 Degussa Oberflächenmodifizierte pyrogen hergestellte Mischoxide, Verfahren zu ihrer Herstellung und Verwendung
NO303649B1 (no) 1995-02-03 1998-08-10 Bj Services As Broplugg
JPH08239241A (ja) 1995-02-28 1996-09-17 Toray Dow Corning Silicone Co Ltd ガラス用撥水処理剤および撥水性ガラス
US5962581A (en) 1995-04-28 1999-10-05 Kabushiki Kaisha Toshiba Silicone polymer composition, method of forming a pattern and method of forming an insulating film
FR2734402B1 (fr) 1995-05-15 1997-07-18 Brouquet Pierre Procede pour l'isolement electrique en micro-electronique, applicable aux cavites etroites, par depot d'oxyde a l'etat visqueux et dispositif correspondant
US5710067A (en) 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
US6040619A (en) * 1995-06-07 2000-03-21 Advanced Micro Devices Semiconductor device including antireflective etch stop layer
JPH0955351A (ja) 1995-08-15 1997-02-25 Sony Corp 半導体装置の製造方法
JP3061255B2 (ja) 1995-08-18 2000-07-10 キヤノン販売株式会社 成膜方法
JPH0982687A (ja) 1995-09-19 1997-03-28 Mitsubishi Electric Corp 半導体装置の製造方法
US5948482A (en) 1995-09-19 1999-09-07 University Of New Mexico Ambient pressure process for preparing aerogel thin films reliquified sols useful in preparing aerogel thin films
US5750442A (en) 1995-09-25 1998-05-12 Micron Technology, Inc. Germanium as an antireflective coating and method of use
TW362118B (en) 1995-10-30 1999-06-21 Dow Corning Method for depositing amorphous SiNC coatings
US5744399A (en) 1995-11-13 1998-04-28 Lsi Logic Corporation Process for forming low dielectric constant layers using fullerenes
US5968324A (en) 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
EP0793271A3 (en) * 1996-02-22 1998-12-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device having a metal silicide film and method of fabricating the same
US5838052A (en) 1996-03-07 1998-11-17 Micron Technology, Inc. Reducing reflectivity on a semiconductor wafer by annealing titanium and aluminum
US6008121A (en) 1996-03-19 1999-12-28 Siemens Aktiengesellschaft Etching high aspect contact holes in solid state devices
KR100255512B1 (ko) 1996-06-29 2000-05-01 김영환 플래쉬 메모리 소자 제조방법
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5691212A (en) 1996-09-27 1997-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. MOS device structure and integration method
US5711987A (en) 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
US5994730A (en) * 1996-11-21 1999-11-30 Alliance Semiconductor Corporation DRAM cell having storage capacitor contact self-aligned to bit lines and word lines
US5840610A (en) 1997-01-16 1998-11-24 Advanced Micro Devices, Inc. Enhanced oxynitride gate dielectrics using NF3 gas
US5783493A (en) 1997-01-27 1998-07-21 Taiwan Semiconductor Manufacturing Company Ltd. Method for reducing precipitate defects using a plasma treatment post BPSG etchback
US5807660A (en) 1997-02-03 1998-09-15 Taiwan Semiconductor Manufacturing Company Ltd. Avoid photoresist lifting by post-oxide-dep plasma treatment
US5792689A (en) 1997-04-11 1998-08-11 Vanguard International Semiconducter Corporation Method for manufacturing double-crown capacitors self-aligned to node contacts on dynamic random access memory
US5933721A (en) 1997-04-21 1999-08-03 Advanced Micro Devices, Inc. Method for fabricating differential threshold voltage transistor pair
TW375779B (en) 1997-06-03 1999-12-01 United Microelectronics Corp Method for treating via side wall
US5883011A (en) 1997-06-18 1999-03-16 Vlsi Technology, Inc. Method of removing an inorganic antireflective coating from a semiconductor substrate
JPH1116904A (ja) 1997-06-26 1999-01-22 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP3390329B2 (ja) 1997-06-27 2003-03-24 日本電気株式会社 半導体装置およびその製造方法
KR100248144B1 (ko) 1997-06-30 2000-03-15 김영환 반도체 소자의 콘택 제조방법
US5973356A (en) 1997-07-08 1999-10-26 Micron Technology, Inc. Ultra high density flash memory
US6013553A (en) 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US5959325A (en) 1997-08-21 1999-09-28 International Business Machines Corporation Method for forming cornered images on a substrate and photomask formed thereby
US6060766A (en) * 1997-08-25 2000-05-09 Advanced Micro Devices, Inc. Protection of hydrogen sensitive regions in semiconductor devices from the positive charge associated with plasma deposited barriers or layers
US5924000A (en) 1997-09-19 1999-07-13 Vanguard International Semiconductor Corporation Method for forming residue free patterned polysilicon layer containing integrated circuit structures
US5995595A (en) 1997-10-14 1999-11-30 Ameritech Corporation Method of sharing and transferring information between ISDN telephones
FR2769781B1 (fr) 1997-10-14 2000-01-07 Sagem Telephone mobile a diffusion de messages sonores
US6541164B1 (en) * 1997-10-22 2003-04-01 Applied Materials, Inc. Method for etching an anti-reflective coating
US6187694B1 (en) 1997-11-10 2001-02-13 Intel Corporation Method of fabricating a feature in an integrated circuit using two edge definition layers and a spacer
US5968611A (en) 1997-11-26 1999-10-19 The Research Foundation Of State University Of New York Silicon nitrogen-based films and method of making the same
JPH11195704A (ja) * 1998-01-05 1999-07-21 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6436746B1 (en) * 1998-01-12 2002-08-20 Texas Instruments Incorporated Transistor having an improved gate structure and method of construction
US6133613A (en) * 1998-02-03 2000-10-17 Vanguard International Semiconductor Corporation Anti-reflection oxynitride film for tungsten-silicide substrates
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6004850A (en) 1998-02-23 1999-12-21 Motorola Inc. Tantalum oxide anti-reflective coating (ARC) integrated with a metallic transistor gate electrode and method of formation
EP0942330A1 (en) 1998-03-11 1999-09-15 Applied Materials, Inc. Process for depositing and developing a plasma polymerized organosilicon photoresist film
US6136636A (en) 1998-03-25 2000-10-24 Texas Instruments - Acer Incorporated Method of manufacturing deep sub-micron CMOS transistors
US6001741A (en) 1998-04-15 1999-12-14 Lucent Technologies Inc. Method for making field effect devices and capacitors with improved thin film dielectrics and resulting devices
US6140151A (en) 1998-05-22 2000-10-31 Micron Technology, Inc. Semiconductor wafer processing method
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US5960289A (en) 1998-06-22 1999-09-28 Motorola, Inc. Method for making a dual-thickness gate oxide layer using a nitride/oxide composite region
US6140677A (en) 1998-06-26 2000-10-31 Advanced Micro Devices, Inc. Semiconductor topography for a high speed MOSFET having an ultra narrow gate
US6159804A (en) 1998-09-02 2000-12-12 Advanced Micro Devices, Inc. Disposable sidewall oxidation fabrication method for making a transistor having an ultra short channel length
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US5981368A (en) 1998-11-05 1999-11-09 Advanced Micro Devices Enhanced shallow junction design by polysilicon line width reduction using oxidation with integrated spacer formation
US6156674A (en) 1998-11-25 2000-12-05 Micron Technology, Inc. Semiconductor processing methods of forming insulative materials
US6133096A (en) 1998-12-10 2000-10-17 Su; Hung-Der Process for simultaneously fabricating a stack gate flash memory cell and salicided periphereral devices
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6187657B1 (en) 1999-03-24 2001-02-13 Advanced Micro Devices, Inc. Dual material gate MOSFET technique
US6028015A (en) 1999-03-29 2000-02-22 Lsi Logic Corporation Process for treating damaged surfaces of low dielectric constant organo silicon oxide insulation material to inhibit moisture absorption
US6130168A (en) 1999-07-08 2000-10-10 Taiwan Semiconductor Manufacturing Company Using ONO as hard mask to reduce STI oxide loss on low voltage device in flash or EPROM process
US6198144B1 (en) 1999-08-18 2001-03-06 Micron Technology, Inc. Passivation of sidewalls of a word line stack
US6235591B1 (en) 1999-10-25 2001-05-22 Chartered Semiconductor Manufacturing Company Method to form gate oxides of different thicknesses on a silicon substrate

Also Published As

Publication number Publication date
EP1114444A1 (en) 2001-07-11
US20010028095A1 (en) 2001-10-11
US6461950B2 (en) 2002-10-08
DE69934019T2 (de) 2007-06-28
ATE345580T1 (de) 2006-12-15
DE69934019D1 (de) 2006-12-28
US7576400B1 (en) 2009-08-18
US6281100B1 (en) 2001-08-28
KR20010073111A (ko) 2001-07-31
EP1114444B1 (en) 2006-11-15
US20090294878A1 (en) 2009-12-03
KR100434560B1 (ko) 2004-06-07
AU5590699A (en) 2000-03-27
WO2000014780A1 (en) 2000-03-16

Similar Documents

Publication Publication Date Title
JP2003506854A (ja) 半導体製造方法、半導体電気回路、及びゲートスタック
US5378659A (en) Method and structure for forming an integrated circuit pattern on a semiconductor substrate
JP3542118B2 (ja) 非反射物質層の形成及びこれを利用した半導体製造方法、及びトランジスタゲートスタックの形成方法
JPH06349695A (ja) 半導体装置およびその製造方法
US6365320B1 (en) Process for forming anti-reflective film for semiconductor fabrication using extremely short wavelength deep ultraviolet photolithography
US7064080B2 (en) Semiconductor processing method using photoresist and an antireflective coating
US7057263B2 (en) Semiconductor wafer assemblies comprising photoresist over silicon nitride materials
JPH0774170A (ja) 配線パターンの製造方法
US6171764B1 (en) Method for reducing intensity of reflected rays encountered during process of photolithography
US6211054B1 (en) Method of forming a conductive line and method of forming a local interconnect
US6117619A (en) Low temperature anti-reflective coating for IC lithography
US20020197835A1 (en) Anti-reflective coating and methods of making the same
US6291329B1 (en) Protective oxide buffer layer for ARC removal
JPH0846188A (ja) 半導体装置
US5946599A (en) Method of manufacturing a semiconductor IC device
US20040157168A1 (en) Method of improving pattern profile of thin photoresist layer
US20030219961A1 (en) Method to reduce reflectivity of polysilicon layer
JP3371414B2 (ja) 配線の形成方法
KR20030058508A (ko) 반도체소자의 패턴 형성방법
JPH08339998A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040309

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040609

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20050104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050415

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20050602

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20050729

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20060901

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20061025

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20061101