KR100303231B1 - Cvd시스템진공라인의세척방법및장치 - Google Patents

Cvd시스템진공라인의세척방법및장치 Download PDF

Info

Publication number
KR100303231B1
KR100303231B1 KR1019960041540A KR19960041540A KR100303231B1 KR 100303231 B1 KR100303231 B1 KR 100303231B1 KR 1019960041540 A KR1019960041540 A KR 1019960041540A KR 19960041540 A KR19960041540 A KR 19960041540A KR 100303231 B1 KR100303231 B1 KR 100303231B1
Authority
KR
South Korea
Prior art keywords
chamber
coil
gas
dpa
vessel
Prior art date
Application number
KR1019960041540A
Other languages
English (en)
Other versions
KR970018008A (ko
Inventor
팽 벤
청 데이빗
엔. 테일러 쥬니어 윌리암
라우 세바스티앙
포도 마크
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR970018008A publication Critical patent/KR970018008A/ko
Application granted granted Critical
Publication of KR100303231B1 publication Critical patent/KR100303231B1/ko

Links

Classifications

    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/24Stationary reactors without moving elements inside
    • B01J19/248Reactors comprising multiple separated flow channels
    • B01J19/249Plate-type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2451Geometry of the reactor
    • B01J2219/2453Plates arranged in parallel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2451Geometry of the reactor
    • B01J2219/2456Geometry of the plates
    • B01J2219/2458Flat plates, i.e. plates which are not corrugated or otherwise structured, e.g. plates with cylindrical shape
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2461Heat exchange aspects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2461Heat exchange aspects
    • B01J2219/2467Additional heat exchange means, e.g. electric resistance heaters, coils
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2469Feeding means
    • B01J2219/247Feeding means for the reactants
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2474Mixing means, e.g. fins or baffles attached to the plates
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2475Separation means, e.g. membranes inside the reactor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2476Construction materials
    • B01J2219/2483Construction materials of the plates
    • B01J2219/2487Ceramics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2491Other constructional details
    • B01J2219/2492Assembling means
    • B01J2219/2493Means for assembling plates together, e.g. sealing means, screws, bolts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2491Other constructional details
    • B01J2219/2492Assembling means
    • B01J2219/2496Means for assembling modules together, e.g. casings, holders, fluidic connectors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Epidemiology (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Manufacture, Treatment Of Glass Fibers (AREA)
  • Drying Of Semiconductors (AREA)
  • Superconductors And Manufacturing Methods Therefor (AREA)

Abstract

입자성 물질과 잔류물이 반도체 공정 장치의 진공 배기 라인 내부에 누적되는 것을 방지해주는 장치. 이 장치는 RF 에너지를 사용하여 반도체 공정실로부터 배기되는 입자성 물질의 구성 요소들을 플래즈마 상태로 들뜨게 함으로써, 그 구성 요소들이 반응하여 진공 라인을 통해서 펌프될 수 있는 기체 생성물을 형성함에 있다. 이 장치는, 장치를 통과하는 입자성 물질들을 수집함으로써 그 장치로부터 입자성 물질이 배출되는 것을 금지하도록 구성, 배열된 콜렉션 챔버를 포함한다. 이 장치는 그 밖에도, 콜렉션 챔버내에서의 입자 수집을 강화하여, 입자의 배출을 더욱 금지시키는 정전 콜렉터를 포함할 수가 있다.

Description

CVD 시스템 진공 라인의 세척 방법 및 장치
제1도는 본 발명의 장치에 부착될 수 있는 단순화된 화학 증착 장치의 일 실시예를 도시한 도면.
제2도는 본 발명과 제1도의 화학 증착 장치를 연결시키는 제 1방법을 도시한 도면.
제3도는 본 발명과 제1도의 화학 증착 장치를 연결시키는 제 2방법을 도시한 도면.
제4도는 본 발명의 진공 라인 세척 장치에 관한 제 1 실시예의 측단면도.
제5도는 본 발명의 진공 라인 세척 장치에 관한 제 2 실시예의 측단면
제6(a)도는 본 발명의 진공 라인 세척 장치에 관한 세 번째 실시예의 측단면도.
제6(b)도와 제6(c)도는 제6(a)도의 장치에서 사용되는 정전 트랩이 그 장치 안으로 펌프되는 입자에 미치는 효과를 도시한 도면.
제7도는 본 발명의 효율성을 평가 테스트하기 위해 사용되는 본 발명장치의 모형품에 관한 측 단면도.
제8도는 15초간의 질화 실리콘 증착 공정 후에, 진공 앞 라인(vacuum foreline) 안에 위치한 실리콘 조각에 증착 누적된 잔류물의 양을 보여 주는 마이크로 그래프.
제9도는 본 발명의 테스트 이전에 행해진 실험 기간 중에, 진공 앞 라인 안에 놓여 있는 실리콘 조각에 증착된 입자의 크기를 보여 주는 마이크로 그래프.
* 도면의 주요부분에 대한 부호의 설명
10 : 평행 판식 화학증착 반응기 12 : 서셉터
13 : 서포트 핑거 15 : 진공 챔버
32 : 진공펌프 시스템 34 : 프로세서
36 : 제어선 38 : 기억장치
50, 60, 62 : 튜브 52, 87, 90 : 코일
54 : 콘테이너 72 : 정전 수집기
[발명의 배경]
본 발명은 일반적으로 반도체 공정 기기 분야, 특히 공정실과 연결되어 있는 진공 배기 라인의 내면에 생기는 불순물과 잔류물을 제거하는 방법 및 그 장치에 관한 것이다.
화학 기상 증착법(CVD)에 의한 공정 과정에서, 증착 가스는 공정 챔버안으로 방출되어 처리되는 기판의 표면에 박막층을 형성하게 된다. 이러한 CVD 공정 중에는, 공정 챔버의 벽면과 같이 원하지 않는 곳에도 증착이 된다. 그러나, 이러한 증착 가스 분자 개개는 공정 챔버 내에서의 잔류 시간이 비교적 짧으므로, 공정 챔버 안으로 방출되는 분자들의 일부만이 증착 공정에 실제로 사용되어 웨이퍼나 공정 챔버 벽면에 증착된다.
사용되지 않는 가스 분자들은 일부 반응된 화합물 및 반응 부산물과 함께, 보통 “앞 라인” (foreline)이라 일컬어지는 진공 라인을 통하여, 공정 챔버 밖으로 나오게 된다. 이 배기 가스에 섞여 있는 많은 화합물들은 아직도 매우 반응을 일으키기 쉬운 상태이며, 또한 원하지 않는 증착물을 앞 라인에 형성시킬 수 있는 잔류물이나 입자들을 포함하고 있다. 시간이 경과함에 따라, 분말성 잔류물 및/또는 입자의 누적은 심각한 문제를 제기하게 된다. 첫째로, 누적되는 물질은, 진공 밀봉이 깨져서(주기적인 일상 세척 작업 중) 앞 라인이 대기조건에 노출되는 경우 점화될 수 있는 발화성 물질이라는 점에서, 안전상의 위험 요소가 된다. 둘째로, 만약 충분한 양의 증착 물질이 앞 라인에 누적되는 경우, 앞 라인 및/또는 연결된 진공 펌프는, 적절히 세척되지 않은 경우, 막힐수도 있다. 주기적으로 세척된다 하더라도, 누적 물질은 진공 펌프의 정상적인 작동을 방해할 뿐 아니라, 그 유효 수명을 급격히 단축시킬 수가 있다. 또한, 고체의 물질은 앞 라인에서 공정 챔버 안으로 역류되어, 공정 과정을 오염시킬 수 있어서, 웨이퍼 수율에 해로운 영향을 미치게 된다.
이러한 문제를 피하기 위해서는 앞 라인의 내면을 규칙적으로 세척하여 증착물을 제거시켜야만 한다. 이 절차는 공정 챔버의 벽면이나 비슷한 곳에 증착된 물질을 제거하는 공정 챔버 표준 세척 작업을 하는 동안에 이행한다. 평상적인 공정 챔버 세척 기술에는, 공정 챔버 벽면과 기타 부위에 증착된 물질을 제거할 목적으로 쓰이는 불소와 같은 에칭(부식) 가스의 사용이 포함된다. 에칭 가스가 공정 챔버로 유입되면 플라즈마가 형성되어, 공정 챔버 벽면의 증착물과 작용함으로써 이를 제거하게 된다. 이러한 세척 절차는 웨이퍼 한 개 또는 N 개의 증착 단계마다 행해지는 것이 보통이다.
플라즈마가 증착된 물질과 가까운 거리에서 공정 챔버 내부에 형성된다는 점에서, 증착물의 벽면 제거는 비교적 간단한 편이다. 앞 라인에서의 증착물 제거는 더욱 어려운데, 그 이유는 앞 라인이 공정 챔버보다 하향(downstream)으로 위치하기 때문이다. 정해진 시간 동안, 공정 챔버 내부 대부분의 지역은 앞 라인 내부보다 더 많은 양의 부식제인 불소 원자와 접촉하게 된다. 그러므로, 정해진 시간 동안이라면, 잔류물 및 유사한 증착물은 앞 라인에 남아 있는 반면, 공정 챔버는 세척 작업에 의하여 충분히 세척될 수 있다.
앞 라인을 충분히 세척하기 위해서는, 세척 작업 시간을 연장시켜야 한다. 그러나, 세척 작업 시간의 연장은 웨이퍼 스루풋에 불리하게 작용되므로 바람직하지 못하다. 또한, 그러한 축적된 잔류물은, 세척 단계의 반응체가 앞 라인에 있는 잔류물과 반응할 수 있는 상태로 앞 라인으로 배기되는 정도까지만 세척될 수 있다. 일부의 시스템과 용도의 경우에는, 배기되는 반응체의 잔류시간이, 앞 라인의 끝이나 심지어는 중간부분에도 채 못 미칠 정도로 길지 못하다. 따라서, 반도체 공정 시스템에 있어서 앞 라인을 완전하게 효과적으로 세척할 수 있는 장치 및 방법이 필요하게 된다.
앞 라인 세척을 목적으로 사용되고 있는 한 가지 방법은, 배기 가스에 포함되어 있는 반응 성분을 전극 표면에 필름처럼 부착시켜 추출해내는, 플라즈마 강화 CVD 기술을 사용한 스크러빙 시스템이 있다. 이 스크러빙 시스템은 반응체의 고체막에 의한 제거를 최대화하도록 설계되었으며 넓은 표면적의 나선형 전극을 사용한다. 이 나선형 전극은 송풍 펌프와 기계 펌프 사이에 위치하며 앞 라인 끝 부분에 위치한, 제거해 낼 수 있는 캐니스터 (통처럼 생긴 부품) 안에 있다. 충분한 양의 고체 폐기물이 전극에 누적된 후에는, 캐니스터는 제거, 처분되며, 대체될 수 있다.
이 시스템은, 고체 증착물을 수집하는데 필요한 표면을 제공해주기 위해 넓은 표면적의 전극에 의존한다는 점에서 기존의 기술과 방법은 문제가 있다. 표면적이 큰 전극을 수용하려면, 크기와 부피가 큰 시스템이 필요하다. 더욱이, 제거용 캐니스터는, 대체를 시켜서 그의 적절한 처리를 요구하는 소모성 제품이기 때문에, 상기에 언급된 스크러빙 시스템의 작동에는 추가 비용이 들게된다. 또한 이 스크러빙 시스템은 앞쪽 진공 라인이 시작하는 부위보다 하향에 위치하므로, 그 부위에 누적되는 분말성 물질이나 입자들의 제거는 보장하지 못한다.
[발명의 요약]
본 발명은 입자와 기타 잔류물이 배기 라인에 누적되는 것을 충분히 방지할 수 있는 장치를 제공함으로써, 종전의 기술과 관련된 문제점들을 해결해준다. 증착 과정중 진공 라인에 수집될 수 있는 분말성 잔류물과 기타 입자들은 수집 챔버(입자 수집기)에 포획되어, 반응 챔버보다 하향에서 생성되는 플라즈마를 통하여 제거된다. 이 플라즈마는 수집 챔버로 펌핑되어 들어가는 배기 잔류물과 배기 가스에 포함된 반응체로부터 생성된다. 플라즈마 구성 요소들이 반응 작용을 하여 가스 생성물을 형성하는데, 이는 배기라인을 통과하여 쉽게 내보내진다. 본 발명은 또한 증착물의 형성의 방지와 제거를 보장해주는 방법도 제공한다.
본 발명의 한 실시예에는, 용기 챔버에 의해 규정되는 가스의 통로를 코일이 둘러싸고 있다. 이 코일은 통로내의 입자 및 누적물로부터 나오는 분자들을 플라즈마 상태로 여기시키는데 사용되는 RF 전원 장치와 연결된다. 플라즈마의 구성 요소들은 반응하여 진공라인으로 펌핑될 수 있는 가스 생성물을 형성하게 된다.
본 발명의 장치의 다른 실시예에는, 용기의 입구와 출구 사이에 위치하는 수집 챔버가 이 통로에 포함된다. 이 수집 챔버는 통로를 통해 나가는 입자들의 수집 및 수집된 입자들이 수집 챔버에서 배출되는 것을 방지하도록 구성 배열되어 있다. 수집 챔버에 수집되는 입자들은 상기에 언급된 RF에 의하여 플라즈마 상태로 여기된다.
또 다른 실시예에서는, 본 발명의 장치가 가스 통로 내에 정전 수집기도 포함하고 있다. 이 정전 수집기는, 통로를 통해 흘러나가는 하전된 입자들을 통로 내에서 수집하여 포획하도록 설계되어 있다.
본 발명의 장점과 특징 및 이미 설명한 실시예와 다른 실시예들이 다음에 나오는 본문과 도해를 통하여 더욱 상세하게 설명된다.
I. 전형적인 반도체 공정 챔버
본 발명의 장치는 다양한 반도체 공정 장치와 함께 사용될 수 있다. 제1도에 도시된 화학 기상 증착기가 그러한 공정 장치중 하나이며, 평행 판식 화학 기상 증착 반응기(10)의 단순화한 수직 단면도이다. 반응기(10)는 진공 챔버(15) 내부의 서셉터(12)가 받치고 있는 웨이퍼 (표시되지 않았음)에 증착 가스를 분산시켜주는 가스 분포 다기관(11)을 포함하고 있다. 서셉터(12)는 열에 매우 민감하며, 서포트 핑거(13) 위에 장착되어, 서셉터(12) (서셉터(12)의 윗면에 놓이게 되는 웨이퍼 포함)가 하부의 로딩/오프 로딩 위치와 다기관(11)이 가까운 상부의 공정 위치(14) 사이를 조정하여 움직이도록 되어 있다.
공정 위치(14)에서의 서셉터(12)와 웨이퍼는, 고리 모양의 진공 다기관(24)으로 배기되는, 간격을 두고 떨어져 있는 다수의 구멍(23)이 있는 배플 판으로 둘러싸인다. 공정 중에는 다기관(11)의 가스가 유입되는 입구가, 화살표(21)로 보여주고 있는 것과 같이, 웨이퍼 표면에 방사상으로 균일하게 분포된다. 분포된 가스는 진공 펌프 시스템(32)에 의하여 포트(23)를 통하여 원형의 진공 다기관(24)을 거쳐 진공 앞 라인(31)을 통하여 배기된다. 다기관(11)에 도착하기 전에, 증착과 이동 (캐리어) 가스는 가스 라인(18)을 통하여 혼합 챔버(19)로 공급된 후 섞이게 되며, 그 다음은 다기관 (11)으로 보내진다.
RF 전원 장치(25)에서 공급되는 RF 에너지는 다기관(11)에 인가되어, 조절된 플라즈마가 웨이퍼의 가까운 곳에 형성된다. 가스 분포 다기관(11)은 RF 전극이며, 서셉터(12)는 접지되어 있다. RF 전원 장치(25)는 단일 주파수 또는 혼합 주파수의 RF 전력(또는 필요에 따라 변형됨)을, 챔버(15)로 들어가는 반응력이 강한 가스 성분의 분해를 강화시킬 목적으로 다기관(11)에 공급한다.
원형의 외부 램프 모듈(20)은 환형의 콜리메이트 황선(27)을 석영 유리윈도(28)를 통하여, 서셉터(12)의 환형의 바깥 주변에 공급한다. 이러한 열의 분포는 서셉터의 자연적 열 손실 경향을 보상해 주며, 서셉터와 웨이터를 신속 균일하게 가열하므로 증착의 효율을 높여준다.
도면에는 도시되어 있지 않지만, 모터는 서셉터(12)의 위치를 공정 위치(14)와 하부의 웨이퍼 부하 위치 사이에서 올리거나 내릴 수가 있다. 모터, 가스 라인(18)에 연결되어 있는 가스 공급 밸브(18) 및 RF 전원 장치(25)는, 일부밖에 나와 있지 않은 제어선(35) 위에 위치한 프로세서(34)에 의해 제어된다. 프로세서(34)는 기억 장치(38)에 저장된 컴퓨터 프로그램을 이용하여 제어, 작동된다. 이 컴퓨터 프로그램은 타이밍, 가스 혼합, 챔버의 압력, 챔버의 온도, RF 전력 레벨, 서셉터의 위치 및 특정한 공정에 관한 공정 수치를 지시하게 된다.
보통 챔버 라이닝의 일부 또는 전부의 경우, 가스 입구 다기관 면판, 서포트 핑거(13) 및 기타 반응기 하드웨어의 다양한 부분들은 양극 처리된 알루미늄과 같은 물질을 이용하여 제조된다. 그러한 PECVD 장치의 한 예는, “이산화 실리콘의 열화학 증착과 인-시튜(In-situ) 다단계 평탄화 공정에 관한 열 CVD/PECVD 반응기와 사용”으로 명칭되고 공동 양도된 미국 특허 제5,000,113호에 설명되어 있다.
상기에 언급된 반응기에 관한 설명은 그것을 묘사함이 주목적이며, 본 발명은 전자 사이클로트론 공명(ECR) 플라즈마 CVD 장치, 유도 RF 고밀도 플라즈마 CVD 장치들과 같은 기타 CVD 기기와 같이도 사용될 수 있다. 본 발명은 또한 열 CVD 장치, 플라즈마 에칭 장치 및 물리 기상 증착(PVD) 장치 등과 같이 사용될 수 있다. 진공라인 내의 증착물 누적 방지에 관한 본 발명의 장치와 방법은 어느 특정 반도체 공정 장치나, 증착, 에칭 공정 또는 방법에만 국한되지는 않는다.
II. 반도체 공정 작업의 실례
CVD 반응기(10)에서 이루어지는 화학 기상 증착 공정과 같은 반도체 공정 작업 중에는, 다양한 가스 폐기 생성물과 오염 물질이 진공 챔버(15)에서 진공라인(31)으로 배기된다. 시행되는 작업에 따라서 이러한 배기 생성물은, 앞 라인을 통하여 배기되는 과정에서, 잔류물이나 유사한 분말 물질을 그 안에 남기게 되며, 일부만 반응된 생성물과 부산물과 같은 입자들을 포함할 수 있다. 예를 들어, 실란(SiH4), 질소(N2) 및 암모니아(NH3)를 선구체로 사용하는 질화실리콘막의 증착 과정에서는, SixNyHz, SixHy, SiOx및 실리콘 원소로 이루어진 갈색 분말의 잔류물이 앞 라인에서 발견되었다. 이러한 잔류물의 누적은 SiH4+ N2+ NH3반응에서 절반만 반응된 부산물에 의해 이루어진다고 보고 있다. 유사한 잔류물은 디실렌(S2H6)이나 유기 물질과 같은 그 밖의 가스 선구체를 사용하는 질화 실리콘 층의 증착 중에도 형성된다. 잔류물의 누적은 특히 산화 질화물 층과 산화 실리콘층의 증착 도중에도 발생할 수 있으며, 또한 플라즈마 에칭과 기타의 공정 단계 중에도 발생할 수 있다.
본 발명은, 입자들이 수집 챔버에 포획되며, 진공 앞 라인을 통하여 배기되는 반응 가스와 그 라인 안에 있는 잔류물 및 입자들을 플라즈마 상태로 여기시킴으로써, 이러한 잔류물과 입자의 누적을 방지해주는데 있다. 이 플라즈마는, 앞 라인에 누적되는 경향이 있는 부식 잔류물이나 입자물질들과 반응함으로써, 라인 내부에 증착물을 형성하거나 응축시키지 않으면서도, 진공 라인을 통해서 펌프 되어 나갈 수 있는 가스 생성물과 부산물을 형성시킨다.
III. 본 발명의 실시예
본 발명의 장치에 적합한 단순화된 CVD 장치의 수직 단면도인 제2도가 보여주는 바와 같이, 본 발명의 장치는 배기 가스원 - 공정 챔버 - 의 하향에 위치한다. 이 장치는 진공 앞 라인에 연결되거나, 그 일부를 대체할 수 있다.
제2도에는 하향 플라즈마 세척 장치 [40, 이후 “DPA 40” 또는 “DPA”라고 부름]가, 진공 펌프 시스템(32)과 진공 다기관(24)사이에서 진공 라인(31)을 따라서 갖추어져 있다. 이러한 위치 관계로 인해 진공챔버(15)에서 나가는 가스는 DPA(40)를 반드시 통하게 된다. DPA(40)는 진공 라인(31)의 어느 부위에나 위치할 수 있으나, 진공챔버(15)에서 나가는 배기 가스가 진공 라인(31)을 지나가기 이전에 DPA(40)을 통해 나가도록 하기 위하여 가능하면 배기 다기관(24)에 가장 가깝게 위치하는 것이 더욱 좋다.
증착용 가스가 진공챔버(15)에서 진공 라인(31)을 통하여 배기될 때, 그 가스에서 나오는 입자와 잔류물은 진공 라인의 내면에 증착된다. DPA(40)를 활성화시킴으로써 입자 및 잔류물을 제거할 수 있다. DPA(40)는 증착 및 세척작업 동안이나 또는 세척 작업 동안만 켜서 그러한 제거를 할 수 있다.
DPA(40)가 활성화되면, DPA 내면에 증착된 잔류물 분자들과 DPA를 통해 나가는 배기 가스 분자들을 플라즈마 상태로 여기시킴으로써 전압 필드가 만들어진다. 이 플라즈마는 DPA(40) 내면의 물질을 진공 앞 라인을 통해 잘 나갈수 있는 가스 생성물이나 부산물로써 분해시킴으로써, 입자의 증착이나 잔류물의 누적됨을 방지해준다. 한 예로, 질화 실리콘 증착 공정에 관하여 앞에서 설명된 바와 같이, SixNyHz, SixHy, SiOx및 실리콘 원소로 이루어진 갈색 분말이 DPA(40)의 내면에 누적되는 경우에는, DPA(40)에 의해 형성된 플라즈마가 그 누적물을 SiF4, CO, CO2, NO, NO2, O2와 같은 가스성분으로 파괴시킨다고 보고 있다.
DPA(40)내면의 정상적 증착을 통하여 이루어지는 잔류물의 수집 외에도, 진공챔버(15)에서 배기되는 입자들을 DPA 내부에 갇히도록 하여, DPA 외부에 증착될 수 없도록 특별히 설계된 DPA(40)에 관한 다양한 실시예들이 있다. 트래핑 (포획)은 아래에 더욱 상세히 설명되어 있는 기계 트래핑 및/또는 정전 트래핑과 같은 기술에 의하여 이루어진다. 일단 포획되면, 입자들은 플라즈마에 포함되어 있는 활성 종(species)과 반응, 가스 부산물을 형성한 후 진공라인(31)을 통해 펌핑될 때까지 DPA(40)에 남아 있게 된다.
플라즈마를 형성하는 DPA(40)내부의 전압 필드는 용량성 결합 전극, 유도성 결합 코일 또는 ECR 기술과 같은 여러 가지의 이미 알려진 방법을 사용하여 발생시킬 수 있다. 그러나, 작은 크기로 비교적 큰 전압 필드를 만들어내야 하기 때문에, 나선형 공진 코일과 같은 유도 코일을 이용하여 전압 필드를 만드는 것이 낫다. 이러한 코일은 당업자에게도 잘 알려져 있으며, 여기에 참고 문헌으로 포함되어 있는 Michael A. Lieberman과 Allan J. Lichtenberg의 “Principles of Plasma Discharges and Materials Processing (플라즈마 방전과 물질 공정) pp. 404-410 John Wiley & Sons (1994)과 같은 공지된 문헌에 있는, 설명된 표준에 따라서 설계될 수 있다.
이 나선형 공진 코일은 구리, 니켈, 금 또는 유사한 전도성 물질로 된 고전도 금속으로 만들 수 있다. 적절한 공진을 위해서는 코일의 길이가, 적용되는 RF신호 파장의 1/4정도이거나 또는 약간 길도록 하는 것이 중요하다.
나선형 공진 코일의 한 쪽은 RF 전원 장치에 연결되며, 반대쪽은 접지전위에 연결된다. DPA(40)을 통과하며 및/또는 그 내부에 증착되는 물질과 완전한 반응을 보장하기 위해서는, DPA는 플라즈마를 형성하기에 충분한 레벨의 RF 출력에서 가동되어야만 한다. 일반적으로, 50-1000 와트 사이나 그 이상의 전력이 사용될 수 있으며, 바람직하게 100-400 와트 사이의 전력이 사용된다. 실제로 선택되는 전력레벨은, 강렬한 플라즈마를 형성하는데 필요한 높은 전력의 사용에 대한 필요성과, 에너지 비용 절약 및 작은 크기의 저렴한 전원 장치의 사용에 관한 요구 사항의 균형을 맞추는 수준에 따라 결정되어야 한다. 플라즈마의 균일성과 종래의 PECVD 반응기에서는 중요한 기타 특성들이, DPA 플라즈마 형성에 있어서는 이차적인 문제가 된다.
DPA(40)를 가동시키는 전원 장치는 약 50 KHz 내지 200 MHz 또는 그 이상의 주파수 범위 내에서 작동되며, 대개는 약 50 KHz 내지 60 MHz 범위에서 작동된다. RF 전원 장치는 단일 주파수의 RF전원 또는 혼합 주파수의 RF 전원에서 공급될 수 있다. 전원 장치의 출력은 DPA가 사용되는 용도 및 DPA(40)에서 처리되는 가스의 부피에 달려 있다. RF 전력은 RF 전원 장치(25)에서 얻을 수 있거나, DPA(40)만을 가동하는 별도의 RF 전원 장치에 의해서 공급될 수도 있다. 그 밖에도, 세척실 내에 다수의 공정챔버가 있다고 가정할 때, 공정챔버에 연결된 다수의 DPA들은 적절한 수의 RF 전력 공급선에 연결된 별도의 DPA RF 전용 전원 장치에 의해 모두 가동될 수 있다.
DPA(40)의 길이와 크기는 변동시킬 수 있다. 일부의 용도에서는 DPA(40)는 4-6인치 또는 더 짧을 수도 있으며, 다른 용도에서는 진공 라인 (4-5 피트 또는 그 이상) 전체 길이와 동일하여 진공 라인(31)을 대체할 수도 있다. 길이가 더 긴 DPA는 동일하게 설계된 짧은 DPA보다 많은 양의 입자를 수집, 제거할 수 있다. DPA 설계는 공간에 관한 고려와 잔류물 수집 효율과의 균형을 맞추어야 한다. 그러나, 개량형의 트래핑같은 기술을 이용한 짧은 길이의 DPA의 경우는 공정챔버에서 배기되는 모든 입자의 99.9%를 수집 포획할 수 있으므로, 길이가 그렇게 중요한 요소가 되지 못한다. 코일의 길이가 RF 파장의 1/4보다 약간 길어야만 하기 때문에, 코일의 길이와 사용 주파수는 정비례의 관계가 있다. 길이가 긴 코일일수록 더 작은 주파수의 RF 전력 신호를 필요로 한다.
DPA(40)은 공정 절차 중의 특정 기간 동안 켜거나 끌 수 있다고 이미 설명되었지만, 또한, DPA는 수동 장치로써 그 환경이 설정될 수도 있다. 수동장치로서의 DPA(40)는 충분한 PF 전력 신호로써 계속 공급되므로, 특별한 제어 신호나 프로세서 타임을 충당하여 DPA를 켜거나 끌 필요가 없다.
이미 설명한 바와 같이, 능동 장치로 환경이 설정되는 경우에는, 챔버의 세척 작업이 이루어지는 시간 동안에 전력이 DPA(40)에 공급된다. 선택에 따라서, RF 전력은 진공챔버(15)에서 막의 증착이 일어나는 기간 동안 공급될 수도 있다. 능동 장치로서 환경 설정된 경우, DPA(40)의 타이밍에 관한 제어는 일반적으로 제어선(30)으로 보내지는 제어 신호를 이용하여 프로세서(34)에 의해 이루어진다.
제3도에 나와 있는 것과 같이, 2개 또는 그 이상의 DPA를 진공 라인(31)에 연결할 수 있다. 예를 들어, 진공 펌프(32)에 일어나는 잔류물의 누적을 방지하기 위해서는 그러한 구성도 사용될 수 있다. 제3도에 나와 있는 구성에서는 2번째의 DPA(40)가 펌프(32) 바로 이전인, DPA(40)의 하향에 위치한다. 만약 입자들이 DPA(40)를 탈출하는 경우, 그러한 물질은 DPA(42)에 포획되어 내부에서 가스 생성물로 전환된다. DPA(40) 및 (42)은 스플리터(46)에 의하여 분할되는 전력을 이용하여 하나의 RF 전원 장치(44)로 가동될 수 있다. 선택에 따라서, DPA(40,42)는 각기 별도의 RF 전원 장치에 의해 가동되거나, 또는 공정챔버(10)에 연결될 주 RF 전원 장치에 의하여 같이 가동될 수 있다.
본 발명에 나와 있는 장치를 이용한 다양한 실시예를 만들어볼 수 있다. 다음은 그 본보기의 목적으로 세 가지의 그러한 실시예를 보여주고 있다. 어떠한 경우에서도 본 발명이 다음의 특정한 실시예에만 국한된다고 해석해서는 안된다.
1. 단일 튜브 실시예
제4도는 DPA(40)의 제 2실시예에 관한 단면도이다. 제4도의 DPA(40)은, 진공 챔버(15)에서 나오는 배기가스가 DPA(40)를 통과할 때 흘러나가는 튜브(50)를 포함하고 있다. 튜브(50)는 세라믹, 유리 및 석영 유리와 같은 절연 재료로 만들어진 원통형의 관이다. 보다 바람직한 실시예인 경우, 튜브(50)는 세척 단계에서 사용되는 불소와 같은 부식용 가스와 반응하지 않는 세라믹 물질로 만들어진다. 또한, 튜브(50)의 내부 직경은 진공 라인(31)의 안쪽 직경과 거의 동일하다. 그 밖의 실시예에서는 튜브(50)는 반드시 원통형일 필요가 없으며, 대신 각이 지거나, 평면이거나, 타원형이거나 또는 그와 유사하게 굴곡이 질 수도 있다. 이러한 실시예 및 그 밖의 실시예에서도, 튜브(50)의 안쪽 직경은 진공 라인(31)의 안쪽 직경보다 더 크거나 또는 더 작을 수 있다.
코일(52)은 튜브(50)의 외부에 감겨 있으며 포인트(50)에서 RF 전원 장치와 연결되며, 포인트(57)에서 접지 전위와 연결된다. RF 전원 장치의 전압을 코일(52)에 적용함으로써, 튜브(50)를 통해서 나가는 배기 물질과 그 내부에 증착되는 배기 물질은, 플라즈마 상태로 여기된다. 플라즈마 상태에서, 배기 물질의 구성 요소들은 반응을 일으켜, 이미 설명된 바와 같이 펌프 시스템(52)에 의하여 DPA(40) 및 진공 라인(31)을 통하여 펌프되어 나갈 수 있는 가스 생성물을 형성한다. 이미 설명된 바와 같이 코일(52)은 나선형 공진 코일이며, 튜브의 외부에 감기는 것이 아니라 튜브(50)의 내면에 감긴다.
외부 컨테이너(54)가 튜브(50)를 둘러싼다. 컨테이너(54)는 적어도 2가지의 목적으로 쓰인다. 첫째, 코일(52)에 의해서 발생되는 전압 및 잡음 신호로부터 CVD 공정 장치(10)와 그 밖의 기기 들을 차폐시키는 것이다. 둘째, 만약 세라믹 튜브(50)가 깨지거나 금이 가는 경우 또는 튜브(50)의 진공 챔버가 다른 방법으로 깨지는 경우, 컨테이너(54)는 배기 가스의 탈출을 방지해주는 제 2의 밀봉 장치를 제공하는 것이다. 컨테이너(54)는 알루미늄, 강철 또는 기타 화합물로 만들 수 있으며, 차폐 효과를 위하여 접지되는 편이 더욱 좋다. 상부(57) 및 하부(58) 플랜지는, 진공 챔버를 유지시켜 주면서, DPA(40)를 진공 다기관(24) 및 진공 라인(31)에 연결해 준다.
표준 RF 전원 장치는 50옴의 임피던스를 부하로서 제거하도록 설계된다. 따라서, RF 전원 장치가 코일(52)에 연결되는 접촉점(포인트 56)은, 코일(52)이 50옴의 임피던스를 갖도록 선정해야 한다. 물론, 전원장치가 그 외의 임피던스 값을 필요로 하는 경우, 포인트(60)가 선택되어야 한다.
코일(52)은 50와트나 그 이상의 레벨에서 RF 전원 장치에 의해 가동된다. 이러한 조건하에서는 플라즈마의 생성이 최고의 수준에 이르며, 그 균일성은 문제가 되지 않는다. 코일(52)에 의하여 생성되는 실제 전압은, 여러 가지 요소중 특히 RF 전원 장치에 사용되는 전력, 코일(52)의 길이와 감는 간격 및 코일의 저항들에 의해 결정된다. 전압은 코일을 따라 균일하게 퍼지게 되므로, 코일 전체의 전압은 코일이 접지와 연결되는 지점과 RF 전원 장치와 연결되는 지점(포인트 55와 56) 사이의 전압 레벨을 결정함으로써 알 수가 있다. 예를들어, 어떤 코일의 길이가 포인트 55와 포인트 56 사이의 코일 부분보다 4배가 긴 경우, 코일 전체의 전압은 포인트 55와 56 사이의 전압보다 4배가 크게 된다.
코일, 전력의 레벨 및 RF 사용 주파수는 튜브(50)내에 강한 플라즈마가 형성되도록 선택되어야 하지만, 코일에 의해서 생성되는 전압에 의해 코일에서 컨테이너(54)로 전류가 아크(전극 충돌)되는 수준은 초과해서는 안된다. 어느 특정 DPA에 있어서 아크가 문제인 경우, 컨테이너(54)와 코일(52) 사이에 절연 물질을 삽입할 수 있다. 그러나, 설계를 간단하게 하기 위해서는 컨테이너(54)와 코일(52) 사이를 공기로 채우는 것이 더 낫다.
2. 기계 및 정전 트랩의 제 1실시예
제5도는 DPA(40)의 제 2실시예의 단면도이다. 제5도의 DPA(40)의 실시예는 첫 번째의 안쪽 세라믹 튜브(00)와 두 번째의 바깥쪽 세라믹 튜브(62)를 포함한다. 튜브(60)의 끝 부분이 튜브(62) 내부의 실린더형 공간 내부에 있으므로, DPA(40)를 통과하는 가스의 흐름은 화살표(54)의 방향과 같게 된다.
나선형 공전 코일(66)은 튜브(62)의 외부에 감겨 있으며, 제4도의 실시예에서 설명된 것과 같은 관계로서 RF 전원 장치(68)에 연결되어 있다. 코일(66)은 튜브(62)의 내부에 감길 수도 있고, 튜브(60)의 내부나 외부에 감길 수도 있다.
위에 설명한 컨테이너(50)와 유사한 셀(68)은 안쪽과 바깔쪽의 튜브(60, 62) 모두를 동봉한다. 바깥쪽 튜브(82)는 안쪽 튜브(60)나 셀(69)에 연결함으로써 지탱시킬 수 있다. 어떤 경우든지, 바깔쪽 튜브(62)의 지지용 구조물은 유출가스가 DPA(40)를 통해서 나갈 수 있도록 허용하는 것이 중요하다. 이것을 위하여, 지지용 구조는 튜브(60)와 튜브(62) 사이에 놓이는 3-4개의 가느다란 접속부 또는 핑거이거나 또는 그 외에도 여러 가지의 동등한 방법으로 고안될 수 있다. 여러 개의 구멍을 가진 구조물은 다음에 설명되는 수집영역(70, collection area) 내부에 입자들을 수집, 포획하는데 도움을 줄 수 있다. 그러나, 이러한 구조물은 구멍들이 충분히 커서 DPA(40)를 통해서 펌프 되는 가스의 유량을 감소시키지 않도록 설계되어야 한다.
본 실시예에 따른 DPA(40)의 설계는 트래핑을 강화하므로, 입자들의 분해도 강화시킨다. 이 설계는 배기 가스 안에 있는 입자들을 DPA의 나머지 부분을 통과하여 진공 라인(31)으로 들어갈 수 없도록, 입자들을 수집 및 수용함으로써 기계 트랩의 역할을 하는 튜브(62)의 수집 영역(70)을 포함하고 있다. 이 입자들은 트랩 안에 수용되며, 플라즈마에 의하여 해리되거나 파괴된다.
본 실시예의 DPA(40)에 관한 트랩 부분의 작동은, 입자들을 DPA 장치를 통하여 진공 라인으로 쓸어내리려고 하는 유출 가스의 경로에도 불구하고 입자들을 트랩 내부에 수용시켜 주는 중력에 일부 의존하고 있다. 그러므로, DPA(40)의 효율성은 입자들이 반응하여 가스 생성물로 될 때까지, 입자들이 튜브(62)를 떠나지 못하게 방지하는 바깥쪽 튜브(62)의 기능에 일부 의존한다. 이렇게 하기 위해서는 수집 영역(70)이 DPA 입구에서 아래쪽에 있도록 DPA(40)의 위치를 정하는 것과, 트랩과 중력이 함께 기능을 발휘할 수 있도록 바깥쪽 튜브(62)의 길이를 충분히 길게 하는 것이 중요하다.
DPA(40)의 내부에서, 가스 통로의 단면적을 평면(76)을 따라 증가시키는 것은 입자들을 포획하는데 더욱 도움이 된다. 어떠한 증착 공정에서든지, 일반적으로 유출 가스의 유량은 일정하다. 그러므로, 하나 또는 그 이상의 통로의 단면적을 증가시키는 것은 흘러가는 가스 내의 입자들의 속도를 감소시키며, 결과적으로 입자에 미치는 중립 견인력을 감소시키게 된다. 입자 하나에 미치는 중력이 그 중립 견인력을 초과하게 되면, 그 입자는 중력에 의하여 DPA(40)의 중력 트랩 내부에 포획된다.
기계 트랩의 효율을 보다 강화시키기 위해서는, 하전된 배기 입자들을 끌어당기도록, 정전 수집기(72)를 수집 영역(70) 근처에 위치하도록 할 수 있다. 정전 수집기(72)는 100-3000 볼트 사이의 DC 또는 AC 전원 장치에 연결되는 작은 전극일 수 있다. 물론 정전 수집기(72)에 적용되는 극성 및 전하의 양은 용도에 따라 다르며, 배기된 입자의 극성과 전하의 레벨에 달려 있다.
여러 가지의 다양한 정전 트랩 장치가 본 발명에 사용될 수 있다. 그러한 정전 수집기에 관한 바람직한 실시예의 내용이 제6(a)도 및 제6(b)도를 통하여 다음에 상세하게 설명되어 있다.
3. 기계 및 정전 트랩의 제 2실시예
제6(a)도는 DPA(40)의 제 3실시예에 관한 단면도이다. 제6(a)도의 실시예는 제5도의 실시예와 유사한 기계 트랩 설계를 사용하고 있으며, 변형된 정전 트랩도 사용하고 있다. 또한 유출 가스는 상부 플랜지(81)의 반대편보다는, 상부 플랜지의 근처에 위치한 측면 플랜지(80)를 통하여 배기된다. 플랜지(80)는 바깥쪽 튜브(86)보다는 바깥쪽 케이싱(84)과 진공 챔버를 만들어내도록 위치한다. 튜브(86)가 세라믹과 같은 절열제로 만들어진 반면, 케이싱(84)은 금속이나 그와 유사한 물질로 만들어져 있다.
RF 전력은, RF 전원 장치와의 연결점(88)과 포인트(89, 접지)와의 사이에 50옴의 임피던스를 갖도록 설계된 바깥쪽 코일(87)을 통하여 본 실시예의 DPA에 공급된다. 위에서와 같이, 코일(87)은 표준 RF 전력 장치에 의하여 가동될 수 있도록 50옴의 임피던스로 설계되어야 한다. 안쪽 코일(90)은 안쪽 튜브(85)의 내면에 감겨지게 된다. 안쪽의 코일(90)은 바깥쪽 코일(87)에 공급된 RF 신호를 유도에 의하여 받게 되며, 플라즈마 반응을 가동시키는데 필요한 전압을 만들어 내게 된다.
중앙 와이어(92)는 안쪽 튜브(85)의 가운데를 관통하게 되며, DPA를 통해 나가는 입자들을 정전식으로 포획할 수 있는 전압을 중앙 와이어(92)와 안쪽 코일(90) 사이에 형성시킨다. 이 전압은 여러 가지 다양한 방법을 사용하여 만들어 낼 수 있다. 한 가지 방법은, 중앙 와이어(92)와 코일(90)이 전극의 역할을 하는 것이 된다. 하나의 실시예에서는, 중앙 와이어(92)는 접지되며, 양극의 DC 또는 AC 전압이 코일(90)에 걸리게 된다. 제6(b)도가 보여주는 것과 같이, 배기 입자들(94)이 음전기로 부하된 경우 그 입자들은 와이어(92)와 코일(90)에 의하여 만들어진 전장(Felec)에 의하여 끌리게 되어, 양전기로 부하된 코일의 위치(95)에 수집된다. 코일(90)이 접지되고 음전압이 중앙 와이어(92)에 걸리는 경우에도 유사한 결과를 낼 수 있다. 그러나, 이 경우에는 와이어(92)가 음전기로 부하된 입자들을 코일(90) 쪽으로 밀어낸다.
또 다른 실시예에서는, 양극의 DC 또는 AC 전압이 중앙 와이어(92)에 걸리고, 코일(90)은 접지 전압과 연결된다. 이 방법에서는 제6(a)도에서 보는 바와 같이, 음전기로 부하된 입자들이 양전기로 부하된 와이어(92) 상의 위치(90)에 수집된다. 음전압이 코일(90)에 걸리고 중앙 와이어(92)가 접지되는 경우에도 유사한 결과를 낼 수 있다. 이 경우에는, 코일(90)이 음전기로 부하된 입자들을 와이어(92) 쪽으로 밀어낸다.
또 다른 실시예에서는, 와이어(92)와 코일(90) 둘 다 접지에 연결되지 않으며, 대신 코일(90)을 기준으로해서 와이어(92)가 양극 또는 음극의 전압을 생성시켜주는 전원에 연결된다. 물론, 양전기로 부하된 입자들이 존재하는 경우는, 이러한 물질은 음전기로 부하된 물질이 수집되는 전극의 반대쪽에 위치한 전극에 수집될 수 있다.
또한, 입자성의 물질들이 양전기 및 음전기로부터 부하된 입자들을 포함하고 있는 경우에는, 그러한 입자들은 정전력에 의하여 수집된다. 이러한 경우, 한 쪽의 전극에 AC 전압을 걸어주고, 다른 전극은 접지한다. 예를 들어, AC 전압이 중앙 와이어(92)와 연결되고 코일(90)이 접지되는 경우, 양극을 띤 입자들은 양극의 반주기 동안은 와이어로부터 밀려서 코일(90)을 향하게 된다. 그러나, 음극의 반주기 동안은 음극을 띤 입자들은 와이어에서 밀려서 코일(90)에 수집된다.
위의 어느 경우에서든지, 전장은 두 전극 사이에 전압이 될 수 있으며, 100 내지 5000 볼트가 된다. 바람직하기로는, 두 전극 사이의 전압이 500볼트(DC) 내지 5000볼트(AC)인 것이 좋다. 입자들이 중앙 와이어(92)로부터 끌려서 코일(90)에 수집이 되든지 또는 그 반대이든지 하는 것은, 코일(90) 및 와이어(92)에 적용되는 입자들의 극성과 전하에 달려 있다.
이러한 설계는 코일(90)과 중앙 와이어(92) 사이에 생성되는 전압에 의존하기 때문에, 코일(90)은 최대한의 입자 수집을 할 수 있도록 안쪽 튜브(85)의 내면에 위치하도록 하여 튜브의 절연제에 의하여 와이어(92)로부터 격리되지 않도록 한다. 코일(90)과 중앙 와이어(92)는, 안쪽 튜브(85)의 내부에 위치하기 때문에, 불소 가스와 같은 매우 반응력이 강한 물질들과 접촉하게 된다. 따라서, 코일(90)과 와이어(92)는 그러한 물질과 반응하지 않는, 니켈과 같은 적절한 전도성 재료로 만드는 것이 중요하다. 본 실시예에서는 코일(90)에 입자들을 끌어당기거나 밀어낼 수 있는 전압 전위와 RF 전력 신호가 있다는 점을 유의하는 것이 중요하다.
정전 수집기와 기계 트랩의 결합된 방법은 진공 라인(31)에 증착물이 누적되는 것을 방지해주는데 특별히 효과적인 기술을 제공한다. 기계 트랩 부분은 유출 가스 내에 존재하는 비교적 크기가 큰 입자들을 포획하는데 특히 효과적인데, 그 이유는 이러한 입자들은 중력에 의하여 바깥쪽 튜브(62) 내부에 수용하기가 더욱 용이하기 때문이다. 그 반면에, 정전 트랩은 기계 트랩에 의해서만은 수집할 수 없는, 유출 가스 내의 작은 크기의 입자들을 수집 및 포획하는데 특히 효과적이다.
한 예로서, 위에 설명된 질화 실리콘의 증착 과정에서는 직경이 1㎛ 내지 1mm 또는 그 이상에 달하는 입자들이 관찰되었다. 이러한 입자들이 배기 라인 내에 있는 경우, 두 가지 중요한 힘이 입자에 작용하게 된다: 중력에 의한 인력(Fg)과 가스 운동의 결과에 따른 중립 견인력(Fnd)이다. 직경 100㎛ 이상의 커다란 입자성 물질인 경우는, 중력이 주요한 작용을 하게 되어, 기계트랩이 특히 효과적이다. 그러나 크기가 작은 입자의 경우에는, 가스의 견인력이 중력보다 클 수가 있다. 정전 트랩의 두 전극 사이에 생겨나는 전장은 입자의 궤도에 수직 방향으로 보조적인 힘(Felec)을 작용하게 된다. 이 힘은 직경 10㎛가 안되는 매우 작은 입자에 작용하는 중력 및 견인력보다 100배 또는 그 이상으로 클 수 있으므로, 매우 높은 수집율을 가져오는 결과가 된다.
IV. 실험의 사용 및 시험 결과
본 발명의 효율성을 보여주기 위하여, 8인치 웨이퍼에 맞도록 준비된 Precision 5000 챔버에 DPA(40) 원기를 연결시켜 실험을 행하였다. 이 Precision 5000 챔버는, 본 발명의 양수인인 Applied Materials 회사에 의하여 제작되고 있다.
실험에 사용된 DPA 모형은, DPA를 앞 라인과 연결시켜주는 하부 플랜지의 설계를 제외하고는, 제3도에 도시된 DPA(40)과 유사하였다. DPA 모형의 단면도와 하부 플랜지는 제7도에 도시되어 있다. 제7도에서 보는 것과 같이, 하부 플랜지(100)는 DPA를 통해서 앞 라인으로 흘러가는 배기 가스의 방향을 거의 90도 정도로 바꾸어 놓았다. 이 플랜지는 플랜지의 밑 부분(104)에 누적되는 증착물을 볼 수 있도록 앞 라인 연결부 반대쪽의 석영 유리 윈도우와도 맞도록 하였다. DPA 모형에 있는 하부 플랜지의 이러한 설계는, 제5도와 제6도에서 보여주는 것과 같은 DPA(40)의 실시예의 기계 버켓 트랩 설계 만큼은 효율적이지는 못하지만, 그와 유사한 방법을 사용하여 밑부분(104)에 입자들을 포획하는 부수적인 장점이 있었다.
모형 장치는 석영 유리 튜브(106)와 그 외면을 3/8 인치 구리관으로 감아서 만든 코일(108)을 포함했다. 다음에 나오는 실험에 설명된 것과 같이, 코일(108)의 전체 길이는 25 피트였으며, 13.56 MHz의 전원 장치는 다양한 수준의 전력에서 가동되었다. 석영 유리 튜브(106)와 코일(108)은 알루미늄 콘테이너(110) 내부에서 밀봉되었다. 어셈블리의 전체 길이는 약 14인치였으며, 그 폭은 약 4.5인치였다.
DPA의 효율성을 테스트하는 실험을 하기 전에, 질화 실리콘 증착 단계와 이어서 불소 가스에 의한 세척 단계를 거치는 동안 공정 챔버 내부에 증착되는, 잔류물의 성분을 결정하기 위한 실험을 행하였다. 질화 실리콘 증착/불소 세척 작업으로 이루어진 두 개의 다른 공정 순서에 의하여 생성된 잔류물의 성분이 결정되었다. 각 공정 순서에서 질화 실리콘 증착 단계는 동일한 반면, 첫 번째 공정 순서에서는 CF4의 화학 작용에 근거를 둔 세척 작업, 두 번째 공정 순서에서는 NF3에 의한 세척 작업이 사용되었다.
질화 실리콘의 증착은 웨이퍼를 실란(SiH4), 질소(N2) 및 암모니아(NH3) 가스들로 이루어진 플라즈마에 노출시킴으로 이루어졌다. 실란은 275 sccm의 유량으로 챔버로 유입되었으며, N2는 3700 sccm, 그리고 NH3는 100 sccm의 비율로 도입되었다. 플라즈마는 720와트에서 가동되는 13.50 MHz의 RF 전원장치를 사용하여 4.5 torr의 압력과 400℃의 온도에서 형성되었다. 질화 실리콘 증착 공정은 약 75초 동안 계속되었는데, 이는 약 10,000Å의 막을 웨이퍼에 증착 시키는데 충분하였다.
첫 번째 측정에서는, 질화 실리콘 증착 단계의 완료 및 웨이퍼의 챔버에서의 제거 작업 후에, 챔버는 CF4와 N2O 플라즈마를 사용하여 120초 동안 세척되었다. CF4와 N2O의 비율은 3:1이었으며, 이는 CF4와 N2O를 각각 1500 sccm 및 500 socm으로 유입시킴으로써 이루어졌다. 세척 단계중 챔버는 400℃의 온도와 5 torr의 압력 하에서 유지되었다. 플라즈마는 1000 watts에서 가동되는 13.56 MHz의 전원 장치에 의해 형성되었다.
두 번째 측정에서는, 챔버는 NF3, N2O 및 N2의 선구 물질로 형성된 플라즈마로 세척되었다. NF3와 N2O 및 N2의 비율은 약 5:2:10이었는데, 이는 NF3, N2O와 N2가 각각 500sccm, 200 sccm 및 1000 sccm으로 유입됨으로써 이루어졌다. 세척 단계중 챔버는 400℃의 온도와 5 torr 압력 하에 유지되었으며, 95초간 계속되었다. 플라즈마 형성은 1000 watts에서 작동되는 13.56 MHz의 전원 장치를 사용함으로 이루어졌다.
아래의 표 1에서 분명히 알 수 있는 것과 같이, 질화 실리콘 증착/CF4세척으로 이루어진 공정 순서에서의 누적 잔류물은 갈색의 분말이었으며, 질화 실리콘 증착/NF3세척으로 이루어진 공정 순서에서의 누적 잔류물은 황백색의 분말이었다.
[표 1]
챔버 내의 누적 잔류물의 성분이 결정된 후에, 잔류 분말의 입도(입자의 크기)를 결정하는 실험을 행하였다. 이 실험에는 실리콘 조각을 앞 라인 내부에 놓아둠으로써 증착 공정중 그 곳에 증착되는 물질이 수집되었다. 불과 15초 동안의 증착 공정이 지난 후에도, 대개 갈색 분말로 된 잔류물 누적이 진공 라인(3)에서 발생하는 것으로 관찰되었다. 이러한 잔류물 누적을 보여주는 마이크로 그래프가 제8도에 도시되어 있다. 이 갈색 분말은 SixNyHz, SixHy, SiOx및 실리콘 원소로 구성되어 있었다. 전형적인 잔류물 입자의 마이크로 그래프인 제9도에서 보는 바와 같이, 분말의 원소 입도는 직경 약 1-50㎛이었다. 그 외의 실험에서는, 분말의 입도는 증착 시간에 비례하여 증가되었으며, 90초 동안의 증착 후에는 직경이 1mm까지 되는 집합체가 생기는 것을 보여주었다.
DPA의 효율성은 세 번의 실험을 통하여 테스트되었다. 이 실험은 DPA 원기를 진공 배기 다기관과 앞 라인의 사이에 연결시킨 Precision 5000 챔버를 이용하였으며, 100개의 웨이퍼가 규화 질소 증착/CF4불소 세척 작업의 순서로써 공정되었다. DPA 모형은 각 실험의 증착 순서 동안은 꺼져 있었으며, 불소 세척 작업 동안에는 13.56 MHz의 RF 전원 장치에 의하여 가동되었다. 증착과정중 DPA가 꺼져 있는 동안에, 제7도의 부위(112)가 보여주는 것과 같이, 입자들은 튜브(106) 내면을 따라 수집되었다. 세척 작업 순서중 DPA가 활성화되었을 때, 이 입자들은 튜브(105)에서 제거되었다. 세 가지 실험 조건들이 아래의 표 2에 요약되어 있다.
[표 2]
첫 번째 실험에서는 불소 세척 작업은 135초 동안 계속되었으며, DPA는 200와트에서 가동되었다. CF4는 1500 sccm으로 공정 챔버에 도입되었으며, 500 sccm(3:1의 비율)으로 유입되는 N2O와 혼합되었다. 100번의 증착/세척 순서가 끝난 후, 검사 결과 DPA에는 모든 잔류물 및 증착물이 없는 것으로 밝혀졌다. DPA 하단부에 위치한 각이 진 플랜지에는 소량의 잔류물이 누적되었다. 이 누적된 잔류물의 원자 농도가 측정되었으며, 그 결과는 아래에 있는 표 3에 요약되어 있다. 잔류물에 포함된 실리콘 성분의 대부분은 이산화 실리콘의 형태이며, 절반 정도의 질소는 질화 실리콘 막의 형태이며 나머지 절반은 암모니아 형태로 포함되었다.
두 번째 실험에서는 불소 세척 순서가 120초로 단축되었으며, DPA가동 전압은 500 와트로 증가되었다. CF4는 2000 sccm으로 공정 챔버에 유입되었으며, 500 sccm (4:1의 비율)으로 유입되는 N2O와 혼합되었다. 100번의 증착/세척 순서가 끝난 후 검사 결과, DPA에는 잔류물 및 증착물이 없는 것으로 밝혀졌다. 소량의 잔류물이 각이진 플랜지에 수집되었다. 그러나 육안 검사에 의하면 누적 잔류물의 양은 첫 번째 실험보다 약 80% 정도 적은 것으로 나타났다.
누적 잔류물의 원자 농도가 측정되었으며, 아래의 표 3에 요약되어 있다. 이 표를 통하여 분명히 알 수 있는 것과 같이, 이 실험에서 생긴 잔류물은 첫 번째 실험보다 상당히 높은 농도의 불소를 포함하고 있다. 불소의 농도가 높은 잔류물은 더 많은 양의 불소를 플라즈마에 제공하므로, 그 이후의 DPA 활성 기간 중에는 세척을 더욱 용이하게 해준다. 이 실험에서 생기는 잔류물에는 압도적으로 많은 양이 이산화 실리콘의 형태로 포함되었으며, 압도적으로 많은 양의 질소가 암모니아의 형태로 존재하고 있다는 사실도 유의해야 할 점이다.
세 번째 실험에서는 DPA에 생기는 잔류물 및 첫 번째와 두 번째 실험에서 보여준, 각이진 플랜지에 형성되는 잔류물이 완전히 제거될 수 있다는 것을 입증했다. 이 세 번째 실험에서는 불소 세척 순서가 120초 동안 계속되었으며, DPA 가동 전압은 500 와트로 증가되었다. CF4가 공정 챔버로 유입되는 유량은 2500 sccm으로 증가되었으며, 500 sccm (5:1의 비율)로 유입되는 N2O와 혼합되었다. 100번의 증착/세척 순서가 끝난 후 검사 결과, DPA 및 각이진 플랜지 모두에서 잔류물 및 증착물이 없는 것으로 나타났다.
잔류물의 존재 유무 및 그 성분에 관한 실험 결과가 표 3에 요약되어 있다.
[표 3]
본 발명에 관한 몇 가지 실시예를 완전히 설명하였으므로, 본 발명과 동등하거나 본 발명을 대신할 수 있는, 진공 라인에서의 입자 물질을 제거하는 그 밖의 여러 가지 방법과 장치들은 당업자에게는 분명할 것이다. 또한, 명확한 이해를 도울 목적으로 예증과 실시예를 이용하여 본 발명에 관하여 상세한 설명을 하고 있지만, 본 발명의 수정 및 변경도 가능하다는 점도 분명할 것이다. 예를 들어, 본 발명의 기계적 입자 트랩은 바깥 통로에 둘러싸인 안쪽의 통로라는 점으로 설명되어 있지만, 이 트랩은 첫 번째 통로의 주변이 두 번째 통로 내부에 포함되게 만들 수도 있으며, 대신 첫 번째 통로에서 연장시키거나 또는 위로 향하게 한다. 확실히 이해할 수 있는 변화와 변경 사항 및 본 발명에 나와 있는 내용과 동등하거나 대신할 수 있는 사항들은 본 발명의 영역 내에 포함된다는 것을 그 의도로 하고 있다.

Claims (9)

  1. 반도체 처리장치로서, (a) 가스를 배출하기 위한 배기 포트를 가지는 공정 챔버(process chamber)와; (b) 상기 배기 포트에 유동적으로 결합되는 입자 수집기(particle collector)를 포함하며, 상기 입자 수집기는, (i) 입구 포트와 출구 포트를 규정하는 용기 챔버(vessel chamber); (ii) 플라즈마 구성요소가 반응하여 상기 용기 챔버를 통해 나갈 수 있는 기체 생성물을 형성하도록, 상기 용기 챔버 내에 존재하는 입자 물질을, 선택된 전압이 인가될 때 플라즈마 상태로 여기시킬 수 있는 전극을 포함하며, 상기 용기 챔버는 상기 용기 챔버를 통과하는 가스의 흐름 경로를 제1방향으로 정해주는 제1통로 및 상기 제1방향과 반대인 제2방향으로 가스의 흐름 경로를 정해주는 제2통로를 포함하며; 상기 제1 및 제2통로는 가스가 상기 입구 포트를 통해 용기 챔버 내로 들어간 후, 상기 제1통로 및 상기 제2통로를 통과한 다음, 상기 출구 포트를 통하여 상기 용기 챔버를 나가도록 배열되며, 상기 제1 및 제2통로는 상기 용기 챔버를 통과하는 가스에 존재할 수 있는 부유성 고형물을 포획할 수 있는 입자 수집영역을 형성하도록 설계되는 것을 특징으로 하는 장치.
  2. 제1항에 있어서, 상기 전극은 상기 용기 챔버의 적어도 일부분을 둘러싸는 코일을 포함하는 것을 특징으로 하는 장치.
  3. 제2항에 있어서, 상기 코일은 나선형 공진 코일인 것을 특징으로 하는 장치.
  4. 제3항에 있어서, 상기 용기 챔버를 통과하는 가스에 존재할 수 있는 전기적으로 하전된 부유성 고형물을 수집하기 위하여 상기 용기 챔버에 연결된 정전 수집기를 더 포함하는 것을 특징으로 하는 장치.
  5. 제4항에 있어서, 상기 정전 수집기는, (a) 상기 용기 챔버내에 배치된 코일과; (b) 상기 코일내에 배치된 와이어와; (c) 상기 와이어 및 상기 코일사이에 전위를 형성하기 위하여 상기 코일 및 상기 와이어에 연결된 수단을 포함하는 것을 특징으로 하는 장치.
  6. 제5항에 있어서, 상기 전위는 상기 코일상에 부유성 고형물을 수집하기 위하여 바이어스되는 것을 특징으로 하는 장치.
  7. 제5항에 있어서, 상기 전위는 상기 와이어상에 부유성 고형물을 수집하기 위하여 바이어스되는 것을 특징으로 하는 장치.
  8. 반도체 공정 챔버에 연결된 배기 라인 내부에 증착되는 불순물 및 잔류물을 최소화하는 방법에 있어서, (a) 가스통로를 한정하는 상기 용기 챔버를 통해 상기 공정 챔버로부터 배기되는 가스를 유동시키는 단계와; (b) 상기 용기 챔버내부에 증착되거나 포획된 부유성 고형물을 제거하기 위하여 상기 가스 통로에 플라즈마를 발생시키는 단계를 포함하는 것을 특징으로 하는 방법.
  9. 화학 기상 증착법에 의해 층이 기판상에 증착되는 기판 처리 챔버내에서, 상기 화학 기상증착 공정중 상기 공정 챔버에 연결된 배기 라인내에 누적될 수 있는 잔류물을 최소화시키는 방법에 있어서, (a) 상기 화학기상 증착공정중에 입구와 출구가 있는 유체 배기관을 한정하는 상기 공정 챔버로부터 배기된 가스를 펌핑하는 단계와; (b) 상기 화학기상증착 공정중에 상기 용기의 입구 및 출구사이에 있는 수집 챔버내에 상기 배기 가스 내에 포함될 수 있는 부유성 고형물을 수집하는 단계와; (c) 상기 수집 챔버내에 수집된 상기 부유성 생성물을 가스 부산물로 변환시키기 위하여 상기 기판 처리 챔버의 세척작업동안 상기 수집챔버에 플라즈마를 형성하는 단계와; (d) 상기 용기로부터 상기 가스 생성물을 펌핑하는 단계를 포함하는 것을 특징으로 하는 방법.
KR1019960041540A 1995-09-25 1996-09-23 Cvd시스템진공라인의세척방법및장치 KR100303231B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/533,174 US6194628B1 (en) 1995-09-25 1995-09-25 Method and apparatus for cleaning a vacuum line in a CVD system
US08/533,174 1995-09-25

Publications (2)

Publication Number Publication Date
KR970018008A KR970018008A (ko) 1997-04-30
KR100303231B1 true KR100303231B1 (ko) 2001-11-30

Family

ID=24124802

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960041540A KR100303231B1 (ko) 1995-09-25 1996-09-23 Cvd시스템진공라인의세척방법및장치

Country Status (6)

Country Link
US (3) US6194628B1 (ko)
EP (2) EP0767254B1 (ko)
JP (1) JP3897382B2 (ko)
KR (1) KR100303231B1 (ko)
AT (1) ATE210742T1 (ko)
DE (2) DE69631349T2 (ko)

Families Citing this family (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6193802B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6187072B1 (en) 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
JP3386651B2 (ja) * 1996-04-03 2003-03-17 株式会社東芝 半導体装置の製造方法および半導体製造装置
US6888040B1 (en) * 1996-06-28 2005-05-03 Lam Research Corporation Method and apparatus for abatement of reaction products from a vacuum processing chamber
US6156149A (en) * 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
JP3801730B2 (ja) * 1997-05-09 2006-07-26 株式会社半導体エネルギー研究所 プラズマcvd装置及びそれを用いた薄膜形成方法
US6184489B1 (en) 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
IL140055A0 (en) * 1998-06-12 2002-02-10 On Line Techn Inc Method and apparatus for determining processing chamber cleaning or wafer etching endpoint
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6368567B2 (en) * 1998-10-07 2002-04-09 Applied Materials, Inc. Point-of-use exhaust by-product reactor
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6916412B2 (en) * 1999-04-13 2005-07-12 Semitool, Inc. Adaptable electrochemical processing chamber
US20030038035A1 (en) * 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
TW527444B (en) * 1999-04-13 2003-04-11 Semitool Inc System for electrochemically processing a workpiece
US7264698B2 (en) * 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US7438788B2 (en) * 1999-04-13 2008-10-21 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US7189318B2 (en) * 1999-04-13 2007-03-13 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7160421B2 (en) * 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
JP3595190B2 (ja) * 1999-04-16 2004-12-02 株式会社日立製作所 半導体の製造方法及び半導体製造装置
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6354241B1 (en) 1999-07-15 2002-03-12 Applied Materials, Inc. Heated electrostatic particle trap for in-situ vacuum line cleaning of a substrated processing
US6255222B1 (en) * 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
JP2001284267A (ja) * 2000-04-03 2001-10-12 Canon Inc 排気処理方法、プラズマ処理方法及びプラズマ処理装置
US20050183959A1 (en) * 2000-04-13 2005-08-25 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectric workpiece
US6576202B1 (en) 2000-04-21 2003-06-10 Kin-Chung Ray Chiu Highly efficient compact capacitance coupled plasma reactor/generator and method
US6863019B2 (en) 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
US6428673B1 (en) * 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US7102763B2 (en) * 2000-07-08 2006-09-05 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6747734B1 (en) * 2000-07-08 2004-06-08 Semitool, Inc. Apparatus and method for processing a microelectronic workpiece using metrology
JP2002217118A (ja) * 2001-01-22 2002-08-02 Japan Pionics Co Ltd 窒化ガリウム膜半導体の製造装置、排ガス浄化装置、及び製造設備
US20050061676A1 (en) * 2001-03-12 2005-03-24 Wilson Gregory J. System for electrochemically processing a workpiece
US6733827B2 (en) * 2001-04-11 2004-05-11 The Procter & Gamble Co. Processes for manufacturing particles coated with activated lignosulfonate
US7090751B2 (en) * 2001-08-31 2006-08-15 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
JP4374814B2 (ja) * 2001-09-20 2009-12-02 株式会社日立製作所 過弗化物処理の処理方法
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US6800172B2 (en) * 2002-02-22 2004-10-05 Micron Technology, Inc. Interfacial structure for semiconductor substrate processing chambers and substrate transfer chambers and for semiconductor substrate processing chambers and accessory attachments, and semiconductor substrate processor
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6814813B2 (en) 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
US6858264B2 (en) * 2002-04-24 2005-02-22 Micron Technology, Inc. Chemical vapor deposition methods
GR20020100319A (el) * 2002-07-03 2004-03-19 Νικολαουααθανασιοσαπαναγιωτη Μεθοδοσαεπεξεργασιασατησαοργανικησαή@καιατησαανοργανησαυλησαγιαατηναωφελιμηατροποποιησηατωναφυσικοχημικωναιδιοτητωνατης
WO2004004887A1 (en) * 2002-07-03 2004-01-15 Athanasios Nikolaou Method for the treatment of the organic and/or the inorganic matter for the modifying its physicochemical properties
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20040108212A1 (en) * 2002-12-06 2004-06-10 Lyndon Graham Apparatus and methods for transferring heat during chemical processing of microelectronic workpieces
KR100505670B1 (ko) * 2003-02-05 2005-08-03 삼성전자주식회사 부산물 제거용 고온 유체 공급 장치를 구비한 반도체 소자제조 장치
US6926775B2 (en) * 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US20050022735A1 (en) * 2003-07-31 2005-02-03 General Electric Company Delivery system for PECVD powered electrode
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20050155625A1 (en) * 2004-01-20 2005-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber cleaning method
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
WO2005077523A1 (en) * 2004-02-11 2005-08-25 Jean-Pierre Lepage System for treating contaminated gas
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) * 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
KR100615603B1 (ko) * 2004-10-18 2006-08-25 삼성전자주식회사 반도체 제조용 확산 설비의 확산로 세정 방법 및 세정용보조구
US7317606B2 (en) * 2004-12-10 2008-01-08 Applied Materials, Israel, Ltd. Particle trap for electrostatic chuck
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US20060162742A1 (en) * 2005-01-26 2006-07-27 Tokyo Electron Limited Cleaning method of processing apparatus, program for performing the method, and storage medium for storing the program
US9627184B2 (en) * 2005-01-26 2017-04-18 Tokyo Electron Limited Cleaning method of processing apparatus, program for performing the method, and storage medium for storing the program
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7354288B2 (en) * 2005-06-03 2008-04-08 Applied Materials, Inc. Substrate support with clamping electrical connector
TWI336901B (en) * 2006-03-10 2011-02-01 Au Optronics Corp Low-pressure process apparatus
US20070256704A1 (en) * 2006-03-16 2007-11-08 Peter Porshnev Method and apparatus for improved operation of an abatement system
US20070267143A1 (en) * 2006-05-16 2007-11-22 Applied Materials, Inc. In situ cleaning of CVD system exhaust
KR101213689B1 (ko) * 2006-06-12 2012-12-18 주식회사 테라텍 반도체 및 lcd 제조장치의 공정 반응 챔버의 배기부 및진공펌프의 세정장치
US20080047578A1 (en) * 2006-08-24 2008-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing clogging of reaction chamber exhaust lines
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US20080124670A1 (en) * 2006-11-29 2008-05-29 Frank Jansen Inductively heated trap
US20080157007A1 (en) * 2006-12-27 2008-07-03 Varian Semiconductor Equipment Associates, Inc. Active particle trapping for process control
KR100851236B1 (ko) * 2007-03-06 2008-08-20 피에스케이 주식회사 배기장치 및 이를 포함하는 기판처리장치, 그리고 배기방법
DE102007016026A1 (de) * 2007-03-30 2008-10-02 Sig Technology Ag Vakuumbeschichtungsanlage mit Abscheidevorrichtung im Restgasstrang
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
JP5069531B2 (ja) * 2007-09-28 2012-11-07 富士フイルム株式会社 窒化シリコン膜の形成方法
US8016945B2 (en) * 2007-12-21 2011-09-13 Applied Materials, Inc. Hafnium oxide ALD process
KR101755970B1 (ko) * 2008-02-11 2017-07-07 엔테그리스, 아이엔씨. 이온 공급원 챔버를 포함하는 이온 주입 시스템의 성능 향상 및 수명 연장 방법
US20090261839A1 (en) * 2008-03-14 2009-10-22 Turner Terry R Effluent impedance based endpoint detection
US9997325B2 (en) * 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
JP5460982B2 (ja) * 2008-07-30 2014-04-02 東京エレクトロン株式会社 弁体、粒子進入阻止機構、排気制御装置及び基板処理装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
JP5698950B2 (ja) 2009-10-23 2015-04-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
KR101770008B1 (ko) * 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US8895116B2 (en) 2010-11-04 2014-11-25 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of crystalline semiconductor film and manufacturing method of semiconductor device
US20120222618A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Dual plasma source, lamp heated plasma chamber
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9867238B2 (en) * 2012-04-26 2018-01-09 Applied Materials, Inc. Apparatus for treating an exhaust gas in a foreline
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
JP6018665B2 (ja) 2014-04-30 2016-11-02 コリア・インスティテュート・オブ・マシナリー・アンド・マテリアルズKorea Institute Of Machinery & Materials 汚染物質除去用プラズマ反応器
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
KR102477302B1 (ko) 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US10535506B2 (en) 2016-01-13 2020-01-14 Mks Instruments, Inc. Method and apparatus for deposition cleaning in a pumping line
CN108780736B (zh) * 2016-01-26 2023-05-02 周星工程股份有限公司 基板处理设备
US20170221683A1 (en) 2016-02-01 2017-08-03 Retro-Semi Technologies, Llc Cleaning apparatus for an exhaust path of a process reaction chamber
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system
US10730082B2 (en) * 2016-10-26 2020-08-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for differential in situ cleaning
CN111069192A (zh) * 2018-10-22 2020-04-28 北京北方华创微电子装备有限公司 原位清洗装置和半导体处理设备
US11517831B2 (en) * 2019-06-25 2022-12-06 George Andrew Rabroker Abatement system for pyrophoric chemicals and method of use
US11745229B2 (en) 2020-08-11 2023-09-05 Mks Instruments, Inc. Endpoint detection of deposition cleaning in a pumping line and a processing chamber
US20220170151A1 (en) * 2020-12-01 2022-06-02 Applied Materials, Inc. Actively cooled foreline trap to reduce throttle valve drift
US11664197B2 (en) 2021-08-02 2023-05-30 Mks Instruments, Inc. Method and apparatus for plasma generation

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01171227A (ja) * 1987-12-25 1989-07-06 Matsushita Electric Ind Co Ltd Cvd方法
JPH0653171A (ja) * 1992-07-28 1994-02-25 Sony Corp プラズマ装置

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS51129868A (en) 1975-05-07 1976-11-11 Fujitsu Ltd A process for treatment of waste gas
JPS5278176A (en) 1975-12-25 1977-07-01 Chiyoda R & D Discharge reactor for gases
DE2965333D1 (en) 1978-12-29 1983-06-09 Ncr Co Process and apparatus for cleaning wall deposits from a film deposition furnace tube
JPS58101722A (ja) 1981-12-10 1983-06-17 Fujitsu Ltd 排気ガス処理装置
JPS59181619A (ja) 1983-03-31 1984-10-16 Toshiba Corp 反応性イオンエツチング装置
JPS60114570A (ja) * 1983-11-25 1985-06-21 Canon Inc プラズマcvd装置の排気系
DE3414121A1 (de) 1984-04-14 1985-10-24 Brown, Boveri & Cie Ag, 6800 Mannheim Verfahren und vorrichtung zur reinigung von abgasen
US4657738A (en) 1984-04-30 1987-04-14 Westinghouse Electric Corp. Stack gas emissions control system
JPS60234313A (ja) 1984-05-07 1985-11-21 Hitachi Ltd プラズマ処理装置
US5137701A (en) 1984-09-17 1992-08-11 Mundt Randall S Apparatus and method for eliminating unwanted materials from a gas flow line
JPS6328869A (ja) 1986-07-22 1988-02-06 Nec Corp Cvd装置
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPH0757297B2 (ja) 1987-04-22 1995-06-21 日本真空技術株式会社 真空排気系用微粒子トラツプ
US4735633A (en) 1987-06-23 1988-04-05 Chiu Kin Chung R Method and system for vapor extraction from gases
JP2671009B2 (ja) 1988-05-13 1997-10-29 増田 佳子 超微粒子の回収方法及びその回収装置
JPH02125876A (ja) 1988-11-01 1990-05-14 Fujitsu Ltd Cvd装置の排気機構
US5141714A (en) 1989-08-01 1992-08-25 Kabushiki Kaisha Riken Exhaust gas cleaner
JPH03253571A (ja) * 1990-03-02 1991-11-12 Hitachi Ltd 排気装置および化学気相成長装置
EP0454346A1 (en) 1990-04-21 1991-10-30 United Kingdom Atomic Energy Authority Exhaust particulate filter
JPH04136175A (ja) 1990-09-26 1992-05-11 Matsushita Electric Ind Co Ltd 薄膜形成装置
US5451378A (en) 1991-02-21 1995-09-19 The United States Of America As Represented By The Secretary Of The Navy Photon controlled decomposition of nonhydrolyzable ambients
US5211729A (en) 1991-08-30 1993-05-18 Sematech, Inc. Baffle/settling chamber for a chemical vapor deposition equipment
US5279669A (en) 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
JPH05202474A (ja) 1992-01-24 1993-08-10 Hitachi Electron Eng Co Ltd Cvd装置の排気ガスの異物捕獲方法
US5323013A (en) 1992-03-31 1994-06-21 The United States Of America As Represented By The Secretary Of The Navy Method of rapid sample handling for laser processing
US5417826A (en) 1992-06-15 1995-05-23 Micron Technology, Inc. Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors
DE4319118A1 (de) 1993-06-09 1994-12-15 Breitbarth Friedrich Wilhelm D Verfahren und Vorrichtung zur Entsorgung von Fluorkohlenstoffen und anderen fluorhaltigen Verbindungen
US5453125A (en) * 1994-02-17 1995-09-26 Krogh; Ole D. ECR plasma source for gas abatement
JPH0910544A (ja) 1995-06-27 1997-01-14 Alpha Tec:Kk 除害装置及び成膜装置及びエッチング装置
US6045618A (en) 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6194628B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
KR100219036B1 (ko) 1996-09-30 1999-09-01 이계철 저전압형 모스펫 콘트롤링 곱셈기
US5827370A (en) * 1997-01-13 1998-10-27 Mks Instruments, Inc. Method and apparatus for reducing build-up of material on inner surface of tube downstream from a reaction furnace

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01171227A (ja) * 1987-12-25 1989-07-06 Matsushita Electric Ind Co Ltd Cvd方法
JPH0653171A (ja) * 1992-07-28 1994-02-25 Sony Corp プラズマ装置

Also Published As

Publication number Publication date
KR970018008A (ko) 1997-04-30
DE69631349D1 (de) 2004-02-19
DE69617858D1 (de) 2002-01-24
US20010016674A1 (en) 2001-08-23
US6194628B1 (en) 2001-02-27
JPH09181063A (ja) 1997-07-11
DE69631349T2 (de) 2004-11-25
DE69617858T2 (de) 2002-08-29
EP1132496B1 (en) 2004-01-14
ATE210742T1 (de) 2001-12-15
JP3897382B2 (ja) 2007-03-22
EP0767254A1 (en) 1997-04-09
US6689930B1 (en) 2004-02-10
EP1132496A1 (en) 2001-09-12
EP0767254B1 (en) 2001-12-12
US6680420B2 (en) 2004-01-20

Similar Documents

Publication Publication Date Title
KR100303231B1 (ko) Cvd시스템진공라인의세척방법및장치
KR100271694B1 (ko) 기판 처리 장치로부터의 과플루오르 화합물 가스 방출을 감소시키기 위한 방법 및 장치
KR100495783B1 (ko) 기판처리장치의인-시튜진공라인을세척하기위한평행판장치
US6045618A (en) Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
KR101046969B1 (ko) Cvd 배기 시스템의 인시튜 세정
KR100696030B1 (ko) 실리콘-산소-탄소 증착 프로세스의 기판 처리 챔버 배출 라인으로부터 잔류물을 제거하기 위한 방법
KR100284571B1 (ko) 세라믹 라이닝을 이용하여 cvd챔버 내의 잔류물 축적을 감소시키는 장치 및 방법
US20040118519A1 (en) Blocker plate bypass design to improve clean rate at the edge of the chamber

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120628

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee