DE69631349T2 - Reinigungsverfahren und Vorrichtung für Vakuumröhre in einem CVD-System - Google Patents

Reinigungsverfahren und Vorrichtung für Vakuumröhre in einem CVD-System Download PDF

Info

Publication number
DE69631349T2
DE69631349T2 DE69631349T DE69631349T DE69631349T2 DE 69631349 T2 DE69631349 T2 DE 69631349T2 DE 69631349 T DE69631349 T DE 69631349T DE 69631349 T DE69631349 T DE 69631349T DE 69631349 T2 DE69631349 T2 DE 69631349T2
Authority
DE
Germany
Prior art keywords
chamber
coil
dpa
deposition
container
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69631349T
Other languages
English (en)
Other versions
DE69631349D1 (de
Inventor
Ben Oakland Pang
David Cheung
Jr. William N. Taylor
Sebastien Raoux
Mark Los Gatos Fodor
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of DE69631349D1 publication Critical patent/DE69631349D1/de
Publication of DE69631349T2 publication Critical patent/DE69631349T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • H01L21/205
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/24Stationary reactors without moving elements inside
    • B01J19/248Reactors comprising multiple separated flow channels
    • B01J19/249Plate-type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2451Geometry of the reactor
    • B01J2219/2453Plates arranged in parallel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2451Geometry of the reactor
    • B01J2219/2456Geometry of the plates
    • B01J2219/2458Flat plates, i.e. plates which are not corrugated or otherwise structured, e.g. plates with cylindrical shape
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2461Heat exchange aspects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2461Heat exchange aspects
    • B01J2219/2467Additional heat exchange means, e.g. electric resistance heaters, coils
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2469Feeding means
    • B01J2219/247Feeding means for the reactants
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2474Mixing means, e.g. fins or baffles attached to the plates
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2475Separation means, e.g. membranes inside the reactor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2476Construction materials
    • B01J2219/2483Construction materials of the plates
    • B01J2219/2487Ceramics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2491Other constructional details
    • B01J2219/2492Assembling means
    • B01J2219/2493Means for assembling plates together, e.g. sealing means, screws, bolts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2491Other constructional details
    • B01J2219/2492Assembling means
    • B01J2219/2496Means for assembling modules together, e.g. casings, holders, fluidic connectors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Epidemiology (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Manufacture, Treatment Of Glass Fibers (AREA)
  • Drying Of Semiconductors (AREA)
  • Superconductors And Manufacturing Methods Therefor (AREA)

Description

  • Die vorliegende Erfindung bezieht sich im allgemeinen auf das Gebiet der Halbleiterverarbeitungsgeräte, und insbesondere auf ein Verfahren und eine Vorrichtung zum Entfernen von Verunreinigungen und Rückständen von innerhalb einer Abgasleitung, die mit einer Verarbeitungskammer verbunden ist.
  • Während der Verarbeitung mit chemischer Abscheidung aus der Dampfphase (CVD-Abscheidung) werden Abscheidungsgase innerhalb einer Verarbeitungskammer freigesetzt, um eine Dünnfilmschicht auf der Oberfläche eines geraden verarbeiteten Substrats zu bilden. Eine unerwünschte Abscheidung auf Bereichen, beispielsweise den Wänden der Verarbeitungskammer, tritt während des solch einer CVD-Verarbeitung ebenfalls auf. Da die Verfallszeit der einzelnen Moleküle in diesen Abscheidungsgasen in der Kammer verhältnismäßig kurz ist, werden jedoch nur ein kleiner Teil der Moleküle, die in die Kammer eingeführt werden, bei dem Abscheidungsprozess verbraucht und entweder auf dem Wafer oder den Kammerwänden abgeschieden.
  • Die unverbrauchten Gasmoleküle werden aus der Kammer zusammen mit teilweise reagierten Komponenten und Reaktionsprodukten durch eine Vacuumleitung ausgepumpt, die gewöhnlich als „Abgasleitung" bezeichnet wird. Viele der Komponenten in diesen Abgasen sind immer noch in einem hochreaktiven Zustand und/oder enthalten Restbestandteile oder teilchenförmiges Material, welches unerwünschte Ablagerungen in der Abgasleitung bilden kann. Mit der Zeit bildet diese Abscheidungs-Ablagerung von pulverförmigem Restmaterial und/oder teilchenförmigem Material ein schwerwiegendes Problem. Erstens bildet diese Ansammlung ein Sicherheitsrisiko, da dieses Material oft eine entflammbare Substanz ist, die sich entzünden kann, wenn die Vakuumumdichtung bricht und wenn die Abgasleitung während den üblichen, periodisch stattfinden Reinigungsgängen Umgebungsbedingungen ausgesetzt ist. Wenn sich genügend Abscheidungsmaterial in der Abgasleitung ansammelt, kann zweitens die Abgasleitung und/oder die zugehörige Vakuumpumpe verstopft werden, wenn diese Teile nicht geeignet gereinigt werden. Selbst wenn periodisch gereinigt wird, stört die Materialansammlung den normalen Betrieb der Vakuumpumpe und kann die Lebensdauer der Pumpe drastisch verkürzen. Auch kann das feste Material aus der Abgasleitung in die Verarbeitungskammer zurückgeschwemmt wer den, und des kann die Verarbeitungsschritte kontaminieren, was die Ausbeute an Wafern nachteilig beeinflusst.
  • Um diese Probleme zu vermeiden, wird die Innenfläche der Abgasleitung regelmäßig gereinigt, um das abgeschiedenen Material zu entfernen. Diese Prozedur wird während einer Standartreinigungsoperation der Kammer durchgeführt, die eingesetzt wird, um unerwünschtes Abscheidungsmaterial von den Kammerwänden und ähnlichen Bereichen der Verarbeitungskammer zu entfernen. Sämtliche Kammerreinigungstechniken umfassen die Verwendung eines Ätzgases, beispielsweise Fluorin, um das abgeschiedenen Material von den Kammerwänden und anderen Bereichen zu entfernen. Das Ätzgas wird in die Kammer eingeführt, und ein Plasma wird gebildet, so dass das Ätzgas mit dem abgeschiedenen Material reagiert und es von den Kammerwänden entfernt. Solche Reinigungsprozeduren werden gewöhnliche zwischen Abscheidungsschritten für jedes Wafer oder nach jeweils N Wafern durchgeführt.
  • Die Entfernung von Abscheidungsmaterial von den Kammerwänden ist verhältnismäßig einfach, da das Plasma in der Kammer in einem Bereich erzeugt wird, der nahe bei dem abgeschiedenen Material liegt. Das Entfernen von Abscheidungsmaterial von der Abgasleitung ist schwieriger, weil die Abgasleitung stromab von der Verarbeitungskammer liegt. In einer festgelegten Zeitdauer kommen die meisten Punkte innerhalb der Verarbeitungskammer im Kontakt mit mehr Ätzfluorinatomen als die Punkte in der Abgasleitung. In einer vorgegebenen Zeitdauer kann daher die Kammer ausreichend durch den Reinigungsprozess gereinigt werden, während Restmaterial und ähnliche Abscheidungsmaterialien in der Abgasleitung verbleiben.
  • Um eine ausreichende Reinigung der Abgasleitung zu versuchen, muss die Dauer des Reinigungsvorganges erhöht werden. Das Erhöhen der Dauer des Reinigungsprozesses ist jedoch unerwünscht, weil dadurch das Waferdurchsatz nachteilig beeinflusst wird. Auch kann die Ansammlung von Restmaterial nur in dem Maße gereinigt werden, in dem Reaktionsmittel von dem Reinigungsschritt in die Abgasleitung in einem Zustand abgegeben werden, in dem sie mit dem Restmaterial in der Abgasleitung reagieren können. In solchen Systemen und Anwendungsfällen ist die Verweilzeit der ausgestoßenen Reaktionsmittel nicht ausreichend, um das Ende oder selbst mittlere Bereiche der Abgasleitung zu erreichen. In diesen Systemen und Anwendungsfällen ist die Ansammlung von Restmaterial ein noch größeres Problem. Folglich besteht der BedaHF für eine Vorrichtung, um die Abgasleitung in einem Halbleiterverarbeitungssystem wirksam und gründlich zu reinigen, und für ein Verfahren, um der dies durchzuführen.
  • Ein Lösungsansatz, der zum Reinigen der Abgasleitung verwendet wurde, basiert auf einem Abscheidungssystem, bei dem durch Plasma unterstützte CVD Techniken verwendet werden, um reaktive Komponenten in den Abgasen als Filmabscheidungen auf ElektroOberflächen zu extrahieren. Das Abscheidungssystem ist so ausgelegt, dass das Entfernen von Reaktionsmitteln als feste Schicht maximiert wird, und es verwendet spiralförmige Elektroden mit einem großen Oberflächenbereich. Die spiralförmigen Elektroden sind in einem entfernbaren Kanister enthalten, der nah an dem Ende der Abgasleitung zwischen der Gebläsepumpe und der mechanischen Pumpe angeordnet ist. Nachdem eine genügende Menge an festem Abfallmaterial sich auf den Elektroden aufgebaut hat, können die Kanister entfernt werden, um entsorgt und ersetzt zu werden.
  • Bei diesem an sich bekannten Verfahren gibt es Probleme dahin gehend, dass das System auf dem großen Oberflächenbereich der Elektroden beruht, um eine Fläche bereitzustellen, auf der sich das abgeschiedene Feststoffmaterial sammeln kann. Um einen solchen großen Oberflächenbereich der Elektroden bereitzustellen, ist das System notwendigerweise groß und umfangreich. Ferner entstehen zusätzliche Kosten für den Betrieb dieses an sich bekannten Abscheidungssystems, da der entfernbare Kanister ein WegweHFprodukt ist, welches ersetzt und ordnungsgemäß entsorgt werden muß. Ferner liegt das Reinigungssystem stromab von dem Anfangsabschnitt der Vakuum-Abgasleitung, und es stellt das Entfernen von pulverförmigem Material oder teilchenförmigem Material nicht sicher, welches sich in diesem Abschnitt per Abgasleitung ansammelt.
  • Die vorliegende Erfindung löst die oben erwähnten Probleme des Standes des Technik dadurch, dass eine Vorrichtung bereit gestellt wird, die im wesentlichen verhindert, dass sich Teilchenmaterial und anderes Restmaterial in der Abgasleitung ansammeln. Unverförmiges Restmaterial und anderes Teilchenmaterial, dass sich sonst in der Vakuumleitung während der Abscheidungsschritte ansammeln würde, wird in einer Sammelkammer angefangen und durch ein Plasma entfernt, welches Strom von der Reaktionskammer ausgebildet wird. Das Plasma wird aus den Reaktivierungsmaterialien in den Abgasselbstmaterialien und den Abgasen gebildet, die aus der Sammelkammer abgepumpt werden. Bestandteile des Plasmas reagieren, um gasförmige Produkte zu bilden, die leicht durch die Abgasleitung und aus dieser heraus gepumpt werden können. Die Erfindung stellt auch ein Verfahren zur Verfügung um das Ansammeln von Abscheidungsmaterial zu verhindern und das Entfernen solchen Abscheidungsmaterials sicher zu stellen.
  • In einem Ausführungsbeispiel der Vorrichtung der vorliegenden Erfindung umgibt die Spule einen Gaskanal, der durch die Behälterkammer definiert ist. Die Spule ist mit einer HF-Stromversorgung verbunden, die verwendet wird, um Moleküle des Teilchenmaterials und des Restmaterials in dem Kanal in einen Plasmazustand zu erregen. Bestandteile des Plasmas reagieren, um gasförmige Produkte zu bilden, die durch die Vakuumumleitung abgepumpt werden können.
  • In einem anderen Ausführungsbeispiel der Vorrichtung der vorliegenden Erfindung umfasst der Strömungskanal eine Sammelkammer zwischen einem Einlass und eine Auslass des Behälters. Die Sammelkammer ist strukturiert und angeordnet, um Teilchenmaterial aufzusammeln, welches durch den Strömungskanal hindurchfließt, und um das Austreten von Teilchenmaterial von der Sammelkammer zu verhindern. Teilchen, die in der Sammelkammer gefangen sind, werden durch HF-Energie, wie oben beschrieben wurde, in einen Plasmazustand erregt.
  • In einem anderen Ausführungsbeispiel umfasst die Vorrichtung der vorliegenden Erfindung ferner eine elektrostatische Falle, die in dem Gaskanal angeordnet ist. Die elektrostratische Falle ist so ausgelegt, dass sie elektrisch gelade Teilchen, die durch den Strömungskanal fließen, aufsammelt und einfängt.
  • Diese und andere Ausführungsbeispiele der vorliegende Erfindung und ihre Vorteile und Merkmale werden im größerem Detail im Zusammenhang mit dem folgenden Text und den beigefügten Figuren beschrieben, in denen:
  • 1 ein Ausführungsbeispiel einer vereinfachten Vorrichtung für die chemische Abscheidung aus der Dampfphase zeigt, an der die Vorrichtung der vorliegende Erfindung befestigt werden kann;
  • 2 ein Verfahren zum Verbinden der vorliegenden Erfindung mit einer Vorrichtung zur chemischen Abscheidung aus der Dampfphase von 1 zeigt;
  • 3 ein zweites Verfahren zum Verbinden der vorliegenden Erfindung mit einer Vorrichtung für die chemischen Abscheidung aus der Dampfphase von 1 zeigt;
  • 4 eine seitliche Schnittdarstellung von einem Ausführungsbeispiel einer Abgasleitung-Reinigungsvorrichtung der vorliegenden Erfindung ist;
  • 5 eine seitliche Schnittdarstellung eines zweiten Ausführungsbeispieles einer Abgasleitung-Reinigungsvorrichtung der vorliegende Erfindung ist;
  • 6(a) eine seitliche Schnittdarstellung eines dritten Ausführungsbeispieles der Abgasleitungs-Vorrichtung der vorliegende Erfindung ist;
  • 6(b) und 6(c) Diagramme sind, die die Wirkung der elektrostratischen Falle, die in der Vorrichtung von 6(a) verwendet wird, auf ein Teilchen zeigen, welches in die Vorrichtung gepumpt wird;
  • 7 eine seitliche Schnittdarstellung eines Prototyps für eine Vorrichtung der vorliegenden Erfindung ist, die verwendet wurde, um Tests durchzuführen, um den Wirkungsgrad der Erfindung zu ermitteln;
  • 8 eine Mikrodarstellung ist, die die Menge an Restmaterial-Abscheidung auf einem Siliziumstück in der Vakuum-Abgasleitung nach 15 Sekunden Siliziumnitrid-Abscheidung zeigt; und
  • 9 eine Mikrodarstellung ist, die die Größe des gleichen Materials zeigt, welches auf einem Siliziumstück innerhalb der Vakuum-Abgasleitung während eines Experiments abgeschieden wurde, welches vor dem Test der vorliegenden Erfindung durchgeführt wurde.
  • I. Beispielhafter Halbleiter Verarbeitungskammer
  • Die Vorrichtung der vorliegenden Erfindung kann im Zusammenhang mit einer Vielzahl verschiedener Halbleiterverabeitungsvorrichtungen verwendet werden. Eine geeignete Vorrichtung, eine Maschine zur chemischen Abscheidung aus der Dampfphase ist in 1 gezeigt, die ein vertikaler Schnitt eines vereinfachten Reaktors 10 für die chemische Abscheidung aus der Dampfphase mit parallelen Platten ist. Der Reaktor 10 umfasst eine Gasverteilereinrichtung 11, um Abscheidungsgase auf einem Wafer (nicht gezeigt) zu verteilen, welches auf einer Aufnahme 12 in einer Vakuumkammer 15 liegt. Die Aufnahme 12 ist in hohem Maße temperatur-ansprechend und ist auf Stützfingeren 13 montiert, so dass die Aufnahme 12 (und ein auf der oberen Fläche der Aufnahme 12 getragenes Wafer) kontrollierbar zwischen einer unteren Lage/Entladeposition und einer oberen Verarbeitungsposition 14 bewegt werden kann, die nahe bei der Gasverteilereinrichtung 11 ist.
  • Wenn die Aufnahme 12 und das Wafer in der Verarbeitungsposition 14 sind, sind sie von einer Prallplatte umgeben, die eine Vielzahl von unter Abstand angeordnete Öffnungen 23 hat, die in einen ringförmigen Vakuumumverteiler 24 führen. Während der Verarbeitung wird das Gas, welches durch die Gasverteilereinrichtung 11 eingelassen wird, über die Oberfläche des Wafers gleichförmig verteilt, wie durch die Pfeile 21 angedeutet ist. Das Gas wird dann über die Öffnungen 23 in den ringförmigen Vakuumverteiler 24 und durch eine Vakuumabgasleitung 21 durch ein Vakuumpumpensystem 32 abgeleitet. Bevor sie die Gasverteilereinrichtung 11 erreichen, werden die Abscheidungs- und Trägergase durch eine Gasleitung 18 in eine Mischkammern 19 zugeführt, wo sie zusammengeführt und dann zu der Gasverteilereinrichtung 11 geschickt werden.
  • Ein kontrolliertes Plasma wird neben dem Wafer durch HF-Energie ausgebildet, die von einer HF-Stromquelle 25 an die Gasverteilereinrichtung 11 zugeführt wird. Die Gasverteilereinrichtung 11 ist ein HF-Elektrode, während die Aufnahme 12 geerdet ist. Die HF-Stromversorgung 25 kann entweder eine HF-Leistung mit einer einzigen Frequenz oder einer gemischten Frequenz (oder andere gewünschte Varianten) an die Gasverteilereinrichtung 11 liefern, um den Abbau der reaktiven Spezies zu verbessern, die in die Kammer 15 eingeführt werden.
  • Ein externer, kreisförmiger Lampenmodul 26 liefert ein kollimiertes, ringförmiges Lichtmuster 27 durch ein Quarzfenster 28 auf einen ringförmigen, äußeren Umfangsabschnitt der Aufnahme 12. Die Wärmeverteilung kompensiert den natürlichen Wärmeverlust der Aufnahme und liefert eine schnelle thermische und gleichmäßige Aufnahmen- und Wafer-Heizung für reine wirksame Abscheidung.
  • Ein Motor (nicht gezeigt) hebt und senkt die Aufnahme 12 zwischen einer Verarbeitungsposition 14 und einer unteren Wafer-Ladeposition. Der Motor, Gaszufuhrventile (nicht gezeigt), die mit den Gasleitungen 18 verbunden ist, und die HF-Stromversorgung 25 werden durch einen Prozessor 34 über Steuerleitungen 36 gesteuert, von denen nur einige gezeigt sind. Der Prozessor 34 arbeitet unter der Steuerung eines Computerprogrammes, das in einem Speicher 38 gespeichert ist. Das Computerprogramm diktiert den Zeitablauf, die Gasmischungen, den Kammerdruck, die Kammertemperatur, die HF-Energieniveaus, die Aufnahmeposition und anderen Parameter des jeweiligen Prozesses.
  • Typischerweise sind eine oder alle der folgenden Komponenten, nämlich der Kammerauskleidung, der Stirnplatte der Gaseinlaßeinrichtng, der Stützfinger 13 und wei terer Hardwarebestandteile des Reaktors, aus einem Material, beispielsweise anodisiertem Aluminium, hergestellt. Ein Beispiel für solche ein Vorrichtung für ein durch Plasma verstärktes, chemisches Abscheidungs-Verfahren aus der Dampfphase (PECVD Vorrichtung) ist in dem US Patent 5,000,113 mit dem Titel „Thermal CVD/PECDV Reactor and Use for Thermal Chemical Vapor Deposition of Silicon Dioxide and In-situ Multistep Planarized Process." beschrieben, welches gemeinsam übertragen wurde.
  • Die oberer Beschreibung des Reaktors ist hauptsächlich zu Erläuterungszwecken gedacht, und die vorliegende Erfindung kann mit anderen CVD-Vorrichtungen, beispielsweise mit elektronischer Zyklotron-Resonanz (ECR), Plasma-CVD-Vorrichtungen, mit induktiv gekuppelten HF-Hochdichte-Plasma-CVD-Vorrichtungen oder der gleichen verwendet werden. Die vorliegende Erfindung kann auch mit thermischen CVD-Vorrichtungen, Plasma-Ätzvorrichtungen und Vorrichtungen mit physikalischer Abscheidung aus der Dampfphase verwendet werden. Die Vorrichtung der vorliegende Erfindung und das Verfahren zum Verhindern eines Aufhaus einer Abscheidung in einer Vakuumumleitung sind nicht auf eine spezielle Halbleiterverarbeitungsvorrichtung eingeschränkt oder einen speziellen Abscheidungs- oder Ätzprozess oder -Verfahren.
  • II. Beispielhafter Halbleiter Verarbeitungsvorgänge
  • Während Halbleiterverarbeitungsvorgänge, beispielsweise CVD-Prozessen, die durch einen CVD Reaktor 10 ausgeführt werden, werden eine Vielzahl von gasförmigen Abfallprodukten und Verunreinigungen aus der Vakuumkammer in die Vakuumleitung 31 ausgestoßen. Je nach dem speziellen Verfahren, das durchgeführt wird, können dies Abgasprodukte teilchenförmiges Material, beispielsweise teilweise reagierte Produkte und Nebenprodukte, enthalten, die ein Restmaterial oder ein ähnliches pulverförmiges Material in der Abgasleitung zurücklassen, wenn sie durch die Abgasleitung abgegeben werden. Während der Abscheidung von einem Siliziumnitridfilm, beispielsweise unter Verwendung von Silan (SiH4), Stickstoff (N2) und Ammoniak (NH3) als Ausgangsgase, wird ein Restmaterial von einen braunen Pulver, das aus SixNyHz, SixHy, SiO2 und elementarem Silizium besteht, in der Abgasleitung beobachtet. Es wird angenommen, dass dieses Restmaterial aus halb-reagierten Nebenprodukten der Reaktion von SiH4 + N2 + N3 stammt. Ähnliche Restmaterialien werden auch bei der Abscheidung von Siliziumnitridschichten gebildet, wobei anderer Vorläufergase oder Flüssigkeiten, beispielsweise Disilan (Si2H6) oder organische Quellen verwendet werden. Die Ansammlung von Restmaterial kann auch während der Abscheidung von Oxinitridfilmen und Siliziumoxidfilmen unter anderen Schichten auftreten, und sie kann auch während des Plasmaätzens und während anderen Verarbeitungsschritten auftreten.
  • Die vorliegende Erfindung verhindert den Aufbau solcher Restmaterialien und teilchenförmiger Materialien, indem das teilchenförmige Material in einer Sammelkammer aufgefangen und Reaktionsgase, die durch die Vakuumabgasleitung abgegeben werden, und Restmaterialien und teilchenförmige Materialien in der Abgasleitung in einen Plasmazustand angeregt werden. Das Plasma reagiert mit dem Restmaterial und dem teilchenförmigen Material, welches sich in der Abgasleitung ansammelt, um gasförmige Produkte und Nebenprodukte zu bilden, die durch die Abgasleitung gepumpt werden können, ohne dass sie Abscheidungen in der Abgasleitung bilden oder dort kondensieren.
  • III. Ausführungsbeispiele der vorliegenden Erfindung
  • Wie in 2 dargestellt ist, die ein vertikaler Querschnitt durch die vereinfachte CVD-Vorrichtung von 1 ist, der mit der Vorrichtung der vorliegende Erfindung, ausgestattet ist, ist die Vorrichtung der vorliegenden Erfindung stromab von der Abgasquelle – der Verarbeitungskammer – angeordnet. Die Vorrichtung kann entweder mit einem Abschnitt der Abgasleitung verbunden sein oder diesen ersetzen.
  • In 2 ist eine stromab angeordnete Plasma-Reinigungsvorrichtung 40 (Im Folgenden als „DPA 40" oder „die DPA" bezeichnet) zwischen dem Vakuum-Pumpensystem 32 und einem Vakuumverteiler 24 entlang einem Abschnitt der Abgasleitung 31 eingesetzt. Wegen ihrer Position treten Gase, die aus der Vakuumkammer abgezogen werden, notwendigerweise durch die DPA 40. Die DPA 40 kann an einer beliebigen Stelle entlang der Abgasleitung 31 positioniert werden, vorzugsweise ist die DPA 40, jedoch so nah wie möglich bei dem Verteiler 24, so dass Gase, die aus der Kammer 15 abgegeben werden, durch die DPA 40 ihn durchtreten, bevor sie durch einen Abschnitt der Abgasleitung 31 hindurch treten.
  • Im Betrieb wird, während Abscheidungsgase aus der Vakuumkammer 15 durch die Abgasleitung 31 abgezogen werden, teilchenförmiges Material und Restmaterial von den Gasen auf der Innenfläche der Abgasleitung abgeschieden. Das Entfernen des teilchenförmigen Materials und des Restmaterial kann durch Aktivieren der DPA 40 erreicht wer den. Zum Zwecke dieser Beseitigung kann die DPA 40 sowohl während der Abscheidungs- als auch während der Reinigungsvorgänge auf EIN geschaltet werden, oder sie kann nur während der Reinigungsprozedur aktiviert werden.
  • Wenn sie aktiviert ist, erzeugt die DPA 40 ein Spannungsfeld, welches Moleküle des Restmaterials, das auf der Innenflächen der DPA abgeschieden wird, und Moleküle des Abgases, das durch die DPA in durchtritt, in einen Plasmazustand angeregt. Das Plasma verbesserte den Abbau des Materials in der DPA 40 zu gasförmigen Produkten und Nebenprodukten, die durch die Abgasleitung ausgepumpt werden können, wobei auf diese Weise die Abscheidung von Teilchen oder die Ansammlung von Restmaterial verhindert wird. Beispielsweise wird in dem Fall, wo die Ansammlung von Restmaterial in der DPA 40 in Form des braunen Pulvers, welches SixNyHz, SixHy, SiO2 und elementares Silizium umfasst, wie oben im Zusammenhang mit der Siliziumnitrid-Abscheidung beschrieben wurde, angenommen, dass das durch die DPA 40 gebildete Plasma das Restmaterial in gasförmige Komponenten aufbricht, beispielsweise SiF4, CO und CO2, NO und N2O und O2.
  • Zusätzliche zu dem Aufsammeln von Restmaterial durch normale Abscheidung in der DPA 40 sind verschiedener Ausführungsbeispiele DPA 40 speziell dafür ausgelegt, teilchenförmiges Material, welches von der Kammer 15 abgegeben wird , in der DPA aufzufangen, so dass Material nicht außerhalb der DPA abgeschieden werden kann. Das Einfangen wird unter Verwendung von mechanischen und/oder elektrostatischen Anfangsmechanismen durchgeführt, wie weiter unten in größeren Detail beschrieben wird. Wenn es einmal eingefangen ist, bleibt das teilchenförmigen Material in der DPA 40, bis es mit aktiven Spezies in dem Plasma reagiert, um gasförmige Nebenprodukte zu bilden, die dann durch die Abgasleitung 31 gepumpt werden.
  • Das Spannungsfeld welches in der DPA 40 erzeugt wird, um das Plasma zu bilden, kann unter Verwendung von einer Vielzahl bekannter Verfahren, beispielsweise solche mit kapazitiv gekoppelten Elektroden, induktiv gekoppelten Spulen oder ECR – Techniken, erzeugt werden. Wegen ihrer kompakten Größe und ihrer Fähigkeit, verhältnismäßig hohe Spannungsfelder zu erzeugen, ist es hier durch bevorzugt, das Spannungsfeld mit einer induktiven Spule, beispielsweise einer spiralförmigen Resonatorspule, zu erzeugen. Solche Spulen sind dem Durchschnittsfachmann wohl bekannt und können entsprechend den Kriterien, die in einer Anzahl von wohl bekannten Textbüchern, beispielsweise Mi chael A. Lieberman und Allan J. Lichtenberg, „Principles of Plasma Discharges and Materials Processing," Seiten 404–410 John Wiley & Sons (1994), ausgeführt werden, welches hiermit durch Bezugnahme einbezogen wird.
  • Die spiralförmige Resonatorspule kann aus einem hoch leitfähigen Metall, beispielsweise Kupfer, Nickel oder Gold oder einem ähnlichen leitfähigen Material hergestellt sein. Um die Spule ordnungsgemäß in Resonanz zu versetzen, ist es wichtig, dass die Länge der Spule etwa gleich oder etwas länger als 1/4 der Wellenlänge des angelegten HF-Signals ist. Eine Spule dieser Länge erzeugt ein stärkeres und intensiveres Spannungsfeld, das die Zersetzung weiter verbessert.
  • Die spiralförmige Resonatorspule ist an einem Ende mit einer HF-Stromversorgung unter an deren gegenüberliegendem Enden mit einem Erdpotential verbunden. Um eine vollständige Reaktion des Materials, welches durch die DPA 40 hindurch tritt und/oder dort abgeschieden wird, muss die DPA mit einer HF-Stromversorgung bei einem Niveau betrieben werden, das zum Bilden eines Plasmas ausreicht. Im allgemeinem kann ein Leistungsniveau zwischen 50–1000 Watt oder mehr angewendet werden, und vorzugsweise wird ein Leistungsniveau zwischen 100–400 Watt verwendet. Das HF-Leistungsniveau, welches ausgewählt wird, sollte durch Ausgleich zwischen dem Bestreben, eine hohes Leistungsniveau zu verwenden, um eine intensives Plasma zu bilden, und den Bestrebten stimmt werden, ein niedrigeres Leistungsniveau zu verwenden, um Energiekosten einzusparen und die Verwendung von kleineren, weniger kostenintensiven Stromversorgungen zu gestatten. Die Gleichförmigkeit des Plasmas und andere Charakteristiken, die bei herkömmlichen Reaktoren für die chemische Abscheidung aus der Dampfphase mit Plasmaunterstützung wichtig sind, sind von zweitrangiger Bedeutung bei der Herstellung des DPA Plasmas.
  • Die Stromversorgung, die die DPA 40 betreibt, wird in einem Frequenzbereich von etwa 50 KHz bis etwa 200 MHz oder mehr betrieben, und sie wird gewöhnlich in den Bereich von etwa 50 KHz bis 60 MHz betrieben. Die HF-Stromversorgung kann entweder von einer HF-Quelle mit einer einzigen Frequenz oder von einer HF-Quelle mit einer gemischten Frequenz geliefert werden. Die Stromausgangleistung der Stromversorgung hängt von dem Anwendungsfall ab, für den die DPA verwendet wird, und von dem Gasvolumen, welches in der DPA 40 behandelt werden soll. Die HF-Leistung kann von der HF-Stromquelle 25 abgenommen werden, oder sie kann durch eine separate HF- Stromversorgung durchgeführt werden, die nur die DPA 40 betreibt. Unter der Annahme, das mehrere Verarbeitungskammern in einem sauberen Raum vorhanden sind, können die mehreren DPAs, die mit der Kammer verbunden sind, alle durch eine separate, dafür extra vorgesehenen DPA-HF-Stromversorgung betrieben werden, die mit einer entsprechenden Anzahl von HF-Stromteilern verbunden ist.
  • Die Länge und die Größe der DPA 40 kann variieren. In einigen Anwendungsfällen kann die DPA 40 nur 10–15 cm (4–6 inch) lang oder sogar kürzer sein, während in anderer Anwendungsfällen die DPA 40 die gesamte Länge der Abgasleitung 31 (120–150 cm (4–5 Fuß) oder länger) sein und damit die Abgasleitung ersetzen kann. Eine längere DPA sammelt und kann damit mehr teilchenförmiges Material entfernen als eine kürzere, identisch ausgebildete DPA. Die Auslegung der DPA muss den Platzbedarf und den Wirkungsgrad dabei dem Aufsammeln von Restmaterial gegeneinander abwägen. Kürzere DPAs, die einen verbesserten Einfangmechanismus umfassen, sind jedoch in der Lage, 99,9% von dem gesamten teilchenförmigen Material zu sammeln und einzufangen, welches von einer Verarbeitungskammer abgegeben wird, so dass die Länge ein weniger wichtiger Faktor wird. Da die Länge der Spule etwas länger als 1/4 der HF-Wellenlänge sein sollte, gibt es eine direkte Beziehung zwischen der Spulenlänge und der verwendeten HF-Frequenz. Längeren Spulen erfordern HF-Leistungssignale mit geringerer Frequenz.
  • Während vorher beschrieben wurde, dass die DPA 40 während spezieller Perioden der Verarbeitungsprozedur auf EIN und AUS geschaltet werden kann, kann die DPA auch als passive Vorrichtung ausgelegt sein. Als passive Vorrichtung wird die DPA 40 kontinuierlich mit einem ausreichenden Leistungssignal versorgt, so dass keine speziellen Steuersignale oder keine Prozessorzeit aufgewendet werden muss, um die DPA auf EIN und AUS zu schalten.
  • Wie oben erwähnt wurde, wird, wenn sie als aktive Vorrichtung ausgelegt ist, Strom innerhalb der Zeit an die DPA 40 zugeführt, in der ein Kammerreinigung statt findet. Wahlweise kann die HF-Leistung auch während der Zeitdauer zugeführt werden, in der eine Filmabscheidung in der Kammer 15 stattfindet. Die Zeitsteuerung der DPA 40, wenn sie als aktive Vorrichtung ausgebildet ist, wird im allgemeinem im Prozessor 34 durch Anlegen von HF-Signalen durchgeführt, die über Steuerleitungen 36 gesendet werden.
  • Wie in 3 gezeigt ist, ist es möglich, zwei oder mehrere DPAs an die Abgasleitung 31 anzuschließen. Solch eine Anordnung kann beispielsweise verwendet werden, um die Vakuumpumpe 32 zusätzlich gegen eine Ansammlung von Restmaterial zu schützen. Bei der in 3 gezeigten Anordnung ist eine zweite DPA 41 stromab von der DPA 40 unmittelbar von der Pumpe 32 angeordnet. Wenn teilchenförmiges Material aus der DPA 40 austritt, kann das Material in der DPA 42 eingefangen und in Gasform überführt werden. Die DPAs 40 und 42 können beide durch eine einzige HF-Stromversorgung 44 betrieben werden, wobei der Strom durch einen Teiler 46 aufgeteilt wird. Wahlweise können die DPAs 40 und 42 jeweils durch separate HF-Stromversorgungen betrieben werden, ober beide können von einer HF-Hauptstromversorgung betrieben werden, die mit der Verarbeitungskammer 10 verbunden ist.
  • Eine Anzahl verschiedener Ausführungsbeispiele der Vorrichtung der vorliegenden Erfindung kann ausgeführt werden. Drei solche Ausführungsbeispiele werden im folgenden beispielhaft gezeigt. Es ist jedoch auf keinen Fall davon auszugehen, dass die vorliegende Erfindung auf diese speziellen Ausführungsbeispiele beschränkt ist.
  • 1. Ausführungsbeispiele mit einem Rohr
  • 4 ist eine Querschnittsdarstellung eines Ausführungsbeispieles der DPA 40. In 4 umfasst die DPA 40 ein Rohr 50, durch das Abgase aus der Verarbeitungskammer 15 hindurch fließen, wenn sie durch die DPA 40 hindurch treten. Das Rohr 50 ist ein zylindrisches Rohr aus einem isolierenden Material, beispielsweise aus Keramik, Glas oder Quarz. In einem bevorzugten Ausführungsbeispiel ist das Rohr 50 aus einem Keramikmaterial hergestellt, welches nicht mit den Ätzgasen, beispielsweise Fluorin, reagiert, die in den Reinigungsschritt verwendet werden. Ferner hat das Rohr 50 näherungsweise den gleichen in Durchmesser wie der Innendurchmesser der Abgasleitung 31. In anderen Ausführungsbeispielen muss das Rohr 50 nicht notwendigerweise eine zylindrische Form haben, und des kann statt dessen eine winkelige, eine ebene oder eine elliptische oder eine auf ähnliche Weise bogenförmig ausgebildete Innenfläche haben. In diesen und anderen Ausführungsbeispielen kann der Innendurchmesser des Rohres 15 auch entweder größer oder kleiner als der Innendurchmesser der Abgasleitung 31 sein.
  • Eine Spule 52 ist um das Äußere des Rohres 50 gewickelt und an einem Punkt 56 mit einer HF-Stromversorgung und an einem Punkt 57 mit Erdpotential verbunden. Ab gasmaterial, welches durch das Rohr 50 hindurch tritt, und Abgasmaterial, welches in dem Rohr abgeschieden wird, wird durch Anwendung einer Spannung von einer HF-Stromversorgung an die Spule 52 in einen Plasmazustand angeregt. In dem Plasmazustand reagieren die Bestandteile des Abgasmaterials, um gasförmige Produkte zu bilden, die durch das Pumpensystem 32, wie oben beschrieben wurde, aus der DPA 40 und der Abgasleitung 31 abgepumpt werden können. Die Spule 52 ist eine herkömmliche, spiralförmige Resonatorspule, wie oben diskutiert wurde, und sie kann im Innern des Rohres 50 statt um das Äußere des Rohres gewickelt sein.
  • Ein äußerer Behälter 54 umgibt das Rohr 50. Der Behälter 54 dient wenigstens zwei Zwecken. Zum Ersten schirmte er die CVD-Verarbeitungsvorrichtung 10 und die andere Ausrüstung gegen die Spannungs- und Rauschsignale ab, die von der Spule 52 erzeugt werden. Wenn das Keramikrohr 50 bricht oder Risse bekommt oder, wenn die Vakuumdichtungen in den Rohr 50 in anderer Weise aufgebrochen wird, ist der Behälter 54 zweitens eine zweite Dichtung, die verhindert, dass Abgase austreten. Der Behälter 54 kann aus einer Vielzahl von Metallen, beispielsweise Aluminium oder Stahl oder anderen Komponenten hergestellt sein, und er ist vorzugsweise zum Zweck des Abschirmungseffekts geerdet. Ein oberer beziehungsweise unterer Flansch 57 und 58 verbinden die DPA 40 mit dem Vakuumverteiler 24 und der Vakuumumleitung 31, während sie eine Vakuumdichtung aufrecht erhalten.
  • Herkömmliche HF-Stromversorgungen werden so ausgelegt, dass sie von einer Impedanz von 50 Ohm aus arbeiten. Folglich sollte der Kontaktpunkt der HF-Stromversorgung mit der Spule 52 (Punkt 56) so gewählt werden, dass die Spule 52 eine Impedanz von 50 Ohm hat. Entsprechend sollte, wenn die Stromversorgung ein anderes Impedanzniveau erfordert, der Punkt 56 entsprechend gewählt werden.
  • Die Spule 52 wird durch eine HF-Stromversorgung mit einem Leistungsniveau von 50 Watt oder großer betrieben. Unter solchen Bedingungen ist die Plasmaerzeugung bei einem Maximum, und die Gleichförmigkeit ist nicht wichtig. Die tatsächlich von der Spule 52 erzeugte Spannung hängt von einer Anzahl von Faktoren ab, beispielsweise von der von der Verstromversorgung verbrauchten Leistung, der Länge und dem Windungsabstand der Spule 52 und dem Widerstand der Spule und anderen Faktoren. Da die Spannung gleichmäßig über die Spule verteilt ist, kann die Bestimmung des Spannungsniveau für die gesamte Spule dadurch durchgeführt werden, dass das Niveau zwischen den Punkten festgestellt wird, an denen die Spule mit Erde und mit der HF-Stromversorgung verbunden ist (Punkte 55 und 56). Wenn beispielsweise eine spezielle Spule viermal so lang ist wie der Abschnitt der Spule zwischen den Punkten 55 und 56, ist die gesamte Spannung der Spule viermal so groß wie das Spannungsniveau zwischen in Punkten 55 und 56.
  • Die Spule, das Leistungsniveau und die angelegte HF-Frequenz sollten so gewählt werden, dass ein starkes, intensives Plasma in den Rohr 50 gebildet wird, jedoch auch so, dass die von der Spule 52 erzeugte Spannung ein Niveau nicht übersteigt, bei dem der Strom einen Lichtbogen von der Spule zu dem Behälter 54 bildet. Es ist möglich, ein isolierendes Material zwischen den Behälter 54 und die Spule 52 einzufügen, wenn die Funkenbildung ein Problem für eine spezielle DPA erstellt. Für die Vereinfachung der Auslegung ist es jedoch bevorzugt, dass der Raum zwischen den Behälter 54 und der Spule 52 mit Luft gefüllt ist.
  • 2. Erstes Ausführungsbeispiel mit mechanischer und elektrostatischer Falle
  • 5 ist eine Querschnittdarstellung eines zweiten Ausführungsbeispiels der DPA 40. Das Ausführungsbeispiel der in 5 gezeigten DPA umfasst ein erstes, inneres Keramikrohr 60 und ein zweites, äußeres Keramikrohr 62. Das Ende des Rohrs ist innerhalb von dem zylindrischen Raum des Rohres 62, so dass der Gasfluß durch die DPA 40 so ist, wie durch die Pfeile 64 gezeigt ist.
  • Eine spiralförmige Resonatorspule 66 ist um das Äußere des Rohres 62 gewickelt und mit einer HF-Stromquelle 68 verbunden, wie im Zusammenhang mit dem Ausführungsbeispiel von 4 beschrieben wurde. Die Spule 66 kann auch in dem Inneren des Rohres 62 gewickelt sein oder um das Äußere oder das Innere des Rohres 60.
  • Ein Mantel 68 ähnlich zu dem oben erwähnten Behälter 50 umschließt sowohl das innere Rohr 60 als auch das äußere Rohr 62. Das äußere Rohr 62 kann durch Verbindungen mit entweder den inneren Rohr 60 oder dem Mantel 68 abgestürzt werden. Im jedem Fall ist es wichtig, dass eine Stützanordnung für äußere Rohr 62 es gestattet, dass der Gasstrom durch die DPA 40 hindurch tritt. Zu diesem Zweck kann die Stützanordnung ein ebenes Teil aus keramischen Materialien zwischen in Rohren 60 und 62 sein, das eine Vielzahl von Perforationslöchern hat, und sie kann aus nur drei oder vier schlanken Verbindungen oder Fingern bestehen, die sich zwischen in Rohren 60 und 62 erstrecken, oder sie kann in verschiedenen anderen äquivalenten Ausführungen ausgebildet sein. Eine Anordnung, die Perforationslöcher umfasst, kann dazu beitragen, teilchenförmiges Material in einem unten beschriebenen Sammelbereich aufzusammeln und einzufangen. Die Anordnung sollte jedoch so ausgelegt sein, dass die Löcher groß genug sind, so dass sie nicht die Durchflußrate der Gase, die durch die DPA 40 gepumpt werden, reduzieren.
  • Die Auslegung dieses Ausführungsbeispiels der DPA 40 verbessert das Einfangen und daher die Zersetzung des teilchenförmigen Materials. Die Anordnung umfasst den Sammelbereich 70 des Rohres 62, der als mechanische Falle wirkt, um Teilchen in dem Abgasstrom zu sammeln und zurückzuhalten, so dass sie nicht durch den Rest der DPA in die Abgasleitung 31 durchtreten können. Die Teilchen werden in der Falle gehalten und einem Plasma unterworfen, bis sie unter dem ausgebildeten Plasma dissoziieren oder zerfallen.
  • Die Arbeitsweise der Falle dieses Ausführungsbeispieles der DPA 40 beruht teilweise auf Gravitationskräften, die dahingehend wirken, das teilchenförmige Material in der Falle zu erhalten, obwohl ein Gasströmungsweg vorhanden ist, der versucht, die Teilchen durch die DPA-Vorrichtung hindurch in die Vakuumleitung zu spülen. So hängt teilweise der Wirkungsrat für DPA 40 von der Fähigkeit des Außenrohres 62 ab, Teilchen daran zu hindern, das Rohr 62 zu verlassen, bis sie zu gasförmigen Produkten reagiert wurden. Zu diesem Zweck ist es wichtig, dass die DPA 40 so positioniert ist, dass der Sammelbereich 70 stromab von dem Einlass der DPA liegt, und dass die Länge des Außenrohres 62 genügend groß ist, um diese Falle in Kombination mit Gravitationskräften zu erzeugen.
  • Die Vergrößerung des Querschnittbereiches der Gasdurchlasswege entlang einer Ebene 76 in der DPA 40 hilft weiter dahingehend, das teilchenförmiges Material einzufangen. Die Strömungsstrate für einen austretenden Gasstrom im jedem vorgegebenen Abscheidungsprozess ist im allgemeinen konstant. Daher vermindert die Erhöhung des Querschnittbereiches von einem oder mehreren Durchgangswegen die Geschwindigkeit der Teilchen in den Gasstrom, was entsprechend die natürlichen Mitnahme- oder Zugkräfte auf die Teilchen reduziert. Ein vorgegebenes Teilchen wird durch Gravitationskräfte in der Gravitationsfalle der DPA 40 eingefangen, wenn die Gravitationskraft auf das Teilchen die neutrale Zugkraft übersteigt.
  • Um den Wirkungsgrad der mechanischen Falle weiter zu verbessern, kann eine elektrostatische Sammeleinrichtung 72 nach dem Sammelbereich 70 angeordnet werden, um ausströmendes, teilchenförmiges Material, welches elektrisch geladen ist, anzuziehen. Eine elektrostatische Sammeleinrichtung 72 kann eine kleine Elektrode sein, die mit einer Gleichspannungs- oder Wechselspannungsquelle von zwischen 100–3000 Volt verbunden ist. Selbstverständlich ist die Polarität und die Größe der Ladung, die an die elektrostadisches Sammlereinrichtung 72 angelegt wird, von der speziellen Anwendung abhängig und hängt auch von dem Polaritätstyp und den typischen Ladungsniveau des abgegeben, teilchenförmigen Materials in einer individuellen Anwendung ab.
  • Eine Vielfalt verschiedener, elektrostatischer Fallen kann in der vorliegenden Erfindung verwendet werden. Einzelheiten eines zweiten, bevorzuge Ausführungsbeispieles solch einer elektrostatischen Sammeleinrichtung sind im einzelnen im Zusammenhang mit den 6(a) und 6(b) beschrieben.
  • 3. Zweitens Ausführungsbeispiel mit mechanischer und elektrostatischer Falle
  • 6(a) ist eine Querschnittdarstellung eines dritten Ausführungsbeispiels der DPA 40. Das Ausführungsbeispiel von 6(a) verwendet eine mechanische Falle ähnlich wie das Ausführungsbeispiel von 5 und es verwendet ebenfalls eine modifizierte, elektrostatische Falle. Auch werden die abgegebenen Gasen durch einen Seitenflansch 80 abgegeben, der neben einem oberen Flansch 81 statt gegenüber den oberen Flansch liegt. Der Flansch 80 ist so positioniert, dass er eine Vakuumdichtung mit dem äußeren Rohr 84 statt mit dem äußeren Rohr 86 bildet. Das Rohr 84 ist aus einem Metall oder einem ähnlichen Material hergestellt, während das Rohr 86 aus einem isolierenden Material, beispielsweise Keramik, hergestellt ist.
  • HF-Leistung wird an die DPA 40 dieses Ausführungsbeispiels durch eine äußere Spule 87 angelegt, die so ausgelegt ist, dass sie eine Impedanz von 50 Ohm zwischen dem Verbindungspunkt 88 der HF-Quelle und dem Punkt 89 (Erde) hat. Wie oben kann die Spule 87 so ausgelegt sein, dass sie eine Impedanz von 50 Ohm hat, so dass die Spule von einer herkömmlichen HF-Stromquelle betrieben werden kann. Eine innere Spule 90 ist in einem Innenrohr 85 gewickelt. Die innere Spule 90 empfängt das von einer äußeren Spule 87 gelieferte HF-Signal durch Induktion und erzeugt das Spannungsfeld, welches zum Betreiben der Plasmareaktion notwendig ist.
  • Ein zentraler Draht 92 verläuft durch die Mitte des Innenrohres 95, und ein Spannungspotential wird zwischen dem zentraler Draht 92 und der Innenspule 90 erzeugt, um teilchesförmiges Material welches durch die DPA 40 hindurch tritt, elektrostatisch einzufangen. Das Spannungsniveau kann unter Ausnutzung verschiedener, unterschiedlicher Ansätze erzeugt werden. In jedem Ansatz wirken der zentrale Draht 92 und die Spule 90 als Elektroden. In einem Ausführungsbeispiel ist der zentrale Draht 92 geerdet, und eine positive Gleichspannung oder Wechselspannung wird an die Spulen 90 angelegt. Wie in 6(b) gezeigt ist, werden in dem Fall, wo die austretenden Teilchen 94 negativ geladen sind, die Teilchen durch das Spannungsfeld (Felec) angezogen, welches durch den Draht 92 und die Spule 90 erzeugt wird, und sie werden an Positionen 95 auf der positiv geladene Spule gesammelt. Ein ähnliches Resultat kann erreicht werden, wenn die Spule 90 geerdet wird, und wenn eine negative Spannung an den zentralen Draht 92 angelegt wird. In diesem Fall stößt jedoch der Draht 92 negativ geladene Teilchen in Richtung zu der Spule 90 hin ab.
  • In einem anderen Ausführungsbeispiel wird eine positive Gleichspannung oder Wechselspannung an den zentralen Draht 92 angelegt, und die Spule 90 wird mit einem Erdpotential verbunden. Bei diesem Ansatz werden negativ geladene Teilchen an Positionen 96 auf dem positiv geladenen Draht 92 gesammelt, wie in 6(c) gezeigt ist. Ein ähnliches Resultat kann erreicht werden, wenn eine negative Spannung an die Spule 90 angelegt wird, und wenn der zentrale Draht 92 geerdet wird. In diesem Fall stößt die Spule 90 die negativ geladenen Teilchen in Richtung auf den Draht 92 ab.
  • In noch anderen Ausführungsbeispielen werden weder der Draht 92 noch die Spule 90 geerdet, und statt dessen werden beide mit Spannungsquellen verbunden, die eine positive oder negative Spannung von dem Draht 92 zu der Spule 90 erzeugen. In diesem Fall wird selbstverständlich, wenn positiv geladenes, teilchenförmiges Material vorhanden ist, dieses Material auf der Elektrode gesammelt, die der Elektrode gegenüberliegt, auf der das negativ geladene Material gesammelt wird.
  • Auch können Teilchen durch elektrostatische Kräfte in den Fällen aufgesammelt werden, wo das teilchenförmiges Material sowohl positiv als auch negativ geladene Teilchen umfasst. In solch einem Fall ist es bevorzugt, eine Wechselspannung an eine der Elektroden anzulegen und die anderen zu erden. Wenn beispielsweise eine Wechselspannung an den zentralen Draht 92 angelegt wird, und wenn die Spule 90 geerdet wird, wird positives, teilchenförmiges Material von dem Draht in Richtung auf die Spule 90 während des positiven Halbzyklus abgestoßen. Während des negativen Halbzyklus wird jedoch negatives, teilchenförmiges Material von dem Draht abgestoßen und auf der Spule 90 gesammelt.
  • In jedem der obigen Fälle kann das elektrische Feld eine Spannung zwischen den zwei Elektroden zwischen 100 und 5000 Volt sein. Vorzugsweise liegt die Spannung zwischen den Elektroden zwischen 500 Volt (Gleichspannung) bis 5000 Volt (Wechselspannung). Ob Teilchen von dem zentralen Draht 92 weg angezogen werden, um sich auf der Spule 90 zu sammeln, oder umgekehrt, hängt von der Polarität der Teilchen und den Ladungen ab, die an die Spule 90 und den Draht 92 angelegt werden.
  • Weil diese Auslegung auf dem Spannungspotential beruht, das zwischen der Spule 90 und dem zentralen Draht 92 erzeugt wird, sollte die Spule 90 innerhalb des Innenrohres 85 angeordnet werden, um eine maximale Aufsammlung von Teilchen zu erhalten, so dass sie von dem Draht 92 nicht durch das isolierende Material des Rohres getrennt wird. Da sie innerhalb der Rohres 85 liegen, kommen die Spulen 90 und der zentrale Draht 92 in Kontakt mit einer Vielzahl von hoch reaktiven Spezies, beispielsweise Fluorin. Folglich ist es wichtig, dass die Spule 90 und der Draht 92 aus einem geeigneten, leitfähigen Material, beispielsweise Nickel, hergestellt sind, das nicht mit solchen Spezies reagiert. Es ist wichtig zu beachten, dass die Spule 90 sowohl ein Spannungspotential, um Teilchen anzuziehen oder abzustoßen, als auch eine HF-Leistungssignal in diesem Ausführungsbeispiel führt.
  • Die Kombination aus eine elektrostatischen Sammlereinrichtung und eine mechanischen Falle liefert einen besondere effektiven Mechanismus, um die Ansammlung von Abscheidung in der Vakuumumleitung 31 zu verhindern. Die mechanische Falle ist besonders wirkungsvoll beim Einfangen verhältnismäßig großer Teilchen, die in dem austretenden Gas vorhalten sind, weil diese Teilchen mit größerer Wahrscheinlichkeit durch Gravitationskräfte in dem äußere Rohr 62 gehalten werden. Die elektrostatische Falle ist andererseits besonders wirkungsvoll beim Einsammeln und Einfangen kleinerer Teilchen in dem austretenden Gasstrom, die sonst nicht von der mechanischen Falle eingesammelt werden können.
  • Beispielsweise wurden bei der Abscheidung von Siliziumnitrid, wie ober beschrieben wurde, Teilchen im Größenbereich von 1 μm im Durchmesser bis 1 mm im Durch messer oder mehr beobachtet. Wenn diese Teilchen sich in der Abgasleitung befinden, können zwei Kräfte, die eine Gewicht haben, auf die Teilchen einwirken: eine Gravitations-Anziehungskraft (Fg) und eine neutrale Mitnahme- oder Zugkraft (Fnd), die sich aus der Gasbewegung ergibt. Bei Materialien mit großen Teilchen, beispielsweise Teilchen großer als 100 mm Durchmesser, ist die Hauptwechselwirkung die Gravitationskraft, so dass die mechanische Falle besonders wirksam ist. Bei kleinen Teilchen kann jedoch die Zugkraft des Gases höher sein als die Gravitationskraft. Folglich übt das elektrische Feld, das durch den beiden Elektroden der elektrostatischen Falle aufgebaut worden ist, eine zusätzliche Kraft (Felec) aus, die senkrecht zu der Bewegungsrichtung der Teilchen gerichtet ist. Diese Kraft kann zwei oder mehrere Größenordnungen größer als sowohl die Gravitationskraft als auch die Zukraft bei sehr kleinen Teilchen sein, beispielsweise bei solchen mit weniger als 10 μm Durchmesser, so dass sich ein sehr hoher Wirkungsgrad beim Einsammeln ergibt.
  • IV. Experimentelle Benutzung und Testresultate
  • Um die Wirksamkeit der vorliegenden Erfindung zu demonstrieren, wurden Experimente durchgeführt, bei denen eine Prototyp-DPA 40 an einer Precision-5000-Kammer befestigt wurde, die für 8-inch-Wafer ausgerüstet war. Die Precision-5000-Kammer wird von Applied Materials hergestellt, auf die die vorliegende Erfindung übertragen wurde.
  • In den Experimenten war die Prototyp-DPA ähnlich aufgebaut wie die DPA 40, die in 3 gezeigt ist, mit der Ausnahme der Ausgestaltung des unteren Flansches, der benutzt wird, um die DPA mit der Abgasleitung zu verbinden. Eine Querschnittsdarstellung der Prototyp-DPA und des unteren Flansches ist in 7 gezeigt. Wie in 7 gezeigt ist, hat der untere Flansch 100 die Abgase, die durch die DPA in die Abgasleitung fließen, unter einem Winkel von etwa 90° umgelenkt. Der Flansch war auch mit einem Quarzfenster gegenüber der Abgasleitungs-Verbindung ausgestattet, so dass das Abscheidungsmaterial, welches sich auf dem unteren Abschnitt 104 des Flansches angesammelt hat, beobachtet werden konnte. Diese Anordnung des unteren Flansches in der Prototyp-DPA hatte den zusätzlichen Vorteil, dass teilchenförmiges Material in dem Bereich 104 in ähnlicher Weise, jedoch nicht so effektiv, eingesammelt wurde wie bei der mechanischen, eimerförmigen Falle in den Ausführungsbeispielen der DPA 40, die in den 5 und 6 gezeigt sind.
  • Die Prototypvorrichtung umfasste ein Quarzrohr 106, um die eine Spule 108 aus 3/8 inch Kupferrohr um das Äußere des Quarzrohres herumgewickelt war. Die Gesamtlänge der Spule 108 war etwa 25 Fuß, und eine 31,56 MHz-Stromversorgung wurde bei verschiedenen Leistungsniveaus betrieben, wie bei der Beschreibung der Ausführungsbeispiele weiter unten erläutert wird. Das Quarzrohr 106 und die Spule 108 waren abgedichtet in einem Aluminiumbehälter 110 angeordnet. Die Gesamtlänge der Anordnung war etwa 14 inch, und die Breite der Anordnung war etwa 4,5 inch.
  • Bevor Experimente durchgeführt wurden, durch die die Wirksamkeit der DPA getestet wurde, wurden Experimente durchgeführt, um die Zusammensetzung von Restmaterial zu bestimmen, welches in der Verarbeitungskammer durch einen Siliciumnitrid-Abscheidungsschritt gefolgt von einem Fluorinreinigungsschritt abgelagert wurde. Die Zusammensetzung des Restmaterials wurde für zwei unterschiedliche Siliciumnitrid-Abscheidungs/Fluorinreinigungssequenzen bestimmt. In jeder Verarbeitungssequenz wurde der Siliciumnitrid-Abscheidungsschritt individuell durchgeführt, während der Reinigungsschritt auf der Basis von CF4-Chemie in der ersten Sequenz und auf einer NF3-Chemie in der zweiten Sequenz durchgeführt wurde.
  • Der Siliciumnitridfilm wurde auf einem Wafer dadurch abgeschieden, dass das Wafer ein Plasma von Silan (SiH4)-, Stickstoff (N2)- und Ammoniak (NH3)-Gasen ausgesetzt wurde. Das SiH4 wurde in die Kammer mit einer Flussrate von 275 sccm eingeführt, das N2 wurde in die Kammer mit einer Rate von 3700 sccm eingeführt, und das NH3 wurde bei einer Rate von 100 sccm eingeführt. Das Plasma wurde bei einem Druck von 4,5 Torr bei einer Temperatur von 400°C gebildet, wobei eine 3,57 MHz-HF-Stromversorgung betrieben bei 720 Watt verwendet wurde. Die Siliciumnitridabscheidung dauerte etwa 75 Sekunden, was ausreichend war, um einen Film von etwa 10.000 Å auf dem Wafer abzuscheiden.
  • Zum Zwecke der ersten Messung wurde, nachdem der Siliciumnitrid-Abscheidungsschritt abgeschlossen und das Wafer aus der Kammer entfernt worden war, die Kammer mit einem CF4- und N2O-Plasma während 120 Sekunden gereinigt. Das Verhältnis von CF4 zu N2O war 3 : 1, wobei CF4 mit einer Rate von 1500 sccm und N2O mit einer Rate von 500 sccm eingeführt wurde. Während des Reinigungsschrittes wurde die Kammer bei einer Temperatur von 400°C und bei einem Druck von 5 Torr gehalten. Das Plasma wurde mit einer 13,56 MHz-Stromversorgung bei 1000 Watt gebildet.
  • Zum Zwecke der zweiten Messung wurde die Kammer mit einem Plasma gereinigt, welches aus NF3- und N2O-Vorläufergasen gebildet wurde. Das Verhältnis von NF3 zu N2O war etwa 5 : 2 : 10, wobei NF3 bei einer Rate von 500 sccm, N2O bei einer Rate von 200 sccm und N2 bei einer Rate von 1000 sccm eingeführt wurde. Die Kammer wurde bei einer Temperatur von 400°C unter einem Druck von 5 Torr während des Reinigungsschrittes gehalten, der etwa 95 Sekunden dauerte. Die Plasmabildung wurde mit einer 13,56 MHz-Stromversorgung bei 1000 Watt erreicht.
  • Wie aus der unten angegebenen Tabelle 1 ersichtlich ist, war die Restmaterialansammlung aus einer Siliciumnitridabscheidungs-/CF4-Kammer-Reinigungsverfahrenssequenz ein bräunliches Pulver, während die Restmaterialansammlung aus der Siliciumnitridabscheidungs-/NF3-Kammerreinigungssequenz ein gelb-weißes Pulver war.
  • Tabelle 1
    Figure 00210001
  • Nachdem die Zusammensetzung der Restmaterialansammlung in der Kammer bestimmt worden war, wurde ein Experiment durchgeführt, um die Korngröße des Restmaterialpulvers zu bestimmen. Für dieses Experiment wurde ein Siliciumstück in der Abgasleitung angeordnet, um das Material zu sammeln, welches darauf aus dem Abscheidungsprozess abgeschieden wurde. Es wurde beobachtet, dass selbst nach einem 15-Sekunden-Abscheidungsprozess eine Restmaterialansammlung in Form eines braunen Pulvers normalerweise in der Abgasleitung 31 auftritt. Eine Mikrodarstellung, die diese Restmaterialansammlung zeigt, ist als 8 dargestellt. Das braune Pulver bestand aus SixNyHz, SixHy, SiOx und elementarem Siliciumrestmaterial. Wie in 9 gezeigt ist, die eine Mikrodarstellung eines typischen Restmaterialteilchens ist, war die elementare Korngröße des Pulvers etwa 1–50 μm im Durchmesser. Weitere Experimente haben gezeigt, dass die Korngröße des Pulvers mit der Abscheidungszeit zunahm, um Ansammlungen zu bilden, die eine Größe bis zu 1 mm im Durchmesser bei einem 90 Sekunden-Abscheidungsschritt bilden.
  • Die Wirksamkeit der DPA wurde in drei getrennten Experimenten getestet. In jedem Experiment wurden 100 Wafer in einer Siliciumnitridabscheidungs-/CF4-Fluorinreinigungssequenz verarbeitet, die in einer Precision-5000-Kammer durchgeführt wurde, bei der eine Prototyp-DPA zwischen dem Vakuumabgasanschluss und der Abgasleitung angeschlossen war. Der Prototyp der DPA wurde während der Abscheidungssequenz in jedem Experiment auf AUS gehalten, und er wurde auf EIN gestellt und durch eine 15,56 HF-Stromversorgung während der Fluorinreinigungssequenz betrieben. Bei AUS während der Abscheidung haben sich Teilchen entlang der Innenseite des Rohres 106 angesammelt, die in 7 als Bereiche 112 gezeigt sind. Diese Teilchen wurden dann aus dem Rohr 106 entfernt, wenn die DPA während der Reinigungssequenz aktiviert wurde. Die Bedingungen für jedes der drei Experimente sind in der unten angegebenen Tabelle 2 zusammengefasst.
  • Tabelle 2
    Figure 00220001
  • In dem ersten Experiment war die Fluorinreinigungssequenz 135 Sekunden lang, und die DPA wurde bei 200 Watt betrieben. CF4 wurde in die Verarbeitungskammer mit einer Rate von 1500 sccm eingeführt und mit N2O gemischt, das in die Kammer bei einer Rate von 500 sccm (ein 3 : 1-Verhältnis) eingeführt. Nach 100 Abscheidungs-/Reinigungssequenzen wurde die DPA überprüft, und es wurde gefunden, dass sie frei von allem Restmaterial und Abscheidungen war. In dem abgewinkelten Flansch an der Unterseite der DPA hatte sich eine kleine Menge von Restmaterialansammlungen gebildet. Die Atomkonzentration dieser Restmaterialansammlung wurde gemessen, und sie ist in der unten angegebenen Tabelle 3 zusammengefasst. Der überwiegende Teil des Siliciums in dem Restmaterial war in Form von Siliciumoxid enthalten, und etwa die Hälfte des Stickstoffs war in einem Siliciumnitridfilm enthalten, wobei die andere Hälfte in Form von Ammoniak vorlag.
  • In dem zweiten Experiment wurde die Fluorinreinigungssequenz auf 120 Sekunden verkürzt, und die Spannung, bei der die DPA betrieben wurde, wurde auf 500 Watt erhöht. CF4 wurde in die Verarbeitungskammer bei einer Rate von 2000 sccm eingeführt und mit in N2O gemischt, das in die Kammer bei einer Rate von 500 sccm (ein 4 : 1-Verhältnis) eingeführt wurde. Nach 100 Abscheidungs-/Reinigungssequenzen wurde die DPA überprüft, und es hat sich herausgestellt, dass sie frei von Restmaterial und Abscheidungen war. Eine kleine Menge der Restmaterialansammlung hatte sich in dem winkelförmigen Flansch angesammelt. Aus einer Sichtinspektion ergab sich, dass die Menge der Restmaterialansammlung jedoch etwa 80% geringer war als die Menge der Ansammlung in dem ersten Experiment.
  • Die Atomkonzentration dieser Restmaterialansammlung wurde gemessen, und sie ist ebenfalls in der unten angegebenen Tabelle 3 zusammengefasst. Wie aus der Tabelle ersichtlich ist, enthielt das Restmaterial aus diesem Experiment eine erheblich höhere Konzentration an Fluorin als das Restmaterial aus dem ersten Experiment. Das in Bezug auf Fluorin konzentrierte Restmaterial liefert der Fluorinspezies für das Plasma und führt dazu, dass das Restmaterial während der weiteren Aktivierung der DPA leichter zu reinigen ist. Es ist auch zu beachten, dass die überwiegende Mehrheit des Siliciums in dem Restmaterial aus diesem Experiment in Form von Siliciumoxid enthalten war, und dass die überwiegende Mehrheit des Stickstoffs in Form von Ammoniak vorhanden war.
  • Das dritte Experiment hat bewiesen, dass das Restmaterial sowohl von der DPA als auch von dem winkelförmigen Flansch vollständig entfernt werden kann, wenn sich Restmaterial während des ersten und des zweiten Experiments angesammelt hat. In diesem dritten Experiment war die Fluorinreinigungssequenz 120 Sekunden lang, und die Spannung, bei der die DPA betrieben wurde, wurde auf 500 Watt erhöht. Die Rate, bei der CF4 in die Verarbeitungskammer eingeführt wurde, wurde auf 2500 sccm erhöht und mit N2O gemischt, das in die Kammer bei einer Rate von 500 sccm (ein 5 : 1-Verhältnis) eingeführt wurde. Nach 100 Abscheidungs-/Reinigungssequenzen wurden die DPA und der winkelförmige Flansch überprüft, und es hat sich gezeigt, dass beide frei von Restmaterial und Abscheidungen waren.
  • Die Resultate dieser Experimente in Sachen Restmaterial-Anwesenheit und Zusammensetzung ist in der unten angegebenen Tabelle 3 zusammengefasst.
  • Tabelle 3
    Figure 00240001
  • Nachdem mehrere Ausführungsbeispiele der vorliegenden Erfindung vollständig beschrieben worden sind, sind viele andere equivalente oder alternative Vorrichtungen und Verfahren zum Entfernen von Teilchenmaterial aus einer Vakuumleitung entsprechend der vorliegenden Erfindung für den Durchschnittsfachmann ersichtlich. Des weiteren ist es, obwohl die vorliegende Erfindung in einigen Detailen durch Erläuterung und Beispiele zum Zwecke der Klarheit und zum Verständnis beschrieben worden ist, ersichtlich, dass gewisse Änderungen und Modifikationen durchgeführt werden können. Während die mechanische Teilchenfalle der vorliegenden Erfindung im Zusammenhang mit einem inneren Durchgang beschrieben wurde, der von einem äußeren Durchgang umgeben ist, dann die Falle beispielsweise auch mit einem ersten Durchgang gebildet werden, der umfangsmäßig in einem zweiten Durchgang enthalten ist und sich stattdessen von dem ersten Durchgang weg nach oben erstreckt.

Claims (17)

  1. Vorrichtung zur Minimierung von Abscheidungen in einer Abgasleitung, die mit einer Halbleiterverarbeitungskammer verbunden ist, wobei die Vorrichtung umfaßt: eine Behälterkammer, die einen Einlaß und einen Auslaß bildet; eine Elektrode, die mit der Behälterkammer verbunden ist, die in der Lage ist, bestimmte Stoffe, die in der Behälterkammer vorhanden sind, in einem Plasmazustand abzugeben, wenn eine ausgewählte Spannung an die Elektrode angelegt wird, so daß die Bestandteile des Plasmas reagieren, um gasförmige Produkte zu bilden, welche aus der Behälterkammer abgepumpt werden können; und einen elektrostatischen Kollektor, der mit der Behälterkammer verbunden ist, um bestimmte elektrisch geladene Stoffe, die in Gasen vorhanden sein können, die durch die Behälterkammer strömen, einzusammeln.
  2. Vorrichtung nach Anspruch 1, dadurch gekennzeichnet, daß die Elektrode eine Spule umfaßt, die wenigstens einen Abschnitt der Behälterkammer umgibt.
  3. Vorrichtung nach Anspruch 2, dadurch gekennzeichnet, daß die Spule eine schraubenförmige Resonatorspule umfaßt.
  4. Vorrichtung nach Anspruch 3, dadurch gekennzeichnet, daß der Behälter aus einem isolierenden Material hergestellt ist.
  5. Vorrichtung nach Anspruch 4, dadurch gekennzeichnet, daß das isolierende Material ein keramisches Material ist.
  6. Vorrichtung nach Anspruch 1, dadurch gekennzeichnet, daß der elektrostatische Kollektor umfaßt: eine Spule, die innerhalb der Behälterkammer angebracht ist; einen Draht, der innerhalb der Spule angeordnet ist; und Mittel, die mit der Spule und dem Draht verbunden sind, um ein elektrisches Potential zwischen dem Draht und der Spule zu erzeugen.
  7. Vorrichtung nach Anspruch 6, dadurch gekennzeichnet, daß das elektrische Potential vorgespannt ist, um bestimmte Stoffe auf der Spule zu sammeln.
  8. Vorrichtung nach Anspruch 6, dadurch gekennzeichnet, daß das elektrische Potential vorgespannt ist, um bestimmte Stoffe auf dem Draht zu sammeln.
  9. Verfahren zum Minimieren der Abscheidung in einer Abgasleitung, die mit einer Halbleiterverarbeitungskammer verbunden ist, wobei das Verfahren die Schritte umfaßt: das Weiterleiten von Gasen, die von der Verarbeitungskammer ausgestoßen werden, durch eine Behälterkammer, die einen Gasdurchlaßweg bestimmt; Einfangen von bestimmten Stoffen, die in den ausgestoßenen Gasen vorhanden sind, innerhalb dem Gasdurchlaßweg mit einem elektrostatischen Kollektor; und Einleiten eines Plasmas in den Gasdurchlaßweg, um bestimmte Stoffe zu entfernen, die in der Behälterkammer abgeschieden oder eingefangen wurden.
  10. Verfahren zum Minimieren der Rückstände in einer Abgasleitung, die mit einer Verarbeitungskammer verbunden ist, in der Siliciumnitrid durch eine chemische; Dampfabscheidung auf einem Substrat abgeschieden worden ist, wobei von der Siliciumnitridabscheidung in der Abgasleitung, die mit der Verarbeitungskammer verbunden ist, Rückstände aufgebaut worden sein können, wobei das Verfahren die Schritte umfaßt: Pumpen von Gasen, die von der Verarbeitungskammer ausgestoßen werden, durch eine Behälterkammer, die eine Flüssigkeitsleitung mit einem Einlass und einem Auslaß bestimmt; Einsammeln von bestimmten Stoffen, die in den ausgestoßenen Gasen vorhanden sind, in einer Sammelkammer zwischen dem Einlaß und dem Auslaß des Behälters, wobei die Sammelschritte elektrostatische Kräfte benutzen, um die Partikel in der Sammelkammer einzusammeln; Bilden eines Plasmas durch Anlegen einer HF-Leistung an eine Spule, die die Sammelkammer umgibt, um die eingesammelten bestimmten Stoffe in gasförmige Produkte zu reagieren; Abpumpen der gasförmigen Produkte aus dem Behälter.
  11. Verfahren nach Anspruch 10, dadurch gekennzeichnet, daß der bestimmte Stoff teilweise reagierte Silicium-enthaltende Produkte und Nebenprodukte aus der Siliciumnitridabscheidung umfaßt.
  12. Verfahren nach Anspruch 11, dadurch gekennzeichnet, daß teilweise reagierte Silicium-enthaltende Produkte und Nebenprodukte SixNyHz, SixHy, SiOx und elementares Silicium enthalten.
  13. Verfahren nach Anspruch 10, dadurch gekennzeichnet, daß das Plasma während einem Kammerreinigungsbetrieb gebildet wird, indem ein reaktives Gas in die Verarbeitungskammer eingeleitet wird und durch den Behälter gepumpt wird, um das Material, das vom Siliciumnitrid-Abscheidungsschritt abgeschieden worden ist, wegzuätzen.
  14. Verfahren nach Anspruch 13, dadurch gekennzeichnet, daß die Plasmabildung wahlweise während dem Betrieb der Verarbeitungskammer ein- und ausgeschaltet wird.
  15. Verfahren nach Anspruch 14, dadurch gekennzeichnet, daß die Plasmabildung während der Abscheidung von einem Siliciumnitridfilm ausgeschaltet wird und während dem Kammerreinigungsbetrieb eingeschaltet wird.
  16. Verfahren nach Anspruch 15, dadurch gekennzeichnet, dass die Ein- und Ausschaltsequenz der Reihe nach zur Abscheidung von Siliciumnitridlagen auf einer Vielzahl von Wafern ausgeführt wird.
  17. Verfahren nach Anspruch 10, dadurch gekennzeichnet, dass die HF-Leistung kontinuierlich zu der Spule während der sequentiellen Abscheidung und der Reinigungsschritte auf einer Vielzahl von Wafern zugeführt wird.
DE69631349T 1995-09-25 1996-09-09 Reinigungsverfahren und Vorrichtung für Vakuumröhre in einem CVD-System Expired - Lifetime DE69631349T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/533,174 US6194628B1 (en) 1995-09-25 1995-09-25 Method and apparatus for cleaning a vacuum line in a CVD system
US533174 1995-09-25

Publications (2)

Publication Number Publication Date
DE69631349D1 DE69631349D1 (de) 2004-02-19
DE69631349T2 true DE69631349T2 (de) 2004-11-25

Family

ID=24124802

Family Applications (2)

Application Number Title Priority Date Filing Date
DE69617858T Expired - Lifetime DE69617858T2 (de) 1995-09-25 1996-09-09 Reinigungsverfahren und Vorrichtung für Vacuumröhre in einem CVD-System
DE69631349T Expired - Lifetime DE69631349T2 (de) 1995-09-25 1996-09-09 Reinigungsverfahren und Vorrichtung für Vakuumröhre in einem CVD-System

Family Applications Before (1)

Application Number Title Priority Date Filing Date
DE69617858T Expired - Lifetime DE69617858T2 (de) 1995-09-25 1996-09-09 Reinigungsverfahren und Vorrichtung für Vacuumröhre in einem CVD-System

Country Status (6)

Country Link
US (3) US6194628B1 (de)
EP (2) EP1132496B1 (de)
JP (1) JP3897382B2 (de)
KR (1) KR100303231B1 (de)
AT (1) ATE210742T1 (de)
DE (2) DE69617858T2 (de)

Families Citing this family (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6187072B1 (en) 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
JP3386651B2 (ja) * 1996-04-03 2003-03-17 株式会社東芝 半導体装置の製造方法および半導体製造装置
US6888040B1 (en) * 1996-06-28 2005-05-03 Lam Research Corporation Method and apparatus for abatement of reaction products from a vacuum processing chamber
US6156149A (en) * 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
JP3801730B2 (ja) 1997-05-09 2006-07-26 株式会社半導体エネルギー研究所 プラズマcvd装置及びそれを用いた薄膜形成方法
US6184489B1 (en) * 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
JP2002517740A (ja) * 1998-06-12 2002-06-18 オン−ライン テクノロジーズ インコーポレーテッド 処理室清浄またはウエハエッチング・エンドポイントの特定方法およびその装置
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6368567B2 (en) * 1998-10-07 2002-04-09 Applied Materials, Inc. Point-of-use exhaust by-product reactor
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US7438788B2 (en) * 1999-04-13 2008-10-21 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US7160421B2 (en) * 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7264698B2 (en) * 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US7189318B2 (en) * 1999-04-13 2007-03-13 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20030038035A1 (en) * 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US6916412B2 (en) * 1999-04-13 2005-07-12 Semitool, Inc. Adaptable electrochemical processing chamber
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
WO2000061837A1 (en) * 1999-04-13 2000-10-19 Semitool, Inc. Workpiece processor having processing chamber with improved processing fluid flow
JP3595190B2 (ja) * 1999-04-16 2004-12-02 株式会社日立製作所 半導体の製造方法及び半導体製造装置
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6354241B1 (en) 1999-07-15 2002-03-12 Applied Materials, Inc. Heated electrostatic particle trap for in-situ vacuum line cleaning of a substrated processing
US6255222B1 (en) 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
JP2001284267A (ja) * 2000-04-03 2001-10-12 Canon Inc 排気処理方法、プラズマ処理方法及びプラズマ処理装置
US20050183959A1 (en) * 2000-04-13 2005-08-25 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectric workpiece
US6576202B1 (en) 2000-04-21 2003-06-10 Kin-Chung Ray Chiu Highly efficient compact capacitance coupled plasma reactor/generator and method
US6863019B2 (en) 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
US6747734B1 (en) 2000-07-08 2004-06-08 Semitool, Inc. Apparatus and method for processing a microelectronic workpiece using metrology
US6428673B1 (en) 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
AU2001282879A1 (en) * 2000-07-08 2002-01-21 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
JP2002217118A (ja) * 2001-01-22 2002-08-02 Japan Pionics Co Ltd 窒化ガリウム膜半導体の製造装置、排ガス浄化装置、及び製造設備
US20050061676A1 (en) * 2001-03-12 2005-03-24 Wilson Gregory J. System for electrochemically processing a workpiece
US6733827B2 (en) * 2001-04-11 2004-05-11 The Procter & Gamble Co. Processes for manufacturing particles coated with activated lignosulfonate
EP1481114A4 (de) * 2001-08-31 2005-06-22 Semitool Inc Vorrichtung und verfahren zur elektrochemischen verarbeitung von mikroelektronischen werkstücken
JP4374814B2 (ja) * 2001-09-20 2009-12-02 株式会社日立製作所 過弗化物処理の処理方法
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US6800172B2 (en) * 2002-02-22 2004-10-05 Micron Technology, Inc. Interfacial structure for semiconductor substrate processing chambers and substrate transfer chambers and for semiconductor substrate processing chambers and accessory attachments, and semiconductor substrate processor
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6858264B2 (en) * 2002-04-24 2005-02-22 Micron Technology, Inc. Chemical vapor deposition methods
US6814813B2 (en) 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
WO2004004887A1 (en) * 2002-07-03 2004-01-15 Athanasios Nikolaou Method for the treatment of the organic and/or the inorganic matter for the modifying its physicochemical properties
EP1384505A1 (de) * 2002-07-03 2004-01-28 Athanasios tou Panagioti, Nikolaou Verfahren zur Behandlung von einem organischen und/oder inorganischen Stoff zur Änderung seiner physicochemischen Eigenschaften
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20040108212A1 (en) * 2002-12-06 2004-06-10 Lyndon Graham Apparatus and methods for transferring heat during chemical processing of microelectronic workpieces
KR100505670B1 (ko) * 2003-02-05 2005-08-03 삼성전자주식회사 부산물 제거용 고온 유체 공급 장치를 구비한 반도체 소자제조 장치
US6926775B2 (en) * 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US20050022735A1 (en) * 2003-07-31 2005-02-03 General Electric Company Delivery system for PECVD powered electrode
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20050155625A1 (en) * 2004-01-20 2005-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber cleaning method
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US7553353B2 (en) * 2004-02-11 2009-06-30 Jean-Pierre Lepage System for treating contaminated gas
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
KR100615603B1 (ko) * 2004-10-18 2006-08-25 삼성전자주식회사 반도체 제조용 확산 설비의 확산로 세정 방법 및 세정용보조구
US7317606B2 (en) * 2004-12-10 2008-01-08 Applied Materials, Israel, Ltd. Particle trap for electrostatic chuck
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US8193096B2 (en) * 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US9627184B2 (en) * 2005-01-26 2017-04-18 Tokyo Electron Limited Cleaning method of processing apparatus, program for performing the method, and storage medium for storing the program
US20060162742A1 (en) * 2005-01-26 2006-07-27 Tokyo Electron Limited Cleaning method of processing apparatus, program for performing the method, and storage medium for storing the program
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7354288B2 (en) * 2005-06-03 2008-04-08 Applied Materials, Inc. Substrate support with clamping electrical connector
TWI336901B (en) * 2006-03-10 2011-02-01 Au Optronics Corp Low-pressure process apparatus
EP1994456A4 (de) * 2006-03-16 2010-05-19 Applied Materials Inc Verfahren und vorrichtung zur drucksteuerung in herstellungssystemen für elektronische geräte
US20070267143A1 (en) * 2006-05-16 2007-11-22 Applied Materials, Inc. In situ cleaning of CVD system exhaust
KR101213689B1 (ko) * 2006-06-12 2012-12-18 주식회사 테라텍 반도체 및 lcd 제조장치의 공정 반응 챔버의 배기부 및진공펌프의 세정장치
US20080047578A1 (en) * 2006-08-24 2008-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing clogging of reaction chamber exhaust lines
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US20080124670A1 (en) * 2006-11-29 2008-05-29 Frank Jansen Inductively heated trap
US20080157007A1 (en) * 2006-12-27 2008-07-03 Varian Semiconductor Equipment Associates, Inc. Active particle trapping for process control
KR100851236B1 (ko) * 2007-03-06 2008-08-20 피에스케이 주식회사 배기장치 및 이를 포함하는 기판처리장치, 그리고 배기방법
DE102007016026A1 (de) * 2007-03-30 2008-10-02 Sig Technology Ag Vakuumbeschichtungsanlage mit Abscheidevorrichtung im Restgasstrang
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
JP5069531B2 (ja) * 2007-09-28 2012-11-07 富士フイルム株式会社 窒化シリコン膜の形成方法
US8016945B2 (en) * 2007-12-21 2011-09-13 Applied Materials, Inc. Hafnium oxide ALD process
CN101981661A (zh) * 2008-02-11 2011-02-23 高级技术材料公司 在半导体处理系统中离子源的清洗
US20090261839A1 (en) * 2008-03-14 2009-10-22 Turner Terry R Effluent impedance based endpoint detection
US9997325B2 (en) * 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
JP5460982B2 (ja) * 2008-07-30 2014-04-02 東京エレクトロン株式会社 弁体、粒子進入阻止機構、排気制御装置及び基板処理装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
JP5698950B2 (ja) 2009-10-23 2015-04-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
US20110143548A1 (en) * 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
KR101770008B1 (ko) * 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
JP5948040B2 (ja) 2010-11-04 2016-07-06 株式会社半導体エネルギー研究所 結晶性半導体膜の作製方法及び半導体装置の作製方法
US20120222618A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Dual plasma source, lamp heated plasma chamber
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9867238B2 (en) 2012-04-26 2018-01-09 Applied Materials, Inc. Apparatus for treating an exhaust gas in a foreline
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
TWI647004B (zh) 2014-04-30 2019-01-11 南韓商韓國機械研究院 減少有害物質之電漿反應器
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
KR102477302B1 (ko) 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US10535506B2 (en) 2016-01-13 2020-01-14 Mks Instruments, Inc. Method and apparatus for deposition cleaning in a pumping line
US20190035607A1 (en) * 2016-01-26 2019-01-31 Jusung Engineering Co., Ltd. Substrate processing apparatus
WO2017136216A1 (en) * 2016-02-01 2017-08-10 Retro-Semi Technologies, Llc Cleaning apparatus for an exhaust path of a process reaction chamber
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system
US10730082B2 (en) * 2016-10-26 2020-08-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for differential in situ cleaning
CN111069192A (zh) * 2018-10-22 2020-04-28 北京北方华创微电子装备有限公司 原位清洗装置和半导体处理设备
US11517831B2 (en) 2019-06-25 2022-12-06 George Andrew Rabroker Abatement system for pyrophoric chemicals and method of use
US11745229B2 (en) 2020-08-11 2023-09-05 Mks Instruments, Inc. Endpoint detection of deposition cleaning in a pumping line and a processing chamber
US20220170151A1 (en) * 2020-12-01 2022-06-02 Applied Materials, Inc. Actively cooled foreline trap to reduce throttle valve drift
US11664197B2 (en) 2021-08-02 2023-05-30 Mks Instruments, Inc. Method and apparatus for plasma generation

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS51129868A (en) 1975-05-07 1976-11-11 Fujitsu Ltd A process for treatment of waste gas
JPS5278176A (en) 1975-12-25 1977-07-01 Chiyoda R & D Discharge reactor for gases
WO1980001363A1 (en) 1978-12-29 1980-07-10 Ncr Co Lpcvd systems having in situ plasma cleaning
JPS58101722A (ja) 1981-12-10 1983-06-17 Fujitsu Ltd 排気ガス処理装置
JPS59181619A (ja) 1983-03-31 1984-10-16 Toshiba Corp 反応性イオンエツチング装置
JPS60114570A (ja) * 1983-11-25 1985-06-21 Canon Inc プラズマcvd装置の排気系
DE3414121A1 (de) 1984-04-14 1985-10-24 Brown, Boveri & Cie Ag, 6800 Mannheim Verfahren und vorrichtung zur reinigung von abgasen
US4657738A (en) 1984-04-30 1987-04-14 Westinghouse Electric Corp. Stack gas emissions control system
JPS60234313A (ja) 1984-05-07 1985-11-21 Hitachi Ltd プラズマ処理装置
US5137701A (en) 1984-09-17 1992-08-11 Mundt Randall S Apparatus and method for eliminating unwanted materials from a gas flow line
JPS6328869A (ja) 1986-07-22 1988-02-06 Nec Corp Cvd装置
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPH0757297B2 (ja) 1987-04-22 1995-06-21 日本真空技術株式会社 真空排気系用微粒子トラツプ
US4735633A (en) 1987-06-23 1988-04-05 Chiu Kin Chung R Method and system for vapor extraction from gases
JPH01171227A (ja) * 1987-12-25 1989-07-06 Matsushita Electric Ind Co Ltd Cvd方法
JP2671009B2 (ja) 1988-05-13 1997-10-29 増田 佳子 超微粒子の回収方法及びその回収装置
JPH02125876A (ja) 1988-11-01 1990-05-14 Fujitsu Ltd Cvd装置の排気機構
US5141714A (en) 1989-08-01 1992-08-25 Kabushiki Kaisha Riken Exhaust gas cleaner
JPH03253571A (ja) * 1990-03-02 1991-11-12 Hitachi Ltd 排気装置および化学気相成長装置
EP0454346A1 (de) 1990-04-21 1991-10-30 United Kingdom Atomic Energy Authority Filter für Teilchen in Auspuffgas
JPH04136175A (ja) 1990-09-26 1992-05-11 Matsushita Electric Ind Co Ltd 薄膜形成装置
US5451378A (en) 1991-02-21 1995-09-19 The United States Of America As Represented By The Secretary Of The Navy Photon controlled decomposition of nonhydrolyzable ambients
US5211729A (en) 1991-08-30 1993-05-18 Sematech, Inc. Baffle/settling chamber for a chemical vapor deposition equipment
US5279669A (en) 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
JPH05202474A (ja) 1992-01-24 1993-08-10 Hitachi Electron Eng Co Ltd Cvd装置の排気ガスの異物捕獲方法
US5323013A (en) 1992-03-31 1994-06-21 The United States Of America As Represented By The Secretary Of The Navy Method of rapid sample handling for laser processing
US5417826A (en) 1992-06-15 1995-05-23 Micron Technology, Inc. Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors
JP3111663B2 (ja) * 1992-07-28 2000-11-27 ソニー株式会社 プラズマ装置
DE4319118A1 (de) 1993-06-09 1994-12-15 Breitbarth Friedrich Wilhelm D Verfahren und Vorrichtung zur Entsorgung von Fluorkohlenstoffen und anderen fluorhaltigen Verbindungen
US5453125A (en) * 1994-02-17 1995-09-26 Krogh; Ole D. ECR plasma source for gas abatement
JPH0910544A (ja) 1995-06-27 1997-01-14 Alpha Tec:Kk 除害装置及び成膜装置及びエッチング装置
US6045618A (en) 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
KR100219036B1 (ko) 1996-09-30 1999-09-01 이계철 저전압형 모스펫 콘트롤링 곱셈기
US5827370A (en) * 1997-01-13 1998-10-27 Mks Instruments, Inc. Method and apparatus for reducing build-up of material on inner surface of tube downstream from a reaction furnace

Also Published As

Publication number Publication date
EP1132496B1 (de) 2004-01-14
EP1132496A1 (de) 2001-09-12
JP3897382B2 (ja) 2007-03-22
EP0767254B1 (de) 2001-12-12
ATE210742T1 (de) 2001-12-15
EP0767254A1 (de) 1997-04-09
US20010016674A1 (en) 2001-08-23
US6689930B1 (en) 2004-02-10
JPH09181063A (ja) 1997-07-11
US6194628B1 (en) 2001-02-27
DE69631349D1 (de) 2004-02-19
DE69617858T2 (de) 2002-08-29
DE69617858D1 (de) 2002-01-24
US6680420B2 (en) 2004-01-20
KR970018008A (ko) 1997-04-30
KR100303231B1 (ko) 2001-11-30

Similar Documents

Publication Publication Date Title
DE69631349T2 (de) Reinigungsverfahren und Vorrichtung für Vakuumröhre in einem CVD-System
US6517913B1 (en) Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6193802B1 (en) Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6045618A (en) Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US5118942A (en) Electrostatic charging apparatus and method
DE112007001223T5 (de) In-situ Reinigung eines CVD-Systemablasses
DE1950532A1 (de) Verfahren zur Aufbereitung von fluiden Stroemen
DE2531812B2 (de) Gasentladungsgerät
EP1337281B1 (de) Verfahren und vorrichtung zur oberflächenbehandlung von objekten
EP0504452A1 (de) Verfahren und Einrichtung zur Aufladung von Partikeln
KR19990087056A (ko) 화학증착방법 및 증착장치
US5779991A (en) Apparatus for destroying hazardous compounds in a gas stream
DE102007042436B3 (de) Verfahren und Vorrichtung zur Auf-, Um- oder Entladung von Aerosolpartikeln durch Ionen, insbesondere in einen diffusionsbasierten bipolaren Gleichgewichtszustand
DE4421103A1 (de) Verfahren und Vorrichtung zur plasmagestützten Abscheidung dünner Schichten
DE10141142B4 (de) Einrichtung zur reaktiven Plasmabehandlung von Substraten und Verfahren zur Anwendung
DE102022105526A1 (de) CVD-Vorrichtung sowie Verfahren zum Reinigen einer Prozesskammer einer CVD-Vorrichtung
DE19923018C2 (de) Vorrichtung zur Bearbeitung bandförmiger Werkstücke mit Hilfe resonanter Hochfrequenzplasmen
DD281425A5 (de) Vorrichtung zur plasmagestuetzten abscheidung oder abtragung duenner schichten mit zylinderfoermiger elektrodenanordnung
EP2347427A2 (de) Vhf-anordnung
JPH10309431A (ja) ガスシステムにおける汚染ガス中の危険な化合物を分解する装置
CA2189492A1 (en) Apparatus for destroying hazardous compounds in a gas stream

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8328 Change in the person/name/address of the agent

Representative=s name: PUSCHMANN & BORCHERT, 82041 OBERHACHING