KR970018008A - Cvd 시스템 진공 라인의 세척 방법 및 장치 - Google Patents

Cvd 시스템 진공 라인의 세척 방법 및 장치 Download PDF

Info

Publication number
KR970018008A
KR970018008A KR1019960041540A KR19960041540A KR970018008A KR 970018008 A KR970018008 A KR 970018008A KR 1019960041540 A KR1019960041540 A KR 1019960041540A KR 19960041540 A KR19960041540 A KR 19960041540A KR 970018008 A KR970018008 A KR 970018008A
Authority
KR
South Korea
Prior art keywords
chamber
vessel
gas
particles
passage
Prior art date
Application number
KR1019960041540A
Other languages
English (en)
Other versions
KR100303231B1 (ko
Inventor
벤팽
청 데이빗
엔. 테일러 쥬니어 윌리암
라우 세바스티앙
포도 마크
Original Assignee
제임스 조셉 드롱
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 제임스 조셉 드롱, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 제임스 조셉 드롱
Publication of KR970018008A publication Critical patent/KR970018008A/ko
Application granted granted Critical
Publication of KR100303231B1 publication Critical patent/KR100303231B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/24Stationary reactors without moving elements inside
    • B01J19/248Reactors comprising multiple separated flow channels
    • B01J19/249Plate-type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2451Geometry of the reactor
    • B01J2219/2453Plates arranged in parallel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2451Geometry of the reactor
    • B01J2219/2456Geometry of the plates
    • B01J2219/2458Flat plates, i.e. plates which are not corrugated or otherwise structured, e.g. plates with cylindrical shape
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2461Heat exchange aspects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2461Heat exchange aspects
    • B01J2219/2467Additional heat exchange means, e.g. electric resistance heaters, coils
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2469Feeding means
    • B01J2219/247Feeding means for the reactants
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2474Mixing means, e.g. fins or baffles attached to the plates
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2475Separation means, e.g. membranes inside the reactor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2476Construction materials
    • B01J2219/2483Construction materials of the plates
    • B01J2219/2487Ceramics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2491Other constructional details
    • B01J2219/2492Assembling means
    • B01J2219/2493Means for assembling plates together, e.g. sealing means, screws, bolts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2491Other constructional details
    • B01J2219/2492Assembling means
    • B01J2219/2496Means for assembling modules together, e.g. casings, holders, fluidic connectors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Abstract

입자성 물질과 잔류물이 반도체 공정 장치의 진공 배기 라인 내부에 누적되는 것을 방지해주는 장치. 이 장치는 RF 에너지를 사용하여 반도체 공정실로부터 배기되는 입자성 물질의 구성 요소들을 플래즈마 상태로 들뜨게 함으로써, 그 구성 요소들이 반응하여 진공 라인을 통해서 펌프될 수 있는 기체 생성물을 형성함에 있다. 이 장치는, 장치를 통과하는 입자성 물질들을 수집함으로써 그 장치로부터 입자성 물질이 배출되는 것을 금지하도록 구성, 배열된 콜렉션 챔버를 포함한다. 이 장치는 그 밖에도, 콜렉션 챔버 내에서의 입자 수집을 강화하여, 입자의 배출을 더욱 금지시키는 정전 콜렉터를 포함할 수가 있다.

Description

CVD 시스템 진공 라인의 세척/ 방법 및 장치
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제4도는 본 발명의 진공 라인 세척 장치에 관한 첫번째 실시예의 측단면도이다.

Claims (39)

  1. 배기 라인 내부에 증착되는 것을 최소화 할 수 있으며, 입구와 출구의 포트가 있는 한개의 용기 챔버와, 특정한 전압이 적용될 때 용기 챔버 내 입자들을 플래즈마 상태로 들뜨게 함으로써 플래즈마의 구성 요소들이 반응하여 용기 챔버를 통해 나갈 수 있는 기제 생성물을 형성할 수 있게 해주는 용기 챔버에 연결된 전극으로 구성된 장치.
  2. 제1항에 있어서, 용기 챔버의 적어도 일부를 둘러싸는 코일이 전극을 이루는 장치.
  3. 제2항에 있어서, 나선형 공전 코일이 코일을 이루는 장치.
  4. 제3항에 있어서, 용기 챔버가 절연재로 만들어진 장치.
  5. 제4항에 있어서, 절연제가 세라믹 물질인 장치.
  6. 제3항에 있어서, 용기 챔버를 통과하는 기체의 첫번째 방향으로 경로를 정해주는 첫번째 통로 및 첫번째 방향과 다른 두번째 방향으로 경로를 정해주는 두번째 통로 또한 기체가 용기 챔버 내로 들어간 후 입구 포트의 통과, 첫번째 통로의 통과, 두번째 통로의 통과 후 출구 포트를 통하여 용기 챔버를 나가도록 배열된 첫 번째와 두번째의 통로로 이루어진 용기 챔버를 갖춘 장치.
  7. 제6항에 있어서, 용기 챔버를 통과하는 기체에 포함된 입자들을 포획할 수 있는 입자 수집 에리어를 형성하도록 첫번째와 두번째 통로가 설계된 장치.
  8. 제7항에 있어서, 첫번째 방향이 거의 확실하게 두번째 방향의 반대편으로 되어 있는 장치.
  9. 제3항에 있어서, 용기의 챔버를 통과하는 기체에 포함되어 있는 전하된 입자들을 수집할 수 있는, 용기 챔버와 결합된 정전 콜렉터로 이루어진 장치.
  10. 제9항에 있어서, 용기 챔버의 내부에 위치한 코일, 코일 내부에 위치한 와이어 및 코일과 와이어에 결합됨으로써, 와이어와 코일 사이에 전위를 형성할 수 있는 수단으로 이루어진 정진 콜렉터를 갖춘 장치.
  11. 제10항에 있어서, 그 전위가 코일에 입자들을 수집하도록 바이어스된 장치.
  12. 제10항에 있어서, 그 전위가 와이어에 입자들을 수집하도록 바이어스된 장치.
  13. 배기 라인 내부에 증착되는 것을 최소화할 수 있으며, 입구, 출구 및 입구와 출구 사이에 있는 콜렉선 챔버를 갖춘 유체 배기관, 유체 배기관을 통해 나가는 기체에서 입자들을 수집하며, 콜렉선 챔버로부터 입자가 유출되는 것을 방지하도록 구성, 배열된 콜렉선 챔버, 콜렉션 챔버를 적어도 일부 둘러싸고 있는 코일, 플래즈마의 구성 요소들이 반응하여 콜렉선 챔버 막으로 펌프될 수 있는 기체 생성물을 만들어내는 플래즈마를 형성하는데 필요한 RF 전력을 공급하며 코일과 결합되어 작동하는 수단 등으로 이루어진 장치.
  14. 제13항에 있어서, 용기가 콜렉션 챔버와 출구를 이어주는 기체의 통로를 결정시키며, 기체의 통로는 챔버로부터 입자의 유출을 방지하도록 콜렉선 챔버에서 적어도 일부분이 위로 향하도록 되어 있는 장치.
  15. 제14항에 있어서, 유체 배기관의 입구와 통해 있는 첫번째 하단의 벽과, 첫번째 벽과 연속되며 위쪽방향으로 연장되어 있는 두번째의 주변의 벽으로 결정되는 콜렉선 챔버를 갖춘 장치.
  16. 제15항에 있어서, 유체 배기관 입구와 통해 있는 안쪽 루멘을 결정해주며, 콜렉션 챔버의 첫번째 벽위로 수직되게 위치하고, 그 벽과 통해 있으며 입자들을 챔버로 보내는데 사용되는 하단의 개구를 갖춘 축 및 축의 주위 경계를 정하고 축과 벽 사이에 생기는 환형의 유체 통로를 결정해주며, 유체 배기관과 통해 있는 주변의 벽으로 이루어진 용기를 갖춘 장치.
  17. 제16항에 있어서, 기체 생성물을 용기 내에서 내보내는데 쓰이며, 환형의 유체 통로와 통해 있는 출구 포트를 결정해주는 주변의 벽 및 콜렉션 챔버보다 수직 방향으로 위에 위치한 출구 포트를 갖춘 장치.
  18. 제16항에 있어서, 그 외에도 축과 콜렉션 챔버를 둘러싸고 있는 바깥쪽의 하우징(몸체), 환형의 수직 유체 통로와 통해 있는 수용 챔버 및 용기에서 기체 생성물을 내보내는데 사용되며 수용 챔버와 통해 있는 출구 포트를 결정하는 바깥쪽의 하우징, 그리고 콜렉션 챔버보다 수직으로 밑에 위치한 출구 포트로 이루어진 장치.
  19. 제14항에 있어서, 그 외에도 용기 챔버를 통과하는 기체에 포함되어 있는 부하된 입자들을 수집하며, 용기 챔버와 결합되어 있는 정전 콜렉터를 갖춘 장치.
  20. 제19항에 있어서, 정전 콜렉터가 입자 콜렉션 에리어 내부에 위치한 장치.
  21. 유출되는 기체로부터 입자들을 포획 제거하며, 입구 포트와 출구 포트가 있으며 유출 기체의 통로를 결정해주는 용기 챔버와 기체 통로의 적어도 일부분을 둘러싸는 코일 그리고 코일과 결합하며 또 그 코일에 RF 전력을 공급하여, 기체 통로 내에 존재하는 물질로 플래즈마를 형성한 후, 그 플래즈마의 구성 요소들을 반응시켜 기체 생성물을 형성할 수 있게 해주는 수단으로 이루어진 장치.
  22. 제21항에 있어서, 중력과 함께 작용하여 입자 콜렉션 에리어를 만들어 내도록 설계된 안쪽의 통로를 포함하는 용기 챔버를 갖춘 장치.
  23. 제22항에 있어서, 그 외에도 유출 가스에 있는 부하된 입자들을 수집하는 용기 챔버에 결합된 정전 콜렉터를 포함하는 장치.
  24. 제23항에 있어서, 정전 콜렉터가 입자 콜렉션 에리어 내부에 위치한 장치.
  25. 공정실을 형성하며, 그 공정실로부터 나오는 배기 가스의 배기용 출구를 갖춘 하우징, 하우징 내부에 위치하며 기판이 놓여지는 서셉터, 기체들을 공정실로 도입시키는데 필요한 기체 분배 시스템, 기판에 열을 가해주는 히터, 그 공정실 내부의 압력을 조정하는데 필요한 진공 시스템, 배기 포트 및 진공 시스템과 결합되며 공정실에서 나오는 기체들이 통과해나가는 배기 라인, 배기 라인 내에 증착물이 누적되는 것을 방지하기 위하여 공정실에서 나오는 기체에 존재하는 입자들을 포획, 제거하는데 사용되며, 배기 가스가 통해 나가는 통로를 결정하는 용기 챔버로 이루어지는 배기 라인과 결합된 입자 콜렉터, 기체 통로의 적어도 한 부분을 둘러싸고 있는 코일 및 RF 진력을 코일에 공급하여 기체 통로 내부에 증착되거나 포획된 입자들을 제거해주는 플래즈마 형성에 필요하며 코일과 결합되어 있는 RF 전원 장치 등으로 이루어진 반도체 공정 장치.
  26. 반도체 공정실에 연결된 배기 라인 내부에 증착되는 것을 최소화하며, 공정실에서 배기되는 가스가 용기 챔버를 통해 홀러나가며, 그로 인해 기체의 통로가 결정되는 단계 및 용기 챔버 내부에 증착되거나 포획된 입자들을 제거할 목적으로 기체 통로 내의 플래즈마와 부딪치게 하는 단계로 이루어진 방법.
  27. 제26항에 있어서, 중력과 함께 작용하여 배기 가스에 존재하는 입자들을 기체 통로 내부에 포획하여 수용하도록 설계된 기체 통로가 있는, 용기 챔버를 통해서 배기 가스가 나가게 되는 방법.
  28. 제27항에 있어서, 그 외에도 배기 가스에 존재하는 입자들을 정전 콜렉터를 이용하여 기체 통로 내부에 포획하는 단계를 포함하는 방법.
  29. 질화 규소가 화학 증착법에 의해 기판에 증착이 이루어지는 공정실 내에서, 질화 규소 증착으로 인하여 공정실에 결합된 배기 라인 내에 누적될 수 있는 잔류물을 최소화시키며, 공정실에서 배기되는 기체를, 입구와 출구가 있는 유체 배기관을 결정해주는 용기를 통해서 펌프하는 단계, 배기 가스에 존재하는 입자들을 용기의 입구와 출구 사이에 있는 콜렉션 챔버 내에 수집하는 단계, 수집된 입자들을 반응시켜 기체 생성물로 만들 목적으로 RF 전력을 콜렉션 챔버를 둘러싸고 있는 코일에 적용하여 플래즈마를 형성하는 단계 및 용기로부터 기체 생성물을 펌프해 보내는 단계들로 이루어진 방법.
  30. 제29항에 있어서, 질화 규소 증착 과정에서 생기는 일부만 반응된 규소를 포함하는 생성물과 부산물이 입자들을 이루는 방법.
  31. 제30항에 있어서, 일부만 반응된 규소를 포함하는 생성물과 부산물이 SixNyHz, SixHy, SiOx및 규소 원소로 이루어지는 방법.
  32. 제29항에 있어서, 반응체 기체가 공정실로 도입된 후 용기로 펌프되어, 질화 규소 증착 단계로 인하여 증착되는 물질을 부식 및 제거하는 공정실 세척 작업 동안 플래즈마가 형성되는 방법.
  33. 제32항에 있어서, 공정실의 세척 작업중 플래즈마의 형성을 임의로 켜거나 끄는 방법.
  34. 제33항에 있어서, 질화 규소 막의 증착 중에는 플래즈마의 형성 작업이 꺼지고(OFF), 공정실 세척 작업 동안에는 켜지는(ON) 방법.
  35. 제34항에 있어서, 다수의 웨이퍼에 질화 규소층을 증착시킬 목적으로 플래즈마 형성 작업을 켜거나 끄는 순서가 차례대로 이루어지는 방법.
  36. 제29항에 있어서, 다수의 웨이퍼를 사용하는 순서적인 증착 및 세척 단계중 RF 전력이 코일에 계속적으로 공급되는 방법.
  37. 제29항에 있어서, 중력을 사용하여 입자들을 콜렉션 챔버에 모으는 수집 단계로 된 방법.
  38. 제29항에 있어서, 정전력을 사용하여 입자들을 콜렉션 챔버에 모으는 수집단계로 된 방법.
  39. 제29항에 있어서, 중력과 정전력을 사용하여 입자들을 콜렉션 챔버에 모으는 수집 단계로 된 방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019960041540A 1995-09-25 1996-09-23 Cvd시스템진공라인의세척방법및장치 KR100303231B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/533,174 US6194628B1 (en) 1995-09-25 1995-09-25 Method and apparatus for cleaning a vacuum line in a CVD system
US08/533,174 1995-09-25

Publications (2)

Publication Number Publication Date
KR970018008A true KR970018008A (ko) 1997-04-30
KR100303231B1 KR100303231B1 (ko) 2001-11-30

Family

ID=24124802

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960041540A KR100303231B1 (ko) 1995-09-25 1996-09-23 Cvd시스템진공라인의세척방법및장치

Country Status (6)

Country Link
US (3) US6194628B1 (ko)
EP (2) EP1132496B1 (ko)
JP (1) JP3897382B2 (ko)
KR (1) KR100303231B1 (ko)
AT (1) ATE210742T1 (ko)
DE (2) DE69631349T2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6423176B1 (en) 1998-04-13 2002-07-23 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
KR100615603B1 (ko) * 2004-10-18 2006-08-25 삼성전자주식회사 반도체 제조용 확산 설비의 확산로 세정 방법 및 세정용보조구

Families Citing this family (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6187072B1 (en) 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
JP3386651B2 (ja) * 1996-04-03 2003-03-17 株式会社東芝 半導体装置の製造方法および半導体製造装置
US6156149A (en) * 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US6888040B1 (en) * 1996-06-28 2005-05-03 Lam Research Corporation Method and apparatus for abatement of reaction products from a vacuum processing chamber
JP3801730B2 (ja) 1997-05-09 2006-07-26 株式会社半導体エネルギー研究所 プラズマcvd装置及びそれを用いた薄膜形成方法
JP2002517740A (ja) * 1998-06-12 2002-06-18 オン−ライン テクノロジーズ インコーポレーテッド 処理室清浄またはウエハエッチング・エンドポイントの特定方法およびその装置
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6368567B2 (en) * 1998-10-07 2002-04-09 Applied Materials, Inc. Point-of-use exhaust by-product reactor
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US7264698B2 (en) * 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US6916412B2 (en) * 1999-04-13 2005-07-12 Semitool, Inc. Adaptable electrochemical processing chamber
US7189318B2 (en) * 1999-04-13 2007-03-13 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
KR100695660B1 (ko) * 1999-04-13 2007-03-19 세미툴 인코포레이티드 개선된 처리 유체 유동을 갖는 처리 챔버를 구비하는가공편 프로세서
US7160421B2 (en) * 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20030038035A1 (en) * 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7438788B2 (en) * 1999-04-13 2008-10-21 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
JP3595190B2 (ja) * 1999-04-16 2004-12-02 株式会社日立製作所 半導体の製造方法及び半導体製造装置
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6354241B1 (en) 1999-07-15 2002-03-12 Applied Materials, Inc. Heated electrostatic particle trap for in-situ vacuum line cleaning of a substrated processing
US6255222B1 (en) * 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
JP2001284267A (ja) * 2000-04-03 2001-10-12 Canon Inc 排気処理方法、プラズマ処理方法及びプラズマ処理装置
US20050183959A1 (en) * 2000-04-13 2005-08-25 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectric workpiece
US6576202B1 (en) 2000-04-21 2003-06-10 Kin-Chung Ray Chiu Highly efficient compact capacitance coupled plasma reactor/generator and method
US6863019B2 (en) 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
US6428673B1 (en) * 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US6747734B1 (en) 2000-07-08 2004-06-08 Semitool, Inc. Apparatus and method for processing a microelectronic workpiece using metrology
AU2001282879A1 (en) * 2000-07-08 2002-01-21 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
JP2002217118A (ja) * 2001-01-22 2002-08-02 Japan Pionics Co Ltd 窒化ガリウム膜半導体の製造装置、排ガス浄化装置、及び製造設備
US20050061676A1 (en) * 2001-03-12 2005-03-24 Wilson Gregory J. System for electrochemically processing a workpiece
US6733827B2 (en) * 2001-04-11 2004-05-11 The Procter & Gamble Co. Processes for manufacturing particles coated with activated lignosulfonate
US7090751B2 (en) * 2001-08-31 2006-08-15 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
JP4374814B2 (ja) * 2001-09-20 2009-12-02 株式会社日立製作所 過弗化物処理の処理方法
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US6800172B2 (en) * 2002-02-22 2004-10-05 Micron Technology, Inc. Interfacial structure for semiconductor substrate processing chambers and substrate transfer chambers and for semiconductor substrate processing chambers and accessory attachments, and semiconductor substrate processor
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6814813B2 (en) 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
US6858264B2 (en) * 2002-04-24 2005-02-22 Micron Technology, Inc. Chemical vapor deposition methods
AU2003242865A1 (en) * 2002-07-03 2004-01-23 Athanasios Nikolaou Method for the treatment of the organic and/or the inorganic matter for the modifying its physicochemical properties
EP1384505A1 (en) * 2002-07-03 2004-01-28 Athanasios tou Panagioti, Nikolaou Elaboration method of an organic or/and inorganic substance for modifying its physico-chemical properties
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20040108212A1 (en) * 2002-12-06 2004-06-10 Lyndon Graham Apparatus and methods for transferring heat during chemical processing of microelectronic workpieces
KR100505670B1 (ko) * 2003-02-05 2005-08-03 삼성전자주식회사 부산물 제거용 고온 유체 공급 장치를 구비한 반도체 소자제조 장치
US6926775B2 (en) * 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US20050022735A1 (en) * 2003-07-31 2005-02-03 General Electric Company Delivery system for PECVD powered electrode
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20050155625A1 (en) * 2004-01-20 2005-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber cleaning method
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
WO2005077523A1 (en) * 2004-02-11 2005-08-25 Jean-Pierre Lepage System for treating contaminated gas
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) * 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7317606B2 (en) * 2004-12-10 2008-01-08 Applied Materials, Israel, Ltd. Particle trap for electrostatic chuck
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US9627184B2 (en) * 2005-01-26 2017-04-18 Tokyo Electron Limited Cleaning method of processing apparatus, program for performing the method, and storage medium for storing the program
US20060162742A1 (en) * 2005-01-26 2006-07-27 Tokyo Electron Limited Cleaning method of processing apparatus, program for performing the method, and storage medium for storing the program
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7354288B2 (en) * 2005-06-03 2008-04-08 Applied Materials, Inc. Substrate support with clamping electrical connector
TWI336901B (en) * 2006-03-10 2011-02-01 Au Optronics Corp Low-pressure process apparatus
US7532952B2 (en) * 2006-03-16 2009-05-12 Applied Materials, Inc. Methods and apparatus for pressure control in electronic device manufacturing systems
US20070267143A1 (en) * 2006-05-16 2007-11-22 Applied Materials, Inc. In situ cleaning of CVD system exhaust
KR101213689B1 (ko) * 2006-06-12 2012-12-18 주식회사 테라텍 반도체 및 lcd 제조장치의 공정 반응 챔버의 배기부 및진공펌프의 세정장치
US20080047578A1 (en) * 2006-08-24 2008-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing clogging of reaction chamber exhaust lines
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US20080124670A1 (en) * 2006-11-29 2008-05-29 Frank Jansen Inductively heated trap
US20080157007A1 (en) * 2006-12-27 2008-07-03 Varian Semiconductor Equipment Associates, Inc. Active particle trapping for process control
KR100851236B1 (ko) * 2007-03-06 2008-08-20 피에스케이 주식회사 배기장치 및 이를 포함하는 기판처리장치, 그리고 배기방법
DE102007016026A1 (de) * 2007-03-30 2008-10-02 Sig Technology Ag Vakuumbeschichtungsanlage mit Abscheidevorrichtung im Restgasstrang
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
JP5069531B2 (ja) * 2007-09-28 2012-11-07 富士フイルム株式会社 窒化シリコン膜の形成方法
US8016945B2 (en) * 2007-12-21 2011-09-13 Applied Materials, Inc. Hafnium oxide ALD process
CN101981661A (zh) * 2008-02-11 2011-02-23 高级技术材料公司 在半导体处理系统中离子源的清洗
US20090261839A1 (en) * 2008-03-14 2009-10-22 Turner Terry R Effluent impedance based endpoint detection
US9997325B2 (en) * 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
JP5460982B2 (ja) * 2008-07-30 2014-04-02 東京エレクトロン株式会社 弁体、粒子進入阻止機構、排気制御装置及び基板処理装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
JP5698950B2 (ja) 2009-10-23 2015-04-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
WO2011072061A2 (en) * 2009-12-11 2011-06-16 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8895116B2 (en) 2010-11-04 2014-11-25 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of crystalline semiconductor film and manufacturing method of semiconductor device
US20120222618A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Dual plasma source, lamp heated plasma chamber
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9867238B2 (en) 2012-04-26 2018-01-09 Applied Materials, Inc. Apparatus for treating an exhaust gas in a foreline
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
JP6018665B2 (ja) 2014-04-30 2016-11-02 コリア・インスティテュート・オブ・マシナリー・アンド・マテリアルズKorea Institute Of Machinery & Materials 汚染物質除去用プラズマ反応器
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
KR102477302B1 (ko) * 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US10535506B2 (en) 2016-01-13 2020-01-14 Mks Instruments, Inc. Method and apparatus for deposition cleaning in a pumping line
JP7008629B2 (ja) * 2016-01-26 2022-01-25 ジュスン エンジニアリング カンパニー リミテッド 基板処理装置
WO2017136216A1 (en) * 2016-02-01 2017-08-10 Retro-Semi Technologies, Llc Cleaning apparatus for an exhaust path of a process reaction chamber
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system
US10730082B2 (en) * 2016-10-26 2020-08-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for differential in situ cleaning
CN111069192A (zh) * 2018-10-22 2020-04-28 北京北方华创微电子装备有限公司 原位清洗装置和半导体处理设备
US11517831B2 (en) 2019-06-25 2022-12-06 George Andrew Rabroker Abatement system for pyrophoric chemicals and method of use
US11745229B2 (en) 2020-08-11 2023-09-05 Mks Instruments, Inc. Endpoint detection of deposition cleaning in a pumping line and a processing chamber
US20220170151A1 (en) * 2020-12-01 2022-06-02 Applied Materials, Inc. Actively cooled foreline trap to reduce throttle valve drift
US11664197B2 (en) 2021-08-02 2023-05-30 Mks Instruments, Inc. Method and apparatus for plasma generation

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS51129868A (en) 1975-05-07 1976-11-11 Fujitsu Ltd A process for treatment of waste gas
JPS5278176A (en) 1975-12-25 1977-07-01 Chiyoda R & D Discharge reactor for gases
DE2965333D1 (en) 1978-12-29 1983-06-09 Ncr Co Process and apparatus for cleaning wall deposits from a film deposition furnace tube
JPS58101722A (ja) 1981-12-10 1983-06-17 Fujitsu Ltd 排気ガス処理装置
JPS59181619A (ja) 1983-03-31 1984-10-16 Toshiba Corp 反応性イオンエツチング装置
JPS60114570A (ja) * 1983-11-25 1985-06-21 Canon Inc プラズマcvd装置の排気系
DE3414121A1 (de) 1984-04-14 1985-10-24 Brown, Boveri & Cie Ag, 6800 Mannheim Verfahren und vorrichtung zur reinigung von abgasen
US4657738A (en) 1984-04-30 1987-04-14 Westinghouse Electric Corp. Stack gas emissions control system
JPS60234313A (ja) 1984-05-07 1985-11-21 Hitachi Ltd プラズマ処理装置
US5137701A (en) 1984-09-17 1992-08-11 Mundt Randall S Apparatus and method for eliminating unwanted materials from a gas flow line
JPS6328869A (ja) 1986-07-22 1988-02-06 Nec Corp Cvd装置
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPH0757297B2 (ja) 1987-04-22 1995-06-21 日本真空技術株式会社 真空排気系用微粒子トラツプ
US4735633A (en) 1987-06-23 1988-04-05 Chiu Kin Chung R Method and system for vapor extraction from gases
JPH01171227A (ja) * 1987-12-25 1989-07-06 Matsushita Electric Ind Co Ltd Cvd方法
JP2671009B2 (ja) 1988-05-13 1997-10-29 増田 佳子 超微粒子の回収方法及びその回収装置
JPH02125876A (ja) 1988-11-01 1990-05-14 Fujitsu Ltd Cvd装置の排気機構
US5141714A (en) 1989-08-01 1992-08-25 Kabushiki Kaisha Riken Exhaust gas cleaner
JPH03253571A (ja) * 1990-03-02 1991-11-12 Hitachi Ltd 排気装置および化学気相成長装置
EP0454346A1 (en) 1990-04-21 1991-10-30 United Kingdom Atomic Energy Authority Exhaust particulate filter
JPH04136175A (ja) 1990-09-26 1992-05-11 Matsushita Electric Ind Co Ltd 薄膜形成装置
US5451378A (en) 1991-02-21 1995-09-19 The United States Of America As Represented By The Secretary Of The Navy Photon controlled decomposition of nonhydrolyzable ambients
US5211729A (en) 1991-08-30 1993-05-18 Sematech, Inc. Baffle/settling chamber for a chemical vapor deposition equipment
US5279669A (en) 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
JPH05202474A (ja) 1992-01-24 1993-08-10 Hitachi Electron Eng Co Ltd Cvd装置の排気ガスの異物捕獲方法
US5323013A (en) 1992-03-31 1994-06-21 The United States Of America As Represented By The Secretary Of The Navy Method of rapid sample handling for laser processing
US5417826A (en) 1992-06-15 1995-05-23 Micron Technology, Inc. Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors
JP3111663B2 (ja) * 1992-07-28 2000-11-27 ソニー株式会社 プラズマ装置
DE4319118A1 (de) 1993-06-09 1994-12-15 Breitbarth Friedrich Wilhelm D Verfahren und Vorrichtung zur Entsorgung von Fluorkohlenstoffen und anderen fluorhaltigen Verbindungen
US5453125A (en) * 1994-02-17 1995-09-26 Krogh; Ole D. ECR plasma source for gas abatement
JPH0910544A (ja) 1995-06-27 1997-01-14 Alpha Tec:Kk 除害装置及び成膜装置及びエッチング装置
US6045618A (en) 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6194628B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
KR100219036B1 (ko) 1996-09-30 1999-09-01 이계철 저전압형 모스펫 콘트롤링 곱셈기
US5827370A (en) * 1997-01-13 1998-10-27 Mks Instruments, Inc. Method and apparatus for reducing build-up of material on inner surface of tube downstream from a reaction furnace

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6423176B1 (en) 1998-04-13 2002-07-23 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
KR100362622B1 (ko) * 1998-04-13 2002-11-27 닛폰 덴키(주) 플라즈마 에칭 장치용 파티클 제거 장치 및 파티클 제거 방법
US7045465B2 (en) 1998-04-13 2006-05-16 Nec Electronics Corporation Particle-removing method for a semiconductor device manufacturing apparatus
KR100615603B1 (ko) * 2004-10-18 2006-08-25 삼성전자주식회사 반도체 제조용 확산 설비의 확산로 세정 방법 및 세정용보조구

Also Published As

Publication number Publication date
DE69617858D1 (de) 2002-01-24
DE69617858T2 (de) 2002-08-29
EP1132496B1 (en) 2004-01-14
DE69631349T2 (de) 2004-11-25
ATE210742T1 (de) 2001-12-15
DE69631349D1 (de) 2004-02-19
US6689930B1 (en) 2004-02-10
EP0767254B1 (en) 2001-12-12
US6194628B1 (en) 2001-02-27
JPH09181063A (ja) 1997-07-11
JP3897382B2 (ja) 2007-03-22
US6680420B2 (en) 2004-01-20
US20010016674A1 (en) 2001-08-23
EP1132496A1 (en) 2001-09-12
EP0767254A1 (en) 1997-04-09
KR100303231B1 (ko) 2001-11-30

Similar Documents

Publication Publication Date Title
KR970018008A (ko) Cvd 시스템 진공 라인의 세척 방법 및 장치
KR100495783B1 (ko) 기판처리장치의인-시튜진공라인을세척하기위한평행판장치
EP0781599B1 (en) Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
KR100696030B1 (ko) 실리콘-산소-탄소 증착 프로세스의 기판 처리 챔버 배출 라인으로부터 잔류물을 제거하기 위한 방법
KR100817464B1 (ko) 기판 처리 챔버에서 가스 흐름을 도출시키는 방법 및 장치
US6045618A (en) Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
JP3727850B2 (ja) 先駆物質液を用いて金属層を化学蒸着する処理装置
US8343317B2 (en) In situ cleaning of CVD System exhaust
US20040118519A1 (en) Blocker plate bypass design to improve clean rate at the edge of the chamber
US6206970B1 (en) Semiconductor wafer processor, semiconductor processor gas filtering system and semiconductor processing methods
US20020134244A1 (en) Trap apparatus and method for condensable by-products of deposition reactions
KR100784392B1 (ko) 파티클 포집방법 및 파티클 포집유닛, 그리고 이를구비하는 기판처리장치
KR20000051830A (ko) 반도체 장비의 파우더 포집장치

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120628

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee