JPS6344822B2 - - Google Patents

Info

Publication number
JPS6344822B2
JPS6344822B2 JP54139727A JP13972779A JPS6344822B2 JP S6344822 B2 JPS6344822 B2 JP S6344822B2 JP 54139727 A JP54139727 A JP 54139727A JP 13972779 A JP13972779 A JP 13972779A JP S6344822 B2 JPS6344822 B2 JP S6344822B2
Authority
JP
Japan
Prior art keywords
ions
complexing agent
plating
copper
item
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired
Application number
JP54139727A
Other languages
English (en)
Other versions
JPS5576054A (en
Inventor
Basukaa Racheru
Ii Kukansukisu Piitaa
Jei Gurunwarudo Jon
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
MacDermid Inc
Original Assignee
MacDermid Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=25508161&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JPS6344822(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by MacDermid Inc filed Critical MacDermid Inc
Publication of JPS5576054A publication Critical patent/JPS5576054A/ja
Publication of JPS6344822B2 publication Critical patent/JPS6344822B2/ja
Granted legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/38Coating with copper
    • C23C18/40Coating with copper using reducing agents

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemically Coating (AREA)
  • Electroplating And Plating Baths Therefor (AREA)

Description

【発明の詳細な説明】
本発明は銅の無電解メツキに関し、本願の譲受
人に譲渡されている1978年5月25日出願の米国特
許第4209331号明細書に記載された発明に対する
特定の改良を与えるものである。特に、本発明
は、非ホルムアルデヒド型還元剤を用い、ニツケ
ル又はコバルトイオンの存在下で溶液に溶けてい
る銅イオンを金属銅に還元してその溶液に接触し
ている適当に調整した基材上に、従来得られてい
た限られた厚みより厚い希望の厚さをもつ金属付
着物又は被覆を連続的メツキ工程として形成する
銅の無電解メツキに関する。ここで用いる無電解
メツキという言葉は、メツキの厚さが最初のメツ
キ速度と同じ実質的に同じ速度で時間と共に増大
するメツキ操作を意味する。 上述の米国特許第4209331号明細書には、商業
的設備の無電解メツキ浴中で、銅イオン還元剤と
して非ホルムアルデヒド型還元剤を適切に用いる
ことができる発明が記載されているが、適当に調
製した基材、特に触媒処理した非導電性基材上に
導電性金属付着物或は被膜を生ずるのに或る限界
が観察されている。記載されている還元剤の中で
特に有用なのは次亜燐酸塩である。本発明はその
ような非ホルムアルデヒド型還元剤系で、メツキ
浴溶液中に自触媒剤としてニツケル又はコバルト
イオンを含有させることにより連続的にメツキさ
れた希望の厚さの金属銅を与えることにある。 従来技術 上述の米国特許第4209331号明細書に含まれて
いる従来技術についての記載は、参考のためここ
でも述べるが、種々の基材特に非導電性基材上に
銅を付着させるのに商業的に実施されている従来
の無電解メツキは、ほとんど例外なくロツシエル
塩、アミン類等々の如きよく知られた薬品で錯化
された二価銅イオンの高度にアルカリ性のホルム
アルデヒド溶液を用いていることを示している。
そこで論じられている従来法で教示されているこ
と及び経験を見れば、次亜燐酸塩の如き非ホルム
アルデヒド型還元剤が無電解メツキで銅イオンを
金属銅へ成功裡に還元することができ、然も典型
的なホルムアルデヒド系では得られない利点を与
えることができることは予期しない全く意外なこ
とであつた。 技術文献は明らかに次亜燐酸塩薬剤が無電解ニ
ツケルメツキ法で還元剤として効果的で広く用い
られていることを示しているが、ニツケル浴の次
亜燐酸塩を銅浴でのホルムアルデヒドの代りに用
いることができることは従来技術は示唆していな
い。例えば従来の特許では無電解ニツケル浴と銅
浴の両方が記載されている場合、浴の組成は必ず
銅配合物に対してはホルムアルデヒド型還元剤を
用いているのに対し、ニツケル配合物に対しては
次亜燐酸塩が用いられている。 最近の米国特許第4036651号には、アルカリ性
ホルムアルデヒド型無電解銅溶液に「メツキ速度
調節剤」として次亜燐酸ナトリウムを配合するこ
とが教示されている。その特許は「次亜燐酸ナト
リウムは、それ自身はニツケル、コバルト、パラ
ジウム及び銀の無電解メツキ浴の還元剤である
が、それはアルカリ性無電解銅メツキ浴に単独で
用いられた時には満足な還元剤ではない(即ち、
Cu++をCu0へ還元しない)」と明白に述べている。
その特許は記載されている浴を論じている所で、
次亜燐酸ナトリウムはメツキ反応では使い尽され
ることはないが、その代りホルムアルデヒド還元
の触媒として働くように見えると述べている。 米国特許第3716462号には、亜鉛又は亜鉛合金
物体上に、可溶性銅塩例えば硫酸銅、錯化剤例え
ばクエン酸、及び還元剤例えば次亜燐酸ナトリウ
から本質的になる無電解メツキ溶液を用いて銅の
被覆を形成することができることが述べられてい
る。しかし、その特許は「之迄、亜鉛又はその合
金に無電解銅メツキを適用することは困難で実施
できないと考えられてきたと述べているが、その
見解は亜鉛や鋼の如き基礎金属を銅含有溶液中に
浸漬することによつてメツキする容認された一般
的知識に反するものである。更にその特許は亜鉛
のメツキに限定されているが、無「電解メツキ」
は一般に非導電性基材上に金属被覆を付着させる
ことを指すものと一般的に考えられている。更に
その特許の溶液中に存在する次亜燐酸塩は記載の
メツキ法では本当には役に立たないと思われる。 本発明の概略 本発明は無電解銅付着用アルカリ性ホルムアル
デヒド型還元剤溶液に伴われる欠点を解決するの
みならず、更に非ホルムアルデヒド型還元剤銅メ
ツキ溶液を用いて従来得られていた厚さより大き
な種種の厚さの付着物を得ることができる利点を
与えるものである。即ち、本発明は非ホルムアル
デヒド型還元剤による無電解銅メツキ浴を用いた
時、最初のメツキ速度と同じ実的に一定の速度で
金属銅を連続的にメツキすることを与えるもので
ある。之は本発明によれば銅以外の金属イオン特
にニツケル又はコバルトイオンを非ホルムアルデ
ヒド型還元剤の外に含有する無電解銅メツキ浴を
与えることによつて達成される。 従つて本発明は、米国特許第4209331号明細書
に記載された新規な非ホルムアルデヒド還元剤に
よる無電解銅浴系の原理的利点のみならず、メツ
キ即ち付着が長い浸漬時間に対し限界のある厚さ
の付着物を生ずるのではなく、一層直線的な付着
速度を維持するという更に驚くべき予想外の主た
る利点をも与える。ニツケル又はコバルトイオン
は、連続的メツキを生ずるための非ホルムアルデ
ヒド還元系で相乗的効果を与える特徴をもつ。結
局本発明の無電解銅浴組成物及びメツキ法は、非
ホルムアルデヒド還元銅メツキ系を用いて一層厚
い付着物を得、商業的規模で一層広範囲の用途を
与えることができるようにする。 無電解銅メツキ浴中に異なつた成分を用いて異
なつた利点が得られることが発見されている。例
えば本発明の組成を用いた無電解銅メツキ浴に
は、第二銅イオン源及びそれらの溶剤を与える従
来の成分の外に、非ホルムアルデヒド型還元剤、
有利には次亜燐酸塩、コバルト又はニツケルのイ
オン源、及びニツケル又はコバルトのイオンとの
有利な適合性をもつように選択された錯化剤又は
その混合物を含むのが有利である。 本発明で有利に用いられる錯化剤又はその混合
物には、ニツケル又はコバルトが銅と共析できる
ようにするものが含まれる。特定の理論に束縛さ
れたくはないが、錯化剤がその条件に合うのは、
それらの薬剤を含む溶液中のニツケル又はコバル
トの安定定数が銅の安定定数と実質的に同じで、
同じ反応速度論的推進力が得られる場合であると
の理論付けがなされている。同様に、行われてい
る作用について特定の理論に束縛されたくはない
が、ここで述べていることは自触媒反応促進金属
と溶けている銅の両方に対する還元電位が実質的
に同じで共析を起すことができるということであ
る。 種々の錯化剤又はそれらの混合物が上述の希望
の特性を満すものと予想されるが、その特定の例
には種々のヒドロキシ酸及びそれらの金属塩、例
えば酒石酸塩、グルコン酸塩、グリセリン酸塩、
乳酸塩等々が含まれる。更に他のものでも制御さ
れた条件では成功裡に作用するであろう。それら
にはアミン型錯化剤、例えばN―ヒドロキシエチ
ル エチレンジアミン 三酢酸(HEEDTA)、
エチレンジアミン四酢酸(EDTA)及びニトリ
ロ三酢酸(NTA)及びそれらのアルカリ金属塩
が含まれる。金属浴系は任意に、不飽和有機化合
物添加剤を含んでいてもよく、例えばブチンジオ
ール又はブテンジオール、アルキルスルホン酸、
ナトリウム、及びポリオツクス(Polyox)(ユニ
オン・カーバイド社製ポリオキシエチレンオキサ
イド)、プルロニツク(Pluronic)77(BASF
Myandotte Chemical Co.製ポリオキシエチレン
―ポリオキシプロピレン ブロツク共重合体)を
含んでいてもよい。 コバルト又はニツケルイオンを含む無電解銅浴
はアルカリ条件に維持する。pHは一般に少なく
とも7以上、好ましくは11〜14の範囲、最適結果
を与える水準に維持されるべきである。なぜなら
それより低いpH水準では系は非連続的になり易
く、即ち限られた厚さ迄しかメツキできず、それ
は屡々限定的過ぎるからである。後で一層詳細に
説明するように、メツキ浴の性質及び工程因子例
えば浴安定性、付着速度及び付着物純度は、上述
の成分を適切に選択すること及びそれらの相対的
量の調節によつて決定するのが有利である。 従つて本発明の一態様は、ニツケル又はコバル
トイオンを含み、ホルムアルデヒドを含まない無
電解銅メツキ浴を与えることである。 本発明の他の態様は、ホルムアルデヒドを含ま
ない無電解銅メツキ浴を用いて銅を連続的にメツ
キする方法を与えることである。 本発明の更に他の態様は、ホルムアルデヒドを
含まない銅浴系に銅以外の金属イオンで、それら
イオン又はその存在により得られる析出物が銅付
着を連続的に行わせる触媒として働くような金属
イオンを配合することにより、その系で本質的に
金属銅を連続メツキさせるための無電解銅メツキ
浴組成物及びメツキ法を与えることである。 前述及び他の態様及び本発明の利点及び目的
は、その好ましい具体例についての次の記載から
更に明らかになるであろう。 好ましい具体例 本発明の組成を有するメツキ溶液は、溶媒、通
常水及び第二銅イオン源の如き従来の無電解銅浴
の主たる成分範疇に入るものの外に、錯化剤、非
ホルムアルデヒド型還元剤、この場合次亜燐酸塩
の可溶性源及びニツケル又はコバルトのイオン源
及び必要ならpH調節剤を含有している。 メツキ溶液中の銅、ニツケル及びコバルト源
は、それら金属の通常用いられる可溶性塩のいず
れかでよい。塩化物及び硫酸塩が入手し易いので
通常好ましいが、他の有機又は無機の陰イオンも
用いることができる。 メツキ浴の適当なpH水準は連続的メツキを得
るために重要であるが、アルカリ条件を維持する
ためのpH調節は必要であろう。もし調節が必要
ならば、その値を正しい操作範囲に戻すため更に
標準の酸又は塩基を用いてもよい。酸性メツキが
析出し続けていくと時間と共に浴のpHが低下す
るので、長い使用期間では、特にpHを好ましい
11〜14の範囲に維持することが必要になるであろ
う。通常、水酸化ナトリウムの如き苛性物質を添
加する。選択したpH範囲を維持する際の助剤と
して緩衝剤を用いてもよい。 本発明による満足すべき連続的析出物は、基材
としてその表面が適切に調製されたものを用いる
ことによつて得られる。即ち、非導電性基材は、
当分野で既知のパラジウム・錫触媒で触媒処理さ
れた表面を有する。ここに記載する系で、コバル
ト又はニツケルイオンの存在下で銅イオンが銅金
属に連続的に還元される機構は知られていない。
しかし、仮説として次のように考えられる。基材
の表面上にあるパラジウムの如き貴金属触媒が、
次亜燐酸還元剤から強い還元性ラジカル又はラジ
カルイオンを形成することにより反応を開始す
る。触媒表面上の之等強い還元性物質は、電子移
動反応により銅イオンを銅金属へ還元する働きを
する。銅金属の還元に伴なつて、少量の溶けてい
るコバルト又はニツケルも還元され、ニツケル金
属又はコバルト金属又は或る銅コバルト又は銅ニ
ツケル合金として銅析出物中に少量含有されるも
のと思われる。析出した金属を調べてみると、少
量のコバルト又はニツケルが銅析出物中に存在す
ることが判明している。付着が進行していくにつ
れてパラジウム貴金属触媒は結局被覆され、含有
されたコバルト又はニツケル金属、又はコバル
ト・銅或はニツケル銅合金が更に次亜燐酸塩還元
剤と反応し、無電解メツキ工程が継続するのに必
要な還元性ラジカル又はラジカルイオンを生ずる
ものと思われる。 次亜燐酸ナトリウムは次亜燐酸塩の最も入手し
易い形態のものであり、従つて好ましい。次亜燐
酸も入手でき、この材料の浴を調製するためpH
調節剤と共に用いることができる。最適濃度は合
理的時間内で適切な銅被膜が形成されるのに充分
な濃度である。 用いられる錯化剤の種類は、或る程度メツキ速
度の外、メツキの連続性及び得られる付着物の種
類に影響を与えるであろう。例えばコバルトが次
亜燐酸塩還元銅浴中の自触媒反応促進剤イオンで
ある場合、酒石酸塩、グルコン酸塩及びトリヒド
ロキシ グルタール酸の如き錯化剤が薄い被覆を
連続メツキするのに有利である。 N―ヒドロキシエチル エチレンジアミン 三
酢酸(HEEDTA)、エチレンジアミン四酢酸
(EDTA)或はニトリロ三酢酸(NTA)の如き
アルキルアミン錯化剤を用いた時、ニツケル又は
コバルトイオン含有銅浴系は、もし添加された錯
化剤の量が全てのニツケル又はコバルトイオンを
捕捉するには不充分であるならば連続的である。
即ち、いくらかのニツケルとコバルトイオンは連
続的メツキ工程を維持するために自由に共析でき
る状態になつていなければならない。ニツケルと
コバルトは、もし錯化剤が余りにも強過ぎると、
即ち一層高度の酸化状態の安定化を促進すると共
析しない。従つて系中のそのような錯化剤の均衡
が、連続的メツキを行うためには制御されなけれ
ばならない。 上記錯化剤の外に、不飽和有機化合物、重合
体、及びそれらの組み合せを添加してもうまくい
く。之等の任意的添加剤、例えばブチン又はブテ
ンジオール、アルキルスルホン酸ナトリウム及び
重合体例えばポリオツクス及びプルロニツク77は
本発明の系に適合し、電気メツキ系で知られてい
るのと同じような作用をそこで及ぼすであろう。 乃等無電解溶液からの銅の析出速度は、本質的
に直線的であることが観察されている。例えばメ
ツキは90分後でも依然として進行しており、この
事は析出が一層長く継続していることを示してい
る。なぜならそのような時迄に触媒処理された表
面上のパラジウムは析出物によつて被覆されてし
まつていることは確かであり、もはや連続的メツ
キ操作のための活性触媒としては作用しないから
である。この系は純粋の銅に対しては不活性であ
るように見えるが、之は最期不動態化を解決する
ように表面を適当に触媒処理することにより種々
のやり方で解決することができ、それによつて無
電解メツキが起きる。 次の実施例は本発明を実施するための好ましい
条件を例示している。 実施例 1−18 これらの実施例では、“Epoxgglass FR−4
PLADD Laminate”として商業的に知ら
れているグラスフアイバー補強エポキシ樹脂基材
にアルミニウム箔を結合させたものからなる積層
体素材の形に初めからなつているプラスチツク基
材である加工品を、米国特許第3620933号に記載
されたマクダーミツド・インコーポレーデツド
(コネチカツト州ウオターベリー)の“PLADD”
法を用いて調製した。加工品を塩酸浴中に入れ、
アルミニウム被覆を溶解し、無電解メツキを受け
ることができるように活性化された樹脂表面を出
す。完全にすすいだ後、加工品を触媒処理する。
之は市販型の混合パラジウム・錫触媒を用いた一
段階法で達成することができる。そのような触媒
は、その使用法と共に米国特許第3352518号に記
載されている。すすいだ後、触媒処理された加工
品をいわゆる「促進溶液」中に入れ、表面に残つ
ていた残留錫を減少或は除去する。この場合にも
多くの促進浴を用いることができ、例えば上記特
許第3352518号に記載されているようなものを用
いることができるが、そのような促進浴は一般に
酸溶液からなつている。水酸化ナトリウム溶液の
如きアルカリ性促進剤を用いても成功している。
次に加工品を更にすすいだ後直ぐに銅メツキする
ことができる。 触媒処理した加工品は、次の組成を含む銅浴中
で準付加法を用いて銅メツキした。 CuCl2・2H2O KNa酒石酸塩・4H2O NaOH NAH2PO2・H2O 及び CoCl2・6H2O か又は NiSO4・6H2O 組成の或る因子及び時間を変化させて得られた結
果を表に示す。表には得られたメツキの厚さを
マイクロセンチ(及びマイクロインチ)で示す。
成分の濃度はモル/である。観察された結果は
次の通りである。
【表】
【表】 実施例1、2及び3は10、30及び60の浸漬時間
で、ニツケル或はコバルト自触媒反応促進剤を含
まない浴配合を示している。メツキの厚さは約
38.1μcm(15μin)迄大きくなつたがそこで止まつ
た。メツキ時間を長くしても付着物の厚さは増加
する結果にならないことが分る。メツキが止まつ
た後で銅表面に或る種の酸化物が発生する。 実施例4、5及び6は実施例1、2及び3のく
り返しであるが、但し少量のコバルトイオンが浴
組成に添加されている。付着物はピンク色で導電
性及び基材への接着が良好であることを示してい
た。メツキの停止は起きず、付着速度の直線性が
浸漬時間が長くなつても見られた。 実施例7、8及び9は種々のイオン濃度の効果
を示し、コバルトイオン濃度が高いとメツキ速度
を促進するように見える。 実施例10、11及び12はコバルトイオンの代りに
ニツケルイオンを用いた時のメツキ速度の直線性
を示している。 実施例13、14及び15は、ニツケルイオン水準を
変えた時の結果を示している。ニツケルイオン濃
度が高くなつても、コバルトイオンの場合に見ら
れた程メツキ速度を激的に促進することはないよ
うに見える。 実施例16、17及び18は温度を変えた時の効果を
示している。一般に予想される如く、温度が高く
なる程、大きな付着速度を与える。 実施例 19−22 銅メツキを実施例1−18の手順に従つて実施例
19−22で行なつた。但し酒石酸の代りに錯化剤と
してグリコン酸ナトリウムへ中和したグルコン酸
を用いた。結果を第表に示す。
【表】 実施例19はニツケル或はコバルトイオンの自触
媒反応促進剤は含んでおらず、約38.1μcm
(15μin)でメツキが止つたことを示している。 実施例20はニツケルイオンの添加がこの浴の自
触媒反応性を促進することを示している。 実施例21及び22は、有機重合体ポリエチレン
グリコール(P.E.G−20000分子量)を添加した
効果を例示している。その材料100ppmを添加す
るとメツキ速度が低下する。しかし、この系の自
触媒反応性及びメツキ速度の直線性は維持され
る。ポリエチレングリコールを添加すると、メツ
キ速度を低下するが、一層ピンク色で滑らかな付
着物を与えるように見え、然も溶液に安定性が加
わる。 実施例 23−35 実施例23−35は前の実施例のメツキ手順を用い
て得られた結果を示しているが、成分濃度を変
え、不飽和有機又は重合体添加物を用いている。
結果を表に示す。 実施例23と24はBASF Wyandotte Chemical
Co製のポリオキシエチレン ポリオキシエチレ
ン ブロツク共重合体であるプルロニツク 77を
250ppm用いている。時間はメツキ速度の直線性
が示されるように変えた。プルロニツク 77は一
層ピンク色で滑らかな付着物を与え、溶液の安定
性が増大するように見えた。 実施例25と26は有機添加剤としてブチンジオー
ルを100ppm使用した。この場合も析出速度の直
線性が維持され、ブチンジオールは一層ピンク色
で滑らかな付着物を与え、浴の安定性が増すよう
に見えた。 実施例27、28、29及び30は有機添加物ブチンジ
オールの濃度を0〜500ppm迄変えた効果を示し
ている。これらの実施例はブチンジオールを添加
すると付着速度を遅くし、ブチンジオールの濃度
を増大するとそれに応じて一層低い付着速度を与
えることを例示している。有機添加物によつて起
されるメツキ速度の低下に伴つて、いくらか一層
滑らかで一層ピンク色の付着物になることが明ら
かであり、溶液の安定性は増大する。 実施例31−35は自触媒反応促進剤としてニツケ
ルイオンを、有機添加物としてポリエチレングリ
コール(P.E.G)を用いている。P.E.Gの濃度を
増大することによつて、メツキ速度が低下し、一
層ピンク色で一層滑らかな付着物を与えるように
見える点で、、同様な傾向が観察された。
【表】
【表】 実施例 36−37 実施例36及び37は前の実施例と同様であるが、
但しメツキ浴はアミノ酸錯化剤のニトリロ三酢酸
(NTA)、及びヒドロキシ酸錯化剤の酒石酸を用
いた。表に記載した結果は、この系でも付着速
度の直線性が維持されていることを示している。
【表】 実施例 38−46 実施例38−46では市販のメツキ用標準ABSパ
ネルからなる典型的な加工品を先ず洗滌し、表面
のよごれ、油等を除いた。従来のメツキ系で典型
的に用いられていたアルカリ性洗滌溶液をここで
も用いた。次に工業薬品として標準的な混合クロ
ム・硫酸又はクロム酸単独を用いて化学的にエツ
チングした。典型的な処理条件である濃度及び処
理時間は米国特許第3515649号に記載されている。
加工品を次に前の実施例で記載したようなすす
ぎ、触媒処理及び促進浴といつた典型的なメツキ
前処理操作にかけた。次に加工品を種々のメツキ
浴中に浸漬した。結果を表に示す。表にはメツ
キの付着が止まつた時間(分)が示されている。
mg/cm2で表した被覆重量も示されている。
【表】 実施例38はニツケル又はコバルトイオン自触媒
反応促進剤を含んでいないメツキ浴を例示してい
る。ABS加工品は典型的なメツキ前処理が行わ
れているが、表に記載の条件でメツキを得るこ
とが可能である。 実施例39、40及び41は浴中のコバルトイオンの
効果を示す実施例を示している。表の例は、一
定の浴配合物中でのコバルト又はニツケルイオン
の如き自触媒反応促進剤金属の濃度を増大した場
合の効果を例示している。メツキの付着が止つた
大体の時間はガスの発生(水素ガス発生)が止つ
たのを観察することにより明らかになる。亦、変
色(或る種の酸化物が形成されたものと思われ
る)が付着金属に起きた。この現象ではここでは
メツキが止まつたものとして言及されている。 之等の試験中、浴の成分は補充しなかつたの
で、自触媒反応促進剤金属が溶液から実際上なく
なると直ちに無電解メツキが止まるものと推測さ
れた。このことはコバルトイオンの濃度を増大す
ると一層長い時間無電解メツキが続くようにな
り、一層大きな厚みに成長するようになることを
示している実施例39−41から明らかである。実施
例42−46はニツケルイオンについての同様な効果
を示している。両方の場合に対し、もし必須成分
のメツキに有効な濃度を維持するように補充した
ならば、無電解メツキ工程は終ることなく継続す
ることに注意すべきである。 実施例 47−52 実施例47−52は実施例38−46に記載したABS
加工品をメツキすることに関する。メツキ浴の浸
漬時間及び温度を変えた時の結果は表に示され
ている。
【表】 実施例47、48及び49は付着速度の直線性を示し
ている。浸漬時間が増大するにつれて、効果的に
比例即ち直線的速度で付着物の厚みが増大する。 実施例50、51及び52は、与えられた浸漬時間に
対し、付着物の厚みが温度を上げると増加するこ
とを示している。 之等全ての実施例に於て、付着物は滑らかでピ
ンク色であり、基材によく付着しており、後の電
解メツキに対し直ちに使用できるものであつた。
基材に付着した金属の典型的な量は約8Ib/inであ
つた。 実施例 53−57 実施例53−57は基本成分の濃度水準を変てもう
まくいくことを例示している。表に示した結果
は、成分のメツキ可能な限界を狭く設定するので
はなく、反応を行わせるのに最低の量の基本成分
を用いても本発明のメツキ浴は有効に作動するこ
とを示している。 勿論一層多量の材料を用いてもよいが、最大量
の決定は基本成分が互に及ぼす種々の相乗効果を
観察することによつて最もよく行うことができ
る。一般的な指針は溶解度を越えるような種々の
成分の濃度を避けることであろう。亦、最大溶解
度水準に近い所での操作は、それを保持するため
の添加の余地が残つておらず、正常な操作中での
還元生成物を可溶化する余地も残らないことにな
るであろう。勿論、経済的見地から溶解作業をだ
らだら長びかせることはコストを増加することに
なるので、機能的に不必要な濃度を維持すること
は商業的に実際的ではないであろう。当業者であ
れば得られた結果を単に観察することにより適切
な水準を確かめることができ、特定の目的に合つ
たようにそれらの水準を変えることができるであ
ろう。
【表】 上記の“Epoxyglass FR−4 PLADD
Laminate”の無電解メツキが成功していること
は、プリント回路板を調製するのに用いられる準
付加メツキ法に対し、本発明が適合していること
を示している。薄い銅メツキ物を基板の表面に亘
つて無電解的に付着させた後、マスク又はレジス
トを適用し、スクリーン法、光重合法による現像
等々により希望のプリント回路を定める。マスク
された(薄くメツキされた)基板を次に母線の如
き最初の無電解メツキ物を用いて電解浴中でメツ
キし、回路板のマスクされていない領域に更に或
る厚さに金属を付着させる。レジスト或はマスク
を次に化学的に溶解し、その板を例えば米国特許
第3466208号に記載の如き適当な銅エツチング溶
液中に入れ、レジストによつて前に被覆されてい
た最初の薄い銅付着物を除去するには充分である
が、電解メツキ浴で蓄積した銅(又は他の金属)
付着物の実質的に一層厚い部分を除去するには不
充分な時間保持する。この方法は時々準付加
(semi−additive)メツキ法として当分野では言
及されている。 同様なやり方で本発明は、標準の銅箔被覆積層
体の両面にある導電体域を相互に結合するための
貫通孔を有するプリント回路板を製造するための
減法(subtractive procedure)にも適用できる。
回路板素材に貫通孔をパンチ又はドリルであけ、
本発明の銅溶液を用いてそれらの貫通孔の壁を無
電解的に銅でメツキする。次に希望の回路網が得
られるようにレジストを適用し、もし望むなら回
路網と同様それらの壁にも或る厚さの付着物を電
解メツキで形成することができる。回路上の接続
端子域の金メツキ、はんだ被覆等々の如き更に別
のメツキ条件により、回路板を次にエツチング浴
に入れて最初の箔の非回路域を除去する。 本発明の特定の具体例について今迄詳述してき
たが、それらは本来例示の為であることを理解す
べきである。当業者には明らかなように、ここで
教示した事に基いて記載の特定の条件及び成分を
特別な要件に適合するように変えることもでき
る。

Claims (1)

  1. 【特許請求の範囲】 1 可溶性第二銅イオン源の存在する水溶液、第
    二銅イオンを溶解状態に維持するための錯化剤、
    可溶性次亜燐酸イオン源及び可溶性のコバルト及
    び/又はニツケルイオン源からなり、第二銅イオ
    ン対コバルト及び/又はニツケルイオンのモル比
    が少なくとも5.5:1、組成物のpHが11〜14であ
    る、無電解銅メツキ組成物。 2 錯化剤は、溶液中で第二銅イオン以外の金属
    イオンが、少量で第二銅イオンと一緒に付着して
    本質的に銅の付着物を形成できる錯化剤である特
    許請求の範囲第1項に記載の組成物。 3 錯化剤が、第二銅イオンの安定定数に実質的
    に等しい安定定数をもつて第二銅イオン以外の金
    属イオンを与え、溶液中の全ての金属イオンに対
    し実質的に同じ反応速度の推進力を与えることが
    できる錯化剤である、前記第2項に記載の組成
    物。 4 錯化剤が可溶性ヒドロキシ酸とヒドロキシ酸
    金属塩からなる群から選択された錯化剤である前
    記第1項に記載の無電解銅メツキ組成物。 5 錯化剤が可溶性の酒石酸塩、グルコン酸塩、
    グリセリン酸塩、グリコール酸塩、乳酸塩及びそ
    れらの混合物からなる群から選ばれた錯化剤であ
    る前記第1項に記載の無電解銅メツキ組成物。 6 錯化剤が更に、N―ヒドロキシエチル エチ
    レンジアミン 三酢酸(HEEDTA)、エチレン
    ジアミン四酢酸(EDTA)及びニトリロ三酢酸
    (NTA)及びそれらのアルカリ金属塩からなる
    群から選択されたアミノ酸錯化剤からなる前記第
    1項に記載の無電解銅メツキ組成物。 7 錯化剤がN―ヒドロキシエチル エチレンジ
    アミン 三酢酸(HEEDTA)、エチレンジアミ
    ン四酢酸(EDTA)及びニトリロ三酢酸
    (NTA)からなる群から選択された錯化剤であ
    り、然も非第三銅イオンの全てと反応してそれと
    の錯化物を形成するには不充分な量で存在し、従
    つて少なくともいくらかの非第二銅イオンが残留
    していて銅と一緒に付着することができる前記第
    1項に記載の無電解銅メツキ組成物。 8 不飽和有機化合物と重合体からなる群から選
    択された添加化合物を更に含有する前記第1項に
    記載の無電解銅メツキ組成物。 9 添加化合物はブチンジオール、ブテンジオー
    ル、ポリオキシエチレン、ポリエチレングリコー
    ル及びポリオキシエチレンとポリオキシプロピレ
    ンとのブロツク共重合体からなる群から選択され
    る前記第8項に記載の無電解銅メツキ組成物。
JP13972779A 1978-11-27 1979-10-29 Electroless coppur plating composition Granted JPS5576054A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US05/964,128 US4265943A (en) 1978-11-27 1978-11-27 Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions

Publications (2)

Publication Number Publication Date
JPS5576054A JPS5576054A (en) 1980-06-07
JPS6344822B2 true JPS6344822B2 (ja) 1988-09-07

Family

ID=25508161

Family Applications (1)

Application Number Title Priority Date Filing Date
JP13972779A Granted JPS5576054A (en) 1978-11-27 1979-10-29 Electroless coppur plating composition

Country Status (10)

Country Link
US (1) US4265943A (ja)
JP (1) JPS5576054A (ja)
AU (1) AU535517B2 (ja)
CA (1) CA1117704A (ja)
CH (1) CH649580A5 (ja)
DE (1) DE2947306A1 (ja)
FR (1) FR2442278B2 (ja)
GB (1) GB2037327B (ja)
NL (1) NL188173C (ja)
SE (1) SE463820B (ja)

Families Citing this family (225)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3152361A1 (de) * 1980-09-15 1983-01-13 Shipley Co Electroless alloy plating
JPS58502101A (ja) * 1981-12-21 1983-12-08 マツクデ−ミツド インコ−ポレ−テツド 無電解銅析出溶液
CH656401A5 (de) * 1983-07-21 1986-06-30 Suisse Horlogerie Rech Lab Verfahren zur stromlosen abscheidung von metallen.
DE3504150A1 (de) * 1984-02-17 1985-10-17 Omi International Corp. (eine Gesellschaft n.d.Ges.d. Staates Delaware), Warren, Mich. Waessriges alkalisches bad zur stromlosen verkupferung und ein verfahren zur stromlosen verkupferung unter verwendung dieses bades
JPS60248882A (ja) * 1984-05-24 1985-12-09 Aisin Seiki Co Ltd 高リン含有ニツケル合金の無電解めつき浴
US4671968A (en) * 1985-04-01 1987-06-09 Macdermid, Incorporated Method for electroless deposition of copper on conductive surfaces and on substrates containing conductive surfaces
US4600609A (en) * 1985-05-03 1986-07-15 Macdermid, Incorporated Method and composition for electroless nickel deposition
FR2590595B1 (fr) * 1985-11-22 1988-02-26 Onera (Off Nat Aerospatiale) Bain a l'hydrazine pour le depot chimique de nickel et/ou de cobalt, et procede de fabrication d'un tel bain.
US4751110A (en) * 1986-07-14 1988-06-14 Shipley Company Inc. Radiation attenuation shielding
JPH0723539B2 (ja) * 1986-11-06 1995-03-15 日本電装株式会社 化学銅めっき液及びそれを用いた銅めっき皮膜の形成方法
US4935267A (en) * 1987-05-08 1990-06-19 Nippondenso Co., Ltd. Process for electrolessly plating copper and plating solution therefor
JP2595319B2 (ja) * 1988-07-20 1997-04-02 日本電装株式会社 化学銅めっき液及びそれを用いた銅めっき皮膜の形成方法
US4938853A (en) * 1989-05-10 1990-07-03 Macdermid, Incorporated Electrolytic method for the dissolution of copper particles formed during electroless copper deposition
US5965211A (en) * 1989-12-29 1999-10-12 Nippondenso Co., Ltd. Electroless copper plating solution and process for formation of copper film
US5158604A (en) * 1991-07-01 1992-10-27 Monsanto Company Viscous electroless plating solutions
JP3219897B2 (ja) * 1993-05-07 2001-10-15 イビデン株式会社 プリント配線板
US6042889A (en) * 1994-02-28 2000-03-28 International Business Machines Corporation Method for electrolessly depositing a metal onto a substrate using mediator ions
DE69535768D1 (de) * 1994-12-01 2008-07-24 Ibiden Co Ltd Mehrschichtige leiterplatte und verfahren für deren herstellung
US5648200A (en) * 1995-03-22 1997-07-15 Macdermid, Incorporated Process for creating circuitry on the surface of a photoimageable dielectric
US5801100A (en) * 1997-03-07 1998-09-01 Industrial Technology Research Institute Electroless copper plating method for forming integrated circuit structures
US6276072B1 (en) * 1997-07-10 2001-08-21 Applied Materials, Inc. Method and apparatus for heating and cooling substrates
US6054173A (en) * 1997-08-22 2000-04-25 Micron Technology, Inc. Copper electroless deposition on a titanium-containing surface
MY144573A (en) * 1998-09-14 2011-10-14 Ibiden Co Ltd Printed circuit board and method for its production
US6180523B1 (en) 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6046107A (en) * 1998-12-17 2000-04-04 Industrial Technology Research Institute Electroless copper employing hypophosphite as a reducing agent
EP1020543A1 (en) * 1999-01-15 2000-07-19 Interuniversitair Micro-Elektronica Centrum Vzw Deposition of copper on an activated surface of a substrate
US7192494B2 (en) 1999-03-05 2007-03-20 Applied Materials, Inc. Method and apparatus for annealing copper films
DE19918833C2 (de) * 1999-04-22 2002-10-31 Atotech Deutschland Gmbh Verfahren zum elektrolytischen Abscheiden einer Metallschicht auf Oberflächen eines elektrisch nichtleitenden Substrats und Anwendung des Verfahrens
JP2001020077A (ja) * 1999-07-07 2001-01-23 Sony Corp 無電解めっき方法及び無電解めっき液
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US20040079633A1 (en) * 2000-07-05 2004-04-29 Applied Materials, Inc. Apparatus for electro chemical deposition of copper metallization with the capability of in-situ thermal annealing
IL138811A0 (en) 2000-10-02 2001-10-31 Grunwald John Method for improving electroless copper deposits using amino borane reducing agent
JP2003013247A (ja) * 2001-04-24 2003-01-15 Murata Mfg Co Ltd 無電解銅めっき浴及び高周波用電子部品
JP3678196B2 (ja) * 2001-12-18 2005-08-03 株式会社村田製作所 チップ型電子部品の製造方法、及びチップ型電子部品
US6824666B2 (en) * 2002-01-28 2004-11-30 Applied Materials, Inc. Electroless deposition method over sub-micron apertures
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US20030207206A1 (en) * 2002-04-22 2003-11-06 General Electric Company Limited play data storage media and method for limiting access to data thereon
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US7297190B1 (en) * 2006-06-28 2007-11-20 Lam Research Corporation Plating solutions for electroless deposition of copper
US7306662B2 (en) * 2006-05-11 2007-12-11 Lam Research Corporation Plating solution for electroless deposition of copper
US20100098863A1 (en) * 2003-03-12 2010-04-22 University Of Missouri Process for spontaneous deposition from an organic solution
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7654221B2 (en) * 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7064065B2 (en) * 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
TW200530427A (en) * 2003-10-17 2005-09-16 Applied Materials Inc Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20060003570A1 (en) * 2003-12-02 2006-01-05 Arulkumar Shanmugasundram Method and apparatus for electroless capping with vapor drying
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050253268A1 (en) * 2004-04-22 2005-11-17 Shao-Ta Hsu Method and structure for improving adhesion between intermetal dielectric layer and cap layer
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
WO2006102318A2 (en) * 2005-03-18 2006-09-28 Applied Materials, Inc. Electroless deposition process on a contact containing silicon or silicide
US20060251801A1 (en) * 2005-03-18 2006-11-09 Weidman Timothy W In-situ silicidation metallization process
JP2006342428A (ja) * 2005-06-10 2006-12-21 Enthone Inc 非導電性の基板に直接金属被覆する方法
US20070071888A1 (en) * 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
US20070099806A1 (en) * 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
CN101078111B (zh) * 2006-05-26 2012-01-25 佛山市顺德区汉达精密电子科技有限公司 一种化学镀铜溶液及化学镀方法
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US20090238979A1 (en) * 2008-03-21 2009-09-24 William Decesare Method of Applying Catalytic Solution for Use in Electroless Deposition
DE102010012204B4 (de) 2010-03-19 2019-01-24 MacDermid Enthone Inc. (n.d.Ges.d. Staates Delaware) Verbessertes Verfahren zur Direktmetallisierung von nicht leitenden Substraten
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
TWI504787B (zh) * 2011-03-01 2015-10-21 Grand Plastic Technology Co Ltd 高深寬比通孔無電鍍銅沉積方法及配方
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103074647A (zh) * 2012-10-25 2013-05-01 南京大地冷冻食品有限公司 一种光亮强走位无氰碱铜液
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9611550B2 (en) 2012-12-26 2017-04-04 Rohm And Haas Electronic Materials Llc Formaldehyde free electroless copper plating compositions and methods
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
CN103526239A (zh) * 2013-10-08 2014-01-22 昆山纯柏精密五金有限公司 一种铜电镀液以及五金件的镀铜方法
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
TWI707061B (zh) 2015-11-27 2020-10-11 德商德國艾托特克公司 鈀之電鍍浴組合物及無電電鍍方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN111576038A (zh) * 2020-05-09 2020-08-25 盐城工学院 一种基于化学镀铜超疏水油水分离织物的制备方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3046159A (en) * 1957-12-17 1962-07-24 Hughes Aircraft Co Method of copper plating by chemical reduction
US3093509A (en) * 1959-09-28 1963-06-11 Wein Samuel Process for making copper films
US3352518A (en) * 1965-10-23 1967-11-14 Kidde & Co Walter Personnel ejection system for aircraft
US3615732A (en) * 1968-08-13 1971-10-26 Shipley Co Electroless copper plating
US3615733A (en) * 1968-08-13 1971-10-26 Shipley Co Electroless copper plating
US3615735A (en) * 1968-08-13 1971-10-26 Shipley Co Electroless copper plating
US3620933A (en) * 1969-12-31 1971-11-16 Macdermid Inc Forming plastic parts having surfaces receptive to adherent coatings
US3716462A (en) * 1970-10-05 1973-02-13 D Jensen Copper plating on zinc and its alloys
US3754940A (en) * 1972-09-06 1973-08-28 Crown City Plating Co Electroless plating solutions containing sulfamic acid and salts thereof
JPS5248580B2 (ja) * 1973-07-21 1977-12-10
US3935013A (en) * 1973-11-12 1976-01-27 Eastman Kodak Company Electroless deposition of a copper-nickel alloy on an imagewise pattern of physically developable metal nuclei
US4036651A (en) * 1974-02-26 1977-07-19 Rca Corporation Electroless copper plating bath
DD109669A1 (ja) * 1974-03-15 1974-11-12
US4019910A (en) * 1974-05-24 1977-04-26 The Richardson Chemical Company Electroless nickel polyalloy plating baths
JPS5125432A (ja) * 1974-08-28 1976-03-02 Mitsubishi Gas Chemical Co Mudenkaidometsukihoho
US4048354A (en) * 1975-10-23 1977-09-13 Nathan Feldstein Method of preparation and use of novel electroless plating catalysts
US4138267A (en) * 1976-12-28 1979-02-06 Okuno Chemical Industry Company, Limited Compositions for chemical copper plating
US4209331A (en) * 1978-05-25 1980-06-24 Macdermid Incorporated Electroless copper composition solution using a hypophosphite reducing agent

Also Published As

Publication number Publication date
CH649580A5 (de) 1985-05-31
FR2442278A2 (fr) 1980-06-20
JPS5576054A (en) 1980-06-07
SE463820B (sv) 1991-01-28
CA1117704A (en) 1982-02-09
US4265943A (en) 1981-05-05
SE7907373L (sv) 1980-05-28
AU5227779A (en) 1980-05-29
NL188173B (nl) 1991-11-18
GB2037327A (en) 1980-07-09
DE2947306C2 (ja) 1988-01-21
NL188173C (nl) 1992-04-16
NL7907555A (nl) 1980-05-29
FR2442278B2 (fr) 1985-09-20
GB2037327B (en) 1983-11-09
AU535517B2 (en) 1984-03-29
DE2947306A1 (de) 1980-06-04

Similar Documents

Publication Publication Date Title
JPS6344822B2 (ja)
US4209331A (en) Electroless copper composition solution using a hypophosphite reducing agent
US4279948A (en) Electroless copper deposition solution using a hypophosphite reducing agent
US3672938A (en) Novel precious metal sensitizing solutions
GB2099460A (en) Plating bath for the immersion deposition of gold
EP1327700A1 (en) Electroless displacement gold plating solution and additive for preparing said plating solution
US4325990A (en) Electroless copper deposition solutions with hypophosphite reducing agent
US20070175359A1 (en) Electroless gold plating solution and method
EP0133800A1 (en) Electroless copper plating solution
US5965211A (en) Electroless copper plating solution and process for formation of copper film
KR101314035B1 (ko) 자기 촉매적 무전해 공정들의 안정성 및 수행
EP0331907B1 (en) Electroless copper plating bath
US3754940A (en) Electroless plating solutions containing sulfamic acid and salts thereof
EP0156167A2 (en) Process for the deposition of a metal from an electroless plating composition
JP4599599B2 (ja) 無電解金めっき液
US11512394B2 (en) Electroless gold plating bath
US20070175358A1 (en) Electroless gold plating solution
US3748166A (en) Electroless plating process employing solutions stabilized with sulfamic acid and salts thereof
US3741905A (en) Preparation of through hole printed circuit boards and compositions useful therefor
JP2648729B2 (ja) 無電解銅めっき液および無電解銅めっき方法
JP7012136B2 (ja) 無電解銅めっき及び不動態化の抑制
EP0096034B1 (en) Electroless copper deposition solutions
JPH03287780A (ja) 無電解銅めっき浴
JPH0250990B2 (ja)
JPS6259180B2 (ja)