JPH09321037A - 基板処理装置排出物からペルフルオロ化合物ガスを低減する方法及び装置 - Google Patents

基板処理装置排出物からペルフルオロ化合物ガスを低減する方法及び装置

Info

Publication number
JPH09321037A
JPH09321037A JP8359883A JP35988396A JPH09321037A JP H09321037 A JPH09321037 A JP H09321037A JP 8359883 A JP8359883 A JP 8359883A JP 35988396 A JP35988396 A JP 35988396A JP H09321037 A JPH09321037 A JP H09321037A
Authority
JP
Japan
Prior art keywords
chamber
gas
dpa
plasma
pfc
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP8359883A
Other languages
English (en)
Other versions
JP3992315B2 (ja
Inventor
David Cheung
チュン デイヴィッド
Sebastien Raoux
ラウー セバスチャン
Judy H Huang
エイチ. ウォン ジュディ
William N Taylor Jr
エヌ. テイラー, ジュニア ウィリアム
Mark Fodor
フォダー マーク
Kevin Fairbairn
フェアベーン ケヴィン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH09321037A publication Critical patent/JPH09321037A/ja
Application granted granted Critical
Publication of JP3992315B2 publication Critical patent/JP3992315B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • H01J37/32844Treating effluent gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D45/00Separating dispersed particles from gases or vapours by gravity, inertia, or centrifugal forces
    • B01D45/04Separating dispersed particles from gases or vapours by gravity, inertia, or centrifugal forces by utilising inertia
    • B01D45/06Separating dispersed particles from gases or vapours by gravity, inertia, or centrifugal forces by utilising inertia by reversal of direction of flow
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/32Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by electrical effects other than those provided for in group B01D61/00
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/34Chemical or biological purification of waste gases
    • B01D53/46Removing components of defined structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J19/087Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy
    • B01J19/088Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy giving rise to electric discharges
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J19/12Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electromagnetic waves
    • B01J19/122Incoherent waves
    • B01J19/126Microwaves
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/24Stationary reactors without moving elements inside
    • B01J19/248Reactors comprising multiple separated flow channels
    • B01J19/249Plate-type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/461Microwave discharges
    • H05H1/4622Microwave discharges using waveguides
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/4652Radiofrequency discharges using inductive coupling means, e.g. coils
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2257/00Components to be removed
    • B01D2257/20Halogens or halogen compounds
    • B01D2257/206Organic halogen compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2259/00Type of treatment
    • B01D2259/80Employing electric, magnetic, electromagnetic or wave energy, or particle radiation
    • B01D2259/818Employing electrical discharges or the generation of a plasma
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2451Geometry of the reactor
    • B01J2219/2453Plates arranged in parallel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2451Geometry of the reactor
    • B01J2219/2456Geometry of the plates
    • B01J2219/2458Flat plates, i.e. plates which are not corrugated or otherwise structured, e.g. plates with cylindrical shape
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2461Heat exchange aspects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2461Heat exchange aspects
    • B01J2219/2467Additional heat exchange means, e.g. electric resistance heaters, coils
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2469Feeding means
    • B01J2219/247Feeding means for the reactants
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2474Mixing means, e.g. fins or baffles attached to the plates
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2475Separation means, e.g. membranes inside the reactor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2476Construction materials
    • B01J2219/2483Construction materials of the plates
    • B01J2219/2487Ceramics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2491Other constructional details
    • B01J2219/2492Assembling means
    • B01J2219/2493Means for assembling plates together, e.g. sealing means, screws, bolts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/24Stationary reactors without moving elements inside
    • B01J2219/2401Reactors comprising multiple separate flow channels
    • B01J2219/245Plate-type reactors
    • B01J2219/2491Other constructional details
    • B01J2219/2492Assembling means
    • B01J2219/2496Means for assembling modules together, e.g. casings, holders, fluidic connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2245/00Applications of plasma devices
    • H05H2245/10Treatment of gases
    • H05H2245/17Exhaust gases
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Biomedical Technology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

(57)【要約】 半導体処理装置から排出されるPFCガスをより害の少
ない非PFCガスに転換するための装置。装置の1具体
例は、珪素フィルタ及びプラズマ発生システムを含む。
プラズマ発生システムは、流出PFCガスからプラズマ
を形成する。プラズマからの成分は、フィルタ中の珪素
及び/又は酸素と反応し、流出PFCガスをより害の少
ない非PFC生成物及び副生成物に転換する。他の具体
例は、プラズマ発生システム及び粒子物捕捉及び捕集シ
ステムを含む。粒子物捕捉及び捕集システムは、かかる
残留物を生成する堆積プロセスからの珪素含有残留物を
捕捉し、プラズマ発生システムは、流出PFCガスから
プラズマを形成する。プラズマからの成分は、流出PF
Cガスをより害の少ない非PFCガス状生成物及び副生
成物に転換するため捕集された残留物と反応する。

Description

【発明の詳細な説明】
【0001】関連出願の相互参照 本出願は、発明者がベン パン、デイヴィッド チュ
ン、ウイリアム エヌ.テイラー,ジュニア、セバスチ
ャン ラウー、及びマーク フォダーであり、アプライ
ド マテリアルズ インコーポレイテッドに譲渡され、
出願番号08/533,174の1995年9月25日
出願のアメリカ合衆国特許出願「CVDシステムにおけ
る真空ラインの清浄方法と装置」の一部継続出願であ
る。本出願はまた、発明者がデイヴィッド チュン、セ
バスチャン ラウー、ジュディ エイチ.ウォン、ウイ
リアム エヌ.テイラー,ジュニア、マーク フォダ
ー、及びケヴィン フェアベーンであり、アプライド
マテリアルズ インコーポレイテッドに譲渡され、出願
番号08/579,375の1995年12月27日出
願のアメリカ合衆国特許出願「基板処理機器排出物から
パーフルオロ化合物ガスを低減する方法及び装置」の一
部継続出願である。08/533,174及び08/5
79,375の両出願ともすべて本願に引用され取り込
まれる。
【0002】本出願はまた、ベン パン、デイヴィッド
チュン、ウイリアム エヌ.テイラー,ジュニア、セ
バスチャン ラウー、及びマーク フォダーが共同発明
者として掲げられ「基板処理機器の現場真空ライン清浄
のための平行板装置」と題する同時に出願され共同で譲
渡された特許出願に関連し、セバスチャン ラウー、ト
ミ タナカ、ムクル ケルカー、ハリ ポンネカンチ、
ケヴィン フェアベーン、及びデイヴィッド チュンが
共同発明者として掲げられ「基板処理機器の現場真空ラ
イン清浄のためのマイクロ波装置」と題する同時に出願
され共同で譲渡された特許出願に関連する。
【0003】発明の背景 本発明は、一般的に、半導体の処理機器の分野、より具
体的には処理装置に連結された真空排出ライン内側から
混入物及び残留物を除去する方法及び装置と処理装置か
らパーフルオロ化合物(PFC)ガス排出物を低減する
方法及び装置に関する。化学的気相堆積(CVD)処理
の間、堆積ガス処理される基板の表面上に薄膜層を形成
するため処理チャンバ内部に放出される。処理チャンバ
の壁のような領域上の不必要な堆積もかかるCVD処理
の間に生じる。しかし、これらの堆積ガスにおける個々
の分子の室内における滞留時間は比較的に短いため、チ
ャンバに放出された小部分の分子のみが堆積プロセスに
おいて消費されウェハ又はチャンバ壁のいずれかの上に
堆積される。
【0004】消費されていないガス分子は、「フォアラ
イン(foreline)」と共通に呼ばれる真空ラインを通って
部分的に反応した化合物及び反応副産物とともにチャン
バからポンプで排出される。この排出されたガス中の多
くの化合物は、いまだ高度に反応性状態にあり、及び/
又はフォアライン中で不必要な堆積を形成し得る残留物
又は粒状物質を含む。所定時間の粉末状の残留物及び/
又は粒状物質のこの堆積の蓄積は問題を与える。第1
に、その物質はしばしば、標準の周期的な清浄操作の
間、真空シールが破れフォアラインが周辺の条件に晒さ
れたときに問題を起こす自然発火しうる物質である。第
2に、フォアライン内の堆積物質の蓄積が十分である場
合、フォアライン及び/又はそれに連結する真空ポンプ
はもしそれが適当に清浄されていない場合に詰まり得
る。周期的に清浄される場合であっても、物質の蓄積は
真空ポンプの清浄な運転を妨げ、ポンプの実用的寿命を
劇的に短縮し得る。また、固体物質は、フォアラインか
ら処理チャンバに逆流し処理工程を汚しウェハ収量に反
対方向に作用し得る。
【0005】これらの問題を避けるために、フォアライ
ンの内側表面は堆積物質を除去するために定期的に清浄
される。この操作は、不必要は堆積物質をチャンバ壁及
び処理チャンバの同様な領域から除去するために行われ
る標準チャンバ清浄操作の間に実施される。共通のチャ
ンバ清浄技術は、堆積物質をチャンバ壁及び他の領域か
ら除去するためのフッ素のようなエッチングガスの使用
を含む。エッチングガスがチャンバに導入され、エッチ
ングガスが堆積物質と反応しチャンバ壁から堆積物質を
除去するようにプラズマが形成される。かかる清浄操作
は共通に各ウェハ又は各Nウェハのための堆積工程に間
で実施される。
【0006】チャンバ壁からの堆積物質の除去は、プラ
ズマが堆積物質にチャンバ内で最も近い領域内で発生さ
れることと比較的に直接的である。フォアラインからの
堆積物質の除去は、フォアラインが処理チャンバから下
流側であるためにより困難である。所定の時間内では、
処理チャンバ内の殆どの点は、フォアライン内の点に対
するより多くのエッチングフッ素原子と接触する。この
ように、所定の期間内では、残留物及び同様な堆積物が
フォアライン内に残存する一方、チャンバは清浄プロセ
スにより適度に清浄される。
【0007】フォアラインを適度に清浄するためには、
清浄操作の継続時間が増大されなければならない。しか
し、清浄操作の長さを増大することは、ウェハの処理能
力に反対の影響を与えることから好ましくない。また、
かかる残留物の蓄積は、清浄工程からの反応物質がそれ
らがフォアライン内で残留物と反応し得る状態において
フォアライン中に排出される範囲までにおいてのみ清浄
され得る。いくつかのシステム及び適用においては、排
出される反応物質の寿命はフォアラインの末端部あるい
は中間部に到達するに十分ではない。これらのシステム
及び適用において、残留物の蓄積はさらに大きな関心事
である。したがって、半導体処置システムにおけるフォ
アラインの効率的かつ完全な清浄のための装置及びその
実施方法に対する要求が存在する。
【0008】フォアラインを清浄するために使用されて
きた一つのアプローチは、排出ガス中の反応性成分を電
極上でフィルム堆積物として抽出するためにプラズマ強
化CVD技術を用いる洗浄システムに依存する。洗浄シ
ステムは、固体フィルムとして反応性物質の除去を最大
限にするよう設計され、大表面領域の渦巻き電極を使用
する。渦巻き電極は、送風ポンプと機械式ポンプの間の
フォアラインの末端付近に配置された脱着式キャニスタ
内に包含される。十分な量の固体廃物が電極上に蓄積し
た後、キャニスタは廃棄及び交換のために除去され得
る。問題は、システムが蓄積された固体物質を捕集する
ための領域を与えるために電極の大表面領域に依存する
というこの先行技術方法に存在する。電極の大表面領域
をに適用するためにシステムは必然的に大きくかつかさ
高である。さらに、脱着式キャニスタは交換され適切に
廃棄されなければならない使い捨て製品であるために、
この先行技術の洗浄システムの操作においては余分な出
費を負う。また、洗浄システムは真空フォアラインの開
始部分から下流部に配置され、このためラインのこの部
分に蓄積する粉末状物質あるいは粒状物質の除去を確実
にしない。
【0009】上記よりフォアラインの清浄のための改善
された方法と装置が望まれていることが分かる。
【0010】CVD及び他の基板処置装置における他の
関心事は、ガスの種類及びフォアラインを通じて処理チ
ャンバから排出される副生成物に関する。たとえば、清
浄プラズマ内でのガスの解離は完全ではなく(いくつか
の適用においては導入されたガス分子の10%のみが解
離する)、清浄ガス中の個々の分子のチャンバ内での滞
留時間が比較的短いために、チャンバ内に放出された分
子のごく小部分のみが堆積した物質と反応する。エッチ
ング反応に与らないガス分子は、共通に「フォアライ
ン」と呼ばれる真空ラインを通じてエッチングされた物
質及び反応副生成物とともにチャンバから圧送排出され
る。排出されるガスは、半導体プロセスの排出副生成物
である。
【0011】半導体産業において清浄エッチングガスと
して使用されるフッ素含有ガスの多くは、パーフルオロ
化合物又は短縮して「PFC’s」と呼ばれる。より共
通して使用されるPFC’sのいくつかは、CF4、C2
6、NF3及びSF6又は同様のガスを含む。これらの
ガスは、長寿命を有するものとして知られており(CF
4に関して50,000年まで)、大きな地球温暖化可
能性を有するものと信じられている。このように、それ
らの大気中への放出は、潜在的に有害であり政府及び他
の規制の対象となりつつある。
【0012】発明の要約 本発明は、上記の先行技術の問題を、粒子物質及び他の
残留物質が基板処理チャンバの排出ライン内で蓄積する
ことを実質的に回避し及び/又はかかるチャンバからP
FC排出物を低減する装置を提供することによって解決
する。本発明の異なる具体例は、粒子低減又はPFC排
出物低減のいずれに対しても特に設計され最適化され得
る。また、一定の基板処理操作での使用のために粒子及
びPFC排出物の双方の低減に最適化された具体例を設
計することも可能である。
【0013】本発明は、プロセスを透過性としながら、
これらの目的を達成する。すなわち、好適な具体例にお
いて、本発明の操作は、粒子物質がフォアライン内で蓄
積することを回避すること又はPFC排出物を適切に低
減することのいずれにも追加的処理時間を要しない。ま
た、いくつかの好適な具体例において、本発明は追加的
ガスの使用及び/又は消耗部品を必要としない。
【0014】粒子低減のために設計され最適化された本
発明にしたがった装置の一つの具体例において、一対の
容量的に結合した電極は、装置の入口と出口の間に配置
された迷路状ガス通路を画成する。基板処理チャンバか
ら排出されるとき(たとえばCVD工程の間)、真空ラ
イン内で別に集積する粉末残留物及び他の粒子物質は、
ガス通路において捕捉される。装置は、ガス通路内にプ
ラズマを形成するために電極に電力を供給するプラズマ
発生システムを含み得る。プラズマは、清浄工程の間ガ
ス通路を通じて圧送される未反応の排出ガスから形成さ
れる。プラズマからの成分は、直ちに排出ラインを通過
し圧送排出されるガス状生成物中に物質を変換するため
に捕捉された粒子物質と反応する。
【0015】他の具体例において、本発明の装置は、流
体導管を画成する対向する表面を有する第1及び第2部
材を含む。流体導管は、入口と流体導管を流通する粒子
物質を捕集するため及び捕集チャンバから粒子物質の出
現を抑制するため構成されかつ配列された出口の間に、
入口、出口及び捕集チャンバを有する。マイクロ波プラ
ズマ発生システムは、前記流体導管内でエッチングガス
からプラズマを形成するため装置と効果的に連結されて
いる。前記プラズマの成分は、流体導管からポンプによ
り排出され得るガス状生成物を形成するため捕集チャン
バ内で捕集された粒子物質と反応する。装置のこの具体
例の好適な態様において、第1及び第2部材は、各電極
であり、装置はまた電極表面上で粒子物質を捕集するた
め二つの電極間に電圧をかける粒子捕捉システムを含
む。プラズマはまた物質を装置からポンプで排出され得
るガス状生成物に変換するためこの電気的に捕集された
物質と反応する。
【0016】ガス通路は、重力が通路を流通する粒子物
質を捕集するために働き捕集チャンバから粒子物質の出
現を抑制するように構成され配列される少なくとも一つ
の捕集チャンバを含む。さらに、電圧は、通路を流通す
る粒子物質を捕集し捕捉することを促進する電極の間に
電圧領域を創るために少なくとも一つの電極にかけられ
る。
【0017】他の具体例において、本発明は半導体処理
機器からPFC排出物を低減するために設計され最適化
される。かかる装置の一つの具体例は、流体導管を画成
する導管チャンバを含む。PFC酸化剤の発生源は、流
体導管内にあり、プラズマ発生システムは、装置を通じ
て圧送排出されるPFCガスからプラズマを形成する。
プラズマの成分は排出されるPFCガスを低有害の水溶
性の非PFCガス状生成物及び副生成物に変換するため
にPFC酸化剤と反応する。
【0018】本発明の装置の好適な具体例は、シリコン
含有フィルタ内にPFC酸化剤を提供する。プラズマ発
生システムは、装置を通じて圧送排出されるPFCガス
からプラズマを形成する。プラズマの成分は、フィルタ
内にシリコン含有化合物と反応し排出されるPFCガス
を低有害の非PFCガス状生成物及び副生成物に変換す
る。この具体例の好適な一例において、シリコン含有化
合物は酸化珪素物質である。
【0019】本発明の他の具体例において、ガス状シリ
コン発生源及び/又は酸素発生源はPFC酸化剤を提供
するために装置内に導入される。プラズマは、ガス状シ
リコン発生源及び/又は酸素発生源及びPFCガスがら
形成される。プラズマからの成分は、排出されるPFC
ガスを低有害の非PFCガス生成物及び副生成物に変換
するために反応する。
【0020】本発明のさらに他の具体例において、粒子
捕捉及び捕集システムは、基板処理チャンバに連結した
排出ライン内で粒子蓄積物を低減し、捕集された粒子及
び残留物は、PFC酸化剤を提供する。粒子捕捉及び捕
集システムは、かかる残留物を生成する堆積プロセスか
らのシリコン含有残留物を捕捉する。プラズマ発生シス
テムは、排出されるPFCガスからプラズマを形成す
る。プラズマからの成分は、排出されるPFCガスを低
有害の、非PFCガス状生成物及び副生成物に変換する
ため捕集された残留物と反応する。
【0021】かかる具体例の一例において、一対の容量
的に結合された電極は迷路状のガス通路を画成する。D
C又はAC電圧が、通路内に電圧領域をつくるため電極
にかけられる。電圧領域は、通路を通じて排出された負
に帯電した粒子を一つの電極上に、正に帯電した粒子を
他の電極に引き寄せる。画成された通路はまた、通路を
通じて排出される粒子物質を捕捉するために働く。通路
を通じて排出されたPFCガスは、電極にかけられプラ
ズマ状態に励起されるRF電力に晒される。プラズマか
らの成分は、PFCガスを非PFCガス状副生成物に変
換するため捕集チャンバ内で捕捉されたシリコン残留粒
子と反応する。
【0022】本発明のこれらの具体例及び他の具体例
は、その利点と特徴点も同様に、以下の記述及び添付さ
れた図面とともにさらに詳細に記載される。
【0023】好適な具体例の詳細な説明 I.具体例としての半導体処理チャンバ 本発明の装置は、種々の異なった半導体処理装置ととも
に使用することができる。一つの好適な装置である化学
的気相堆積装置が、単純化された平行板化学的気相成長
システム10の断面図である図1に示されている。シス
テム10は、真空チャンバ15中のサセプタ12上にあ
るウェハー(図示せず)に堆積ガスを分散するためのガ
ス分配マニホールド11を含む。サセプタ12は、非常
に熱に敏感である。サセプタ12(及びサセプタ12の
上部表面上に支持されたウェハー)は、低方の負荷/無
負荷位置とマニホールド11に極めて近接する上方処理
位置14との間に制御され移動可能である。
【0024】サセプタ12及びウェハーが処理位置14
にあるとき、それらは環状真空マニホールド24中に排
出する複数の間隔をあけた穴23を有するバッフルプレ
ート17により取り巻かれる。処理の間、マニホールド
11へのガス流入は、矢印21で示すようにウェハーの
表面を放射状に横切って均一に分配される。その後ガス
は、円形真空マニホールド24中にポート23を経由
し、真空ポンプシステム32によって真空フォアライン
31を通って排出される。マニホールド11に到達する
前に堆積及びキャリアガスはガスライン18を通って混
合チャンバ19に供給され、そこでそれらは結合されそ
の後マニホールド11に送られる。
【0025】制御されたプラズマは、RF電力供給25
からマニホールド11にかけられるRFエネルギにより
ウェハに近接して形成される。ガス分配マニホールド1
1は、サセプタ12が接地される間、RF電極である。
RF電力供給25は、チャンバ15に導入される反応種
の分解を促進するためマニホールド11に単一か混合さ
れた周波数RF電力(又は他の好ましい変化)のいずれ
も供給可能である。
【0026】円形外部ランプモジュール26は、サセプ
タ12の環状外周部上に水晶窓28通じて光27の平行
環状パターンを与える。かかる熱分配は、サセプタの自
然熱ロスパターンを補償し堆積を実施するために加熱す
る急速熱的かつ均一サセプタ及びウェハを与える。
【0027】モータ(図示せず)は、処理位置14と低
方のウェハ載せ位置の間でサセプタ12を上げ下げす
る。モータ、ガスライン18に連結したガス供給弁(図
示せず)及びRF電力供給25は、一部のみを示す制御
ライン36上のプロセッサ34によって制御される。プ
ロセッサ34は、メモリ38のようなコンピュータ認識
可能メディア中に記憶されたコンピュータプログラムの
制御の下で機能する。コンピュータプログラムは、タイ
ミング、ガスの混合、チャンバ圧力、チャンバ温度、R
F電力レベル、サセプタ位置、及び特定のプロセスの他
のパラメータを命ずる。
【0028】典型的には、チャンバライニング、ガス入
口マニホールド面板、支持フィンガ13、及び種々の他
の反応器ハードウェアのいずれかあるいは全ては、陽極
酸化されたアルミニウムのような材料から製造される。
このようなPECVD装置の一例は、「熱的CVD/P
ECVD反応器及び酸化珪素の熱的化学的気相堆積への
使用及び現場型多工程平面化プロセス」と題し、共同で
譲渡されているUS特許第5,000,113に記載さ
れている。
【0029】上記反応器の記載は主に例示の目的のため
であり、本発明は電子サイクロン共鳴(ECR)プラズ
マCVD装置、電磁結合RF高密度プラズマCVD装置
又はこれらの類似装置のような他のCVD機器とともに
用いることができる。また本発明は、熱的CVD装置、
プラズマエッチング装置、物理的気相堆積装置及び他の
基板処理装置とともに用いることができる。本発明の装
置及び真空ライン内の堆積の蓄積を防ぐための方法は、
いかなる特定の半導体処理装置又はいかなる特定の堆積
あるいはエッチングプロセスあるいは方法に限定される
ものではない。
【0030】II.本発明の具体例としての使用 化学的気相堆積のような半導体処理操作がCVD反応器
10によって実施されている間、種々のガス状廃生成物
及び汚染物質が真空チャンバ15から真空ライン31に
排出される。特定の操作の実施によっては、これらの排
出生成物は、フォアラインを通って排出されるときにフ
ォアライン内に残留物又は同様な粉末物質を残す部分的
に反応した生成物及び副生成物のような粒子物質、又は
PFCガスのいずれか又は両方を含む。本発明は、フォ
アライン内におけるこのような粒子物質の蓄積を防ぎ、
及び/又は真空チャンバ15から放出されるPFCガス
を低減する。本発明の異なる具体例は、かかる粒子の蓄
積を防ぐため又はPFCガス放出を低減するためのいず
れかのため特に設計され最適化され得る。また、本発明
のいくつかの具体例は、粒子蓄積とPFC放出の両方を
低減するために最適化され得る。
【0031】本発明の装置に適合する図1の単純化した
CVD装置の断面図である図2に示すように、本発明の
装置は、排出ガス発生源、すなわち処理チャンバから下
流に配置される。装置は、真空フォアラインの一部と連
結するか取り替え得る。図2において、下流のプラズマ
清浄装置40(以下「DPA40」又は「DPA」と呼
ぶ)は、真空ライン31の一部に沿って真空ポンプシス
テム32と真空マニホールド24の間に備え付けられ
る。その位置のため、真空チャンバ15から排出された
ガスは、必然的にDPA40を通過する。DPA40
は、真空ライン31に沿っていかなる場所にも配置し得
るが、好ましくはDPA40はチャンバ15から排出さ
れたガスが真空ライン31のいかなる部分を通過する前
にDPA40を通過するように排出マニホールド24に
可能な限り近接して配置する。
【0032】また、図3に示すように、2以上のDPA
を真空ライン31に連結することが可能である。かかる
構成は、たとえば、粒子と残留物蓄積から真空ポンプ3
2をさらに保護するために粒子捕集が最適化された2個
のDPAを使用するために用いられ得る。図3に示した
構成において、第2のDPA42はポンプ32の直前で
DPA40の下流に配置される。粒子物質がDPA40
を逃れる場合、物質はDPA42内で捕捉されガス状形
態に変換され得る。DPA40と42は両方とも、分離
器46によって分離される電力をもつ単一のRF電力供
給44により駆動可能である。DPA40と42は、随
意に、それぞれ分離したRF電力供給により駆動され、
又は両方とも処理チャンバ10に連結した主RF電力供
給により駆動され得る。
【0033】かかる2個のDPA構成は、放出されたP
FCガスをさらに制限するためにPFC低減に向けられ
最適化された2DPAを使用するために用いられ得る。
又は、二者択一的に、2DPA構成は、粒子低減に向け
られ最適化された1のDPA及びPFC低減に向けられ
最適化された1のDPAを含むことができる。PFC低
減と粒子捕集のために最適化された分離したDPAが使
用される場合、フォアライン中の粒子捕集が最適化され
たDPAをPFC低減DPAの上流に配置することが好
ましい。かかる構成は、単にそれ以後のセクションより
むしろ全フォアライン内における粒子蓄積を防止するこ
とをより助長し、またPFC低減DPA中で不必要な粒
子蓄積を潜在的に低減し得る。
【0034】フォアライン中の粒子蓄積の低減するため
及び/又はPFC放出を低減するために形成され最適化
されるような種々の構成及び具体例の詳細は、以下で論
じられる。これらの具体例は、例示の目的のためにのみ
説明される。本発明は決してこれらの特定の構成又は具
体例に限定されて解釈されるものではない。
【0035】A.粒子低減のために最適化されたDPA
40の特定の具体例 本発明のいくつかの具体例は、かかる粒子物質がチャン
バから排出されるようにフォアライン内における粒子及
び残留物の蓄積を低減するために構成され最適化され
る。かかる粒子物質の例として、前駆物質としてシラン
(SiH4)、窒素(N2)、及びアンモニア(NH3
使用する窒化珪素フィルムの堆積の間、Sixyz
Sixy、及び元素の珪素から構成される茶色の粉末の
形での残留物がフォアライン中で観測された。この残留
物蓄積はSiH4+N2+NH3の反応の半反応副生成物
からのものであるとされる。発明者の知る限りにおい
て、シランを基礎とする窒化珪素CVD堆積操作は、最
大限の粒子物質を生ずる基板処理操作の一つである。し
かし、他の基板処理操作もまた粒子物蓄積及び残留物を
生ずる。たとえば、同様な残留物質はまた、ジシラン
(Si26)又は有機発生源のような他の前駆物質ガス
又は液体を使用する窒化珪素層の堆積の間に形成され
る。残留物蓄積はまた、他の層の中でオキシ窒化物フィ
ルム、酸化珪素、炭化珪素及び無定形珪素フィルムの堆
積の間に起こり得、プラズマエッチング及び他のプロセ
ス工程の間にもまた起こり得る。
【0036】本発明の粒子低減の具体例は、捕集チャン
バ中で粒子物質を捕捉すること及び真空フォアラインと
通じて排出される反応物質ガス及び捕集チャンバ内の残
留物及び粒子物質をプラズマ状態に励起することによっ
てかかる残留物及び粒子物質の蓄積を防ぐ。プラズマ
は、ライン中に堆積又は凝縮を形成することなくDPA
及び真空ラインを通ってポンプで送られるガス状の生成
物及び副生成物を形成するために捕集チャンバ内で捕捉
される残留物及び粒子物質と反応する。
【0037】操作において、堆積ガスが真空ライン31
を通って真空チャンバ15から排出されるように、ガス
からの粒子物質及び残留物はDPA内のガス通路の内部
表面上に堆積される。粒子物質及び残留物の除去は、D
PA内にプラズマを形成するためDPA40を活動化す
ることにより達成し得る。DPAは、エッチングガスが
チャンバ15から排出されるとき、清浄サイクルの間か
かるプラズマを形成するために活動化される。
【0038】活性化されると、DPA40はDPAを通
過する排出(エッチング)ガスからプラズマ状態にプラ
ズマを形成する電圧場を生成する。プラズマは、DPA
40内の粒子物質及び残留物をガス状の生成物及び副生
成物への分解を促進し、これらがフォアラインを通って
ポンプで送り出されこの結果フォアライン内での粒子堆
積又は残留物蓄積を防ぐ。たとえば、窒化珪素堆積に関
してDPA40内の残留物の蓄積が上述のようにSix
yz、Sixy及び元素の珪素からなる茶色の粉末の
形であり、清浄サイクルの間に使用されるエッチングガ
スがCF4及びN2O混合物である場合、DPA40に
より形成されたプラズマは残留物をSiFx、COF2
2、SiOF2、CO及びCO2、NO、O及びO2のよ
うなガス状成分に分解するものとされている。
【0039】いくつかの適用において、DPA中に排出
されるエッチングガスからプラズマを生成するより、実
際にはDPAは基板処理チャンバ中で形成されたプラズ
マを維持する。すなわち、これらの適用においては、チ
ャンバ中で形成されるプラズマの一部又は全部はチャン
バの下流でなお活性を有する。このことは、たとえば、
プラズマが高反応性フッ素化種から形成されるときチャ
ンバ清浄操作の間生ずる。プラズマのからの成分は、な
お励起され又はプラズマ状態にある間にチャンバからフ
ォアライン及びDPA中に排出される。このようにこれ
らの具体例において、DPA40中の電圧場は新しいも
のを形成するよりプラズマを維持する。プラズマがDP
A内で維持されるか生成されるかによって、DPAの設
計又は操作のいずれも変更する必要はない。
【0040】DPA40が、殆どの具体例において清浄
サイクルの間にのみプラズマを形成し及び/又は維持す
るために活性化される一方で、他の具体例では他の具体
例におけるCVDガスをさらに反応するために堆積と清
浄サイクルの両方の間プラズマを維持することが可能で
ある。かかる構成において、追加的エッチングガスは、
以下にさらに詳細に記述するように堆積サイクルの間、
DPAの上流に又はDPA中に直接に導入することがで
きる。
【0041】DPA40内での通常の堆積による残留物
の捕集に加えて、DPA40の種々の好適な具体例がD
PA内のチャンバ15から排出される粒子物質を捕捉し
物質がDPAの下流で堆積できないように特に設計され
ている。捕捉は、以下に詳細な記述のように機械的、静
電気的及び/又はサーモフォレチックな捕捉機構を用い
て行われる。一度捕捉されると、その後真空ライン31
を通ってポンプで送られるガス状の副生成物を形成する
ために清浄処理の間プラズマ中で活性種と反応するま
で、粒子物質はDPA40中に残存する。
【0042】これらの具体例において、DPA内でプラ
ズマを形成し又は維持する電圧場の適用なしに粒子の蓄
積を効果的に低減することが可能である。これは、たと
えば、清浄なプラズマ中で発生するフリーラジカルがD
PA中に排出されたとき依然として励起状態にある程十
分に長い寿命を有するように、チャンバ清浄の間エッチ
ング液(たとえば、フッ素)のイオン化が十分に高いと
きに可能である。かかる励起状態において、フリーラジ
カルは捕捉された粒子物質と反応しその物質を上述した
ようにガス状生成物に変換し得る。
【0043】プラズマは、HFあるいはRF電力の容量
的に結合した電極あるいは誘導結合したコイルへの適用
又はマイクロ波あるいはECR技術のような種々の既知
の技術を使用してDPA40内に発生させることができ
る。これらの方法のうちのいくつかの特定な具体例は、
以下により詳細に記述されている。以下に記述された各
具体例において、記述されたDPAはプロセス透過性に
設計することがコスト効率判断に好ましい。すなわち、
DPA40は好ましくは、余分の清浄ガス又は余分の清
浄時間を使用する必要もなくフォアライン内の粒子蓄積
を防止するために設計される。また、DPAは、フィル
ム特性に関して、不均一性、粒子汚染物、応力及びその
他のような逆の効果を持たないことが好ましい。
【0044】1.好適な具体例 図4(a)(b)5(a)6(a)(b)は、残留物及
び粒子蓄積の低減のために構成され最適化されたDPA
40の好適な具体例の種々の透視図及び断面図である。
図4(a)は、その扉を取り除いたDPA40の前面透
視図であり、図4(b)は(扉を取り除いた)DPAの
前面の平面図である。図5(a)は、DPAの中央の平
面に沿って描かれた前面の透視断面図である。図5
(b)は、DPAの中央の平面に沿って描かれた側方透
視断面図である。図6(a)は、DPA40への連結を
通じる電力送り装置の断面図である。図6(b)は、扉
とハンドルを取り付けられたDPA40の透視図であ
る。
【0045】図4(a)(b)、5(a)(b)、6
(a)(b)に示すように、DPA40は入口50と出
口52を含む(図5(a)参照)。入口50と出口52
の間に、一対の対向するアルミニウム電極、陰極56と
陽極58(図4(a)参照)によって画成される流体導
管54(ガス通路)がある。DPA40は、連結機構6
4及び66(図4(a)参照)を通してフォアラインに
連結される(又は処理チャンバに直接に連結される)。
たとえば、一つの具体例において、DPA40は連結機
構64によってチャンバ排出管接続口に直接連結され、
フォアラインの始点は連結機構66においてDPAに連
結される。基板処理チャンバからフォアライン中に排出
されたガス及び粒子物質は入口50を通ってDPA40
中に移り出口52から出ていく。着脱式アルミニウム扉
63(図5(b))は背板65(図5(b))とともに
ガス通路54を密閉する。アルミニウム扉63及び背板
65は、電極(陽極)58と電気的に結合している。電
極56と58、扉63及び背板65はDPA40中へ排
出されるガスが漏れ出すのを防止する密閉された真空チ
ャンバ(流体導管54)を形成する。扉63及び背板6
5は各々、DPAを通って排出されるガスが矢印60
(図4(b))で示したガス流路の外側に拡散すること
を防止するシールを形成するため電極56と58に接触
するセラミック絶縁板71(図5(b))を含む。好適
な具体例において、テフロンのクッション73(図5
(b))がアルミニウム扉とセラミック絶縁層71の間
で扉63中に含まれる。テフロンのクッション73は、
セラミック絶縁層71より高い熱膨張を有し、破断ある
いは亀裂なく膨張できるように比較的柔らかい。DPA
40がプラズマを形成するために活性化されると、熱を
発生しこれによりテフロン層73が膨張し電極56と5
8に対しセラミック絶縁層71を押すことになる。これ
により、ガスがDPAから漏れ出さないように扉63に
おける適切なシールを確実にするよう助長する。
【0046】扉63は、ネジ59を通してDPA40に
取り付けられ、ネジを取り除くことによってハンドル6
7(図6(b))で取り外すことができる。一旦取り外
すと、DPA40の内部は、延長使用後あるいは他の理
由により生じる粒子蓄積あるいは残留物を取り除くため
に、アルコールのような湿潤溶液で清浄され又は手でふ
き取られ、及び/又は真空にされる。好適な具体例にお
いて、ハンドル67は、プラスチックのような熱伝導の
乏しい材料から造られる。
【0047】電極56と58は、4個の絶縁プラグ(好
適な具体例においてセラミックから造られている)61
(図4(a))によって互いに電気的に分離され、これ
は陰極リテーナについても適用される。図に示したよう
に、電極56と58は、陰極リテーナに一部を収容する
ためにそれらに中に機械加工された溝を有する。2個の
陰極リテーナ61は、図中でDPAの前面側に示され、
他の2個はDPAの裏面上に同様に配置される。一つの
具体例において、陰極リテーナ61は、約1cmの厚さ
である。このように陰極リテーナ61は、ガス通路54
の全幅まで拡張せず、通路を通るガス流れを閉鎖しな
い。
【0048】DPAにおいて、ガス流れは、矢印60
(図4(b))で示したように流体導管54を流れる。
流体導管54は、2つのミラーイメージのガス流れ通路
を含む。陰極56の押し出し部分(流れ分離器57、図
4(b)参照)は、流出ガスを2つの流れ通路の1つに
振り向ける。約半分のガス流れは、DPA40の左側の
通路に向けて迂回され、他の半分は装置の右側に向けて
迂回される。
【0049】流体導管54は、たとえば基板堆積又は他
のタイプの処理工程の間に発生する粒子物など流出ガス
流中に存在する粒子物を捕集し捕捉するために部分的に
重力に依存する捕集領域62(図4(a))を含む、迷
路状の/曲がりくねった通路である。各粒子捕集領域6
2は、粒子物をDPAから引きずり出そうとする流出ガ
ス流路にもかかわらず、粒子物が重力によってU部分の
底部領域内で捕集され保持されるように配置されている
ガス通路のU字形部分である。ガス流れは、図5(a)
に示すように陰極56又は陽極58のいずれかの押し出
しフィンガ79によって各U部分を通って振り向けられ
っる。これらの粒子捕集領域62は、重力又は機械トラ
ップとしてまとめて呼ばれ、以下にさらに詳細に議論す
る。
【0050】電極56と58は、両方で平行板電極プラ
ズマ発生システム及び静電粒子捕集機を形成する。静電
粒子トラップの一部として、電極58が帯電した排出粒
子物質を引き寄せるために接地されている間、DC電力
が電極56にかけられる。かけられたDC電力は、一方
の電極上にDPAを通って排出される正に帯電した粒子
を引き寄せ、他方の上に負に帯電した粒子を引き寄せる
電圧場をつくり出す。電極58はまた、接地されている
とき、RF遮蔽のためにファラデー箱として働く。プラ
ズマ発生システムの一部として、RF電力は電極56に
かけられる。かけられたRF電力はDPAを通って通過
する流出ガスからプラザマを形成し、重力捕捉領域62
又は電極56と58の表面に沿ったいずれかの中で捕集
される粒子物及び残留物をエッチングする。
【0051】図7は、電極56と58を含む電気回路を
示すダイヤグラムである。図7に示すように、電極56
は電極58が接地される間DC発電機100とRF発電
機102の両方に連結されている。DC発電機100
は、静電捕捉器の要求するDC電圧を供給し、RF発電
機102はプラズマを形成するためのRF電力を供給す
る。RFマッチ回路104は、反射電力を最小限にする
ために発電機出力インピーダンスを50Ωに等しくして
つなぎ、DC/RFフィルタ(低域RCフィルタ)10
6はDC電力供給100をRF信号干渉から隔離する。
RF発電機102は図2に示すRF電力供給25と同一
の電力供給、又は唯一DPA40を駆動する隔離したR
F電力供給であり得る。さらに、複数の処理チャンバが
クリーンルーム中に存在するとすると、チャンバに連結
された複数のDPAはすべて適当な数のRF電力分離器
に連結し、隔離された専用のDPARF電力供給であり
得る。
【0052】DPA40を通過する、及び/又はDPA
40内で堆積される材料の反応を確実にするため、DP
Aは、プラズマを形成し及び/又は維持するために十分
なレベルでRF電力供給(たとえば、RF発電機10
2)によって駆動しなければならない。一般に、50−
2000ワット間又はそれ以上の電力レベルが、陰極の
表面領域及び所望のプラズマの強度に依存して使用され
得る。陰極の58の表面領域が約120in2である具
体例において、好ましくは750−1000ワット間の
電力レベル(6.31と8.42W/in2)が用いら
れる。選択される実際の電力レベルは、強度のプラズマ
を形成するための高い電力レベルに対する要求とエネル
ギーコストを節約しより小さく費用のかからない電力供
給の使用を許すため低い電力レベルを使用することに対
する要求とのバランスによって決定されるべきである。
【0053】DPA40を駆動する電力供給は、約50
KHzから約200MHz又はそれ以上の周波数範囲で
操作され、好ましくは約50KHzから60MHzの範
囲内で操作される。一般に、低い周波数の電力供給は、
高い周波数電力供給より購入及び操作するため少ない費
用で済む。したがって、大部分の好適な具体例におい
て、DPA40を駆動する電力供給は、325KHz以
下のRF周波数を与えるように設計される。RF電力供
給は、単一の周波数のRF電源又は混合された周波数の
RF電源のいずれかから供給され得る。最適電力出力及
び供給の操作周波数は、DPAが使用される適用及びコ
ストの検討とともにDPA40中で処理されるガスの体
積に依存する。
【0054】DPA40への電気的連結は、部品貫通電
力供給(PFD)68(図4(a))を通じてなされ
る。PFD68は、PFD68の拡大された側面図であ
る図6(a)に詳細に示されている。PFD68は、コ
ネクタ70を通じてDC発電機100とRF発電機10
2を陰極56に連結する。好適な具体例において、コネ
クタ70は、陰極56に直接ネジ止めするネジである。
【0055】RF連結部における腐食を低減するため、
及びネジ70と陰極56の間の十分な電気的連結を維持
するため、連結は大気圧においてなされるべきである。
この大気圧の領域は、領域76として示され陰極56に
接触するネジ70の領域を含む。Oリング78は、陰極
56と領域76の間のシールを維持する。OリングがD
PAの操作中に発生する強度の熱により溶融することを
避けるために、特別に設計された領域が、陰極56の主
要な部分(領域56Aとして示す)からOリング78が
はめ込まれている陰極56の領域(56Bとして示す)
への熱の移動を低減するために提供される。この特別に
設計された領域は、真空領域80及び陰極56の薄い部
分82を含む。発生し及び/又は陰極56の領域56A
に移動される熱は、真空領域80が大部分陰極56Bを
陰極領域56Aから隔離するため、領域56Bに直ちに
は移動しない。RFとDC信号を領域56Bから領域5
6Aに移動する陰極56の小部分(部分80)は、領域
56Aから領域56Bに移動された熱を大きく低減する
ために十分に薄い。
【0056】連結を通じての電力供給は、アルミニウム
収納箱72に収納されテフロン板73とテフロンリング
74、75、81よって収納箱72と扉63から絶縁さ
れる。
【0057】収納箱72は、陽極58と扉63に電気的
に連結されている。平座金84、ロック座金85及びナ
ット86は、テフロンリング75とテフロンライニング
73を陰極56の領域56Bへの締め付けを可能にす
る。この締め付け力は、十分なシールを維持するために
Oリング78を圧縮する。第2のOリングのOリング7
7は、連結68を通って電力供給を通じてガス漏れを許
さないようにテフロンライニング73と扉63の間のシ
ールを維持する。
【0058】標準的操作において、DC電力は、DPA
40の粒子捕捉能力を高めるために、CVD工程のよう
な基板処理工程の間電極56に与えられる。電極56に
適用される電圧は、その用途に依存して変化する。典型
的には、100から3000ボルトの間の適用は、効果
的な捕捉機構を創り出す。かかるDC電圧は、チャンバ
操作の間の全時間与えらら、又はDPA40が活性化さ
れるときチャンバ清浄操作の間中止される。
【0059】1つの基板処理操作において、約40%±
10%の発生粒子物が負に帯電される間約60%±10
%の発生粒子物が正に帯電されたことが測定された実験
で、窒化珪素がSiH4、N2及びNH3の処理ガスから
堆積した。図8に示したように実験により、DPA40
内で約500volt/cmDC場の発生がこの基板処
理操作での使用に最適の静電捕集器を提供したことを測
定した。
【0060】図8において、ライン110は、電極間の
200から1200volt/cmの間の電場の発生か
ら正に帯電した電極上に捕集した負に帯電した粒子物の
全累積を示し、ライン112は、接地された電極上に捕
集された正に帯電した粒子物の全累積を示す。ライン1
14は、捕捉された粒子物の全累積を示す。500ボル
トより低い電圧場においては、高い方の電圧場が部分的
プラズマを形成する一方、大きい方の粒子物は静電捕集
器によって効果的に捕捉され得ない。かかるプラズマ形
成は、発生した電場の特性を変え、捕捉効率を下げる。
【0061】静電捕集器と機械的(重力)トラップの組
み合わせは、真空ライン31中の堆積蓄積を防止するた
め特に効率的な機構を提供する。重力トラップは、これ
ら粒子物が重力によって外部管62内に保持されること
が起こりそうであることから、流出ガス流中に存在する
比較的大きい粒子物を捕捉することに特に効果的であ
る。一方、静電トラップは、重力トラップによって十分
に捕集され得ない流出ガス流中のより小さい粒子物を捕
集し捕捉することに特に効果的である。
【0062】上述した窒化珪素の堆積において、一例と
して、直径が1μmから直径が1mm又はそれ以上のサ
イズにわたる粒子物が観測された。これらの粒子物が排
出ライン内にあるとき、重要な力が粒子物上に働く。ガ
スの運動から生じる重力誘引力(Fg)及び中性牽引力
(Fnd)である。直径が100μmより大の粒子物のよ
うな大きい粒子物質に関し、主要な相互作用は重力であ
り、そのため機械的トラップが特に効果的である。しか
し、より小さい粒子物に関し、ガスの牽引力は重力より
高いものであり得る。その結果、静電トラップの2つの
電極の間に発生した電場は、粒子物の直交接線に垂直
な、補充的力(Felec)を与える。この力は、直径10
μm以下の粒子物のような小さい粒子物に関し重力と牽
引力の両方の2又はそれ以上のオーダーで大きいもので
あり得、非常に高い捕集効率をもたらす。
【0063】図9は、本発明の1つの具体例にしたがっ
て、粒子物上の中性牽引力と比較した静電及び重力の効
果を示すグラフである。ライン122は重力を示し、ラ
イン124は静電力を示し、ライン126は粒子中性牽
引力を示す。より小さい粒子に関し、静電力124は重
力122より大きい。より大きい粒子物に関し、重力1
22は静電力124より優位を占めている。この具体例
において、力は、直径約30マイクロメータより大の粒
子物が機械的トラップによって主として捕集される間、
直径約30マイクロメータまでの粒子物が静電捕集器に
よって主として捕集されるようなものである。静電力又
は重力のいずれが所定の粒子物に優位を占めるかどうか
にかかわらず、図7の焦点は、DPA40が静電力12
4又は重力122のうちの少なくとも1つがあらゆる所
定のサイズの粒子物に関し中性牽引力126より大であ
るように好適に設計されていることである。かかるケー
スにおいて、静電及び機械的捕捉捕集器の組み合わせ
は、種々のサイズの粒子物が効果的に捕集されることを
確実にする。
【0064】第4の力であるサーモフォレチック力(F
th)はまた、DPA40内で粒子物上に働く。サーモフ
ォレチック力は、DPA内で発生する温度勾配に起因す
る。かかる温度勾配は、たとえばプラズマ支持(plasma-
assisted)清浄プロセスの間プラズマの形成によって発
生する。プラズマ形成の間、陰極56はプラズマ形成の
間のイオン衝撃及びジュール効果のために陽極58より
熱くなる。1つの具体例において、陰極56と陽極58
の間の温度勾配は、150℃のガス温度において200
℃/cmである。この具体例におけるサーモフォレチッ
ク力は、図7中のライン128で示す。この具体例にお
いてサーモフォレチック力128が0.1から100μ
mの間の粒子物を捕捉するのに十分強くない一方で、帯
電及び帯電していない粒子物の両方の捕捉に寄与し得
る。また、他の具体例において、本技術の当業者であれ
ば、より大きなサーモフォレチック力が粒子物及び残留
物の捕捉においてより効果的に助長するために生じるよ
うなより大きい温度勾配をどのようにしてつくるか理解
するであろう。
【0065】前述のように、チャンバ清浄操作の間、R
FエネルギはDPA中に排出された流出エッチングガス
からプラズマを形成及び/又は維持するために電極56
に与えられる。プラズマからの成分は、1またはそれ以
上前の基板処理工程からDPA中で捕捉された粒子物及
び残留物と反応する。好ましくは、このプラズマを形成
するRFエネルギの適用は、エッチングガスがDPAを
通って排出されない時間の間停止される(かかる構成に
おいてDPA40は受動的装置というよりは能動的装置
と呼ばれる)。DPA40が能動的装置として形成され
るとき、DPA40の時間面の制御(たとえば、RF電
力供給102及び/又はDC電力供給100のON及び
OFFのスイッチング)は図1に示すコントロールライ
ン36に送られるコントロールシグナルの適用を通じて
プロセッサ34によって総合的に実行される。図12中
には示していないが、かかるコントロールラインはかか
る構成においてDPA40に連結される。
【0066】代わりの具体例において、清浄操作の間チ
ャンバ15から排出されるエッチングガスに加え、チャ
ンバ15から分離するエッチングガスの導入のため、ガ
ス供給ラインを直接的にDPA40に提供することは可
能である。かかる追加のガス供給ラインは、たとえば、
入口50であるいはその近傍でDPAに連結することが
できる。また、DPAから上流の位置においてフォアラ
インに直接連結することもできる。かかる分離ガスライ
ンが提供される場合には、エッチングガスの追加的な供
給は、清浄シーケンスの間のみ、堆積あるいは他の基板
処理工程の間のみ、DPAに与えることができ、又は堆
積及び清浄サイクルの両方の間に連続的に与えられる。
エッチングガスが基板処理工程の間DPAに供給される
具体例において、RFエネルギは基板処理工程の間DP
A内でプラズマ及びさらにそれからエッチング堆積物を
形成するため電極56に与えられる。
【0067】粒子物を捕捉すること及び堆積の蓄積を低
減することにおけるDPA40の効率は、チャンバ15
から発生し排出される粒子物の量、DPA40を通って
流れる流出ガスの速度、電極56と58の間で発生する
電圧場、電極56と58の表面積、及び清浄相の間に発
生するプラズマの強度やその他などを含む多数の要因に
依存する。
【0068】また、多数の他の設計がDPA40の効率
を高める。たとえば、好適な具体例において、流れ分離
器57の上部表面(図4(a))は単一の端部に鋭く角
度を付けられている。実験によると、堆積の蓄積は、ガ
ス流れが直接にDPA内のバリヤあるいは他の表面に接
触する位置でより急速に捕集することを示した。流れ分
離器57の単一の端部の直接上方でかつ垂直な入口50
を通じた流出ガスの導入と組み合せた流れ分離器57の
角をなす表面は、入口50を通ってDPA40に入る流
出ガス流れのためのより小さい接触面積を提供する。か
かる角をなす表面なしで(たとえば、丸い表面)実施さ
れた実験において、粒子物の蓄積が分離器57の上方の
表面上で捕集された。かかる蓄積の量によって、蓄積を
折り取り捕集領域62の1つに落下することが可能であ
る。粒子物の蓄積が十分に大きい場合、通常の清浄サイ
クルの間に形成したプラズマによって放散させることは
できない。このことは、ガス通路の閉塞をもたらし得
る。また、蓄積が誘電物質(たとえば、窒化珪素堆積か
らの蓄積)である場合、蓄積はプラズマ発生を妨げ、形
成したプラズマの強度を小さくする。これは次いで堆積
物質の少ないエッチング及び通路の閉塞という結果にな
る。好ましくは、流れ分離器57の側方表面は、かかる
蓄積を防止するために30°以下の角度で相接する。形
成される角度は、約10°以下であることがより好まし
い。
【0069】DPA40の任意の1つの特定の領域にお
ける粒子物の蓄積を少なくする他の設計の特徴は、入口
50とガス流れが左と右の流れに分割される点との間で
ガス通路54の一部の壁の輪郭である。入口50におい
て、鋭い角度をもつ1つとは対照的に、ガス通路への、
なめらかな、輪郭を付けられた転移(あるいは引き起こ
し)は、ガス流れの通路への均一な分配を確実にするこ
とを助長する。入口50から流体導管54へのこの輪郭
を付けられた転移は、輪郭マニホールドと呼ばれる。
【0070】輪郭マニホールドを通じる均一なガス流れ
は、ガス通路54の左及び右の各部分へのガス流れの均
等な分配を確実なものとすることを助長しこれにより他
と比較して通路の一部におけるより大きな粒子物の蓄積
を防止する。輪郭マニホールドはまた、電極の全幅にわ
たって均一なガス分配を確実にする。輪郭マニホールド
の好適例の輪郭は、ガス通路表面55として図5(a)
及び5(b)中に詳細に示す。
【0071】均一なプラズマの形成は、DPA40内で
粒子物と捕集された残留物の完全な除去を確実にする。
このために、電極56の表面領域を電極58の表面領域
とほぼ同一のものとすることは有用である。種々の位置
において3:1と1.3:3の間の表面領域における相
違を有するDPAにおいて実施された実験は、平坦でな
い表面領域電極でプラズマを形成することが可能である
こと、及びかかるプラズマがDPA内で捕集されたある
物質を十分に除去することができることを示す。しか
し、これらの実験において、粒子物及び残留物の蓄積
は、電極表面領域比が3:1よりも1.3:1により近
かったDPAの領域中においてより効果的に除去され
た。陰極56の表面領域が陽極58の表面領域の95パ
ーセント以内(118.79in2対123.31i
2)であった更なる実験において、プラズマ形成はよ
り強度あり粒子除去はさらにより効果的であった。他の
具体例において、陰極の表面領域は陽極のそれと実質上
同等である。
【0072】他のプラズマの均一性の問題は、電極58
を基準とする電極56の間隔あけを含む。この間隔あけ
は、以下の例外を伴って、DPA40のガス通路にわた
って基本的に一定のままである。プラズマの電圧破壊
は、圧力と電極間の距離の関数(P×D)である。DP
A40を通って流すために流出ガス流れにとって、入口
50の近くの圧力が出口52近くの圧力より僅かに高い
ことが必要である。この好適な具体例において電圧破壊
を一定に保つために、上方部分における電極間よりもD
PA40の下方部分における電極間により多くの空間を
導入する。この間隔あけ変化は、たとえば、図4(b)
に示すようにDPAの上方部分において電極56及び/
又は電極58のいずれか又は両方の突起フィンガをより
厚くすることによってなし得る。図4(b)において、
DPA40の上方部分における陰極56と陽極58のフ
ィンガは、それぞれa及びbの厚さを有する。一方で、
DPA40の下方部分の対応する部分はそれぞれc及び
dの厚さを有し、ここでa>c及びb>dである。
【0073】DPA内の圧力はまたプラズマ形成に影響
する。一般に、より高い圧力はより効率的なプラズマエ
ッチングを結果として生ずる。したがって、より高圧に
おいてDPAを操作することは、より低圧より低い電力
要求を許し、次いで操作コストの節約を結果として生ず
る。より高いDPA圧力は、DPAの後のフォアライン
中のスロットルバルブの配置によって得ることができ
る。かかる構成において、DPAから下流の単一のスロ
ットルバルブ又は、1つはチャンバ圧力を制御するため
にDPAの上流に及び処理チャンバ内の圧力と無関係な
DPA圧力を制御するため下流に、好ましくは二重のス
ロットルバルブを使用することが可能である。
【0074】DPAの下流のスロットルバルブなしで、
DPA内の圧力は一般にフォアラインの圧力と等しい
(約4.5−6torrで運転されるあるPECVD処
理装置において約0.8−2.5torrの間)。しか
し、DPAから下流のスロットルバルブとともに、DP
A内の圧力はより広い範囲にわたって制御可能である。
勿論、DPA中の圧力は、チャンバからの流出ガス流れ
を維持するためにチャンバ内の圧力より小さくなければ
ならない。DPA内の圧力を増大することはまた、DP
A中に排出される粒子物の中性牽引力を増大するという
所望されない副作用を有し、次いで、重力捕捉の効率を
下低下する。このように、DPA中で設定された現実の
圧力は、プラズマ効率問題を粒子物捕捉問題と釣り合わ
せるべきであり、DPAが働く特定の適用に依存する。
【0075】圧力感応スイッチ53(図5(b))は、
DPA40内の圧力をモニタするために含まれる。DP
A内の圧力が所望されないレベルに上昇する場合には、
スイッチ53はプロセッサ34にDPAと基板処理チャ
ンバ10の両方をOFFにするよう信号を送る。好適な
具体例において、スイッチ53は、DPA40ないの圧
力が1/2大気圧(360torr)を超えて増大する
ときにシャットダウン操作を開始する半大気圧スイッチ
である。
【0076】DPA内でプラズマを形成するために使用
されるRF電力、陰極のサイズ、DPAが活性化される
経過時間及びその他の要因に依存して、DPA40は実
質的な量の熱を発生することができる。熱を放散するた
めに、DPA40は図6(a)中に示す熱放散フィン6
9を含めることができる。熱放散フィン69は、陽極5
8に付けられている。
【0077】熱は、イオン衝撃とジュール効果により陰
極56においてプラズマ形成の間に発生する。したがっ
て、陽極58は、陰極56より冷たい。さらに、陽極5
8は、PFD68中のセラミック陰極リテーナ61、セ
ラミックライニングプレート71(後方及び扉におい
て)及びテフロン絶縁リングにより陰極56から熱的に
絶縁されている。フィン69は、陽極をさらに冷却する
ことを助長する。フィン69は、アルミニウムのような
熱伝導性物質から構成され、受動的冷却装置であること
においてDPA40を冷却する好適な方法である。安全
上の理由から、DPA40の外部が少なくとも75℃以
下に冷却されるようにフィン69を設計することが好ま
しい。
【0078】DPAがアプライドマテリアルズにより製
造されたP5000反応器システムにおけるDCVDチ
ャンバに装備される好適な具体例において、フィンはD
PAの3側面上に配置されるが、第4面上には配置され
ない。代わりに、DPAの第4面(後方)は基板処理チ
ャンバの部品直接対抗して置かれる。フィン69によっ
て与えられる冷却速度は、フィンのサイズに依存する。
陰極の温度が250−300℃の間になる1つの具体例
において、フィン69はDPAの外部を約75℃まで冷
却するのに十分大である。
【0079】また、DPA40を冷却するために他の方
法を使用することもできる。たとえば、DPA40の周
りに水を循環する冷却システムは、DPAからの熱を移
し去るために使用することができる。かかる冷却システ
ムは、能動的冷却機構である。
【0080】2.DPA40の好適な粒子物低減具体例
を使用するテスト結果 粒子物の蓄積を低減する本発明の有効性を証明するため
に、実験が実施され、このなかで、上述の好適具体例に
従って設計されたDPA40が6インチウェハ用に装備
されかつ窒化珪素のCVD堆積用に設計されたプレシジ
ョン5000チャンバに付けられた。プレシジョン50
00チャンバは、半発明の譲受人であるアプライドマテ
リアルズによって製造される。
【0081】DPAの有効性のテストを実施する実験の
前に、窒化珪素堆積工程、これに続くフッ素清浄工程に
よって処理チャンバ中に堆積した残留物の組成を測定す
るために実験が行われた。残留物の組成は、2つの異な
った窒化珪素堆積/フッ素清浄プロセスシーケンスの間
に測定された。各プロセスシーケンス中、窒化珪素堆積
工程は、清浄工程が第1シーケンス中CF4化学に及び
第2シーケンス中NF3化学に基づくとはいえ、同一で
ある。
【0082】窒化珪素フィルムはウェハをシラン(Si
4)、窒素(N2)及びアンモニア(NH3)ガスのプ
ラズマに晒すことによってウェハ上に堆積した。SiH
4は、275sccmの流速でチャンバ中に導入され、
2は3700sccmの速度でチャンバ中に導入され
NH3は100sccmの速度で導入された。プラズマ
は、720ワットで運転される13.56MHzRF電
力供給を使用して、4.5torrの圧力、400℃の
温度で形成された。窒化珪素堆積プロセスは、ウェハ上
におよそ10,000Åのフィルムを堆積するのに十分
であったおよそ75秒続いて堆積した。
【0083】第1のサンプルとして、窒化珪素堆積工程
が完了しウェハがチャンバから除去された後、チャンバ
が120秒間CF4とN2Oのプラズマで清浄された。C
4のN2Oへの比は、CF4が1500sccmの速度
で導入されN2Oが500sccmの速度で導入され、
3:1であった。清浄工程の間、チャンバは400℃の
温度でかつ5torrの圧力で維持された。プラズマは
1000ワットで供給される13.56MHz電力供給
で形成された。
【0084】第2のサンプルとして、チャンバがNF3
とN2OとN2前駆物質ガスから形成されるプラズマで清
浄された。NF3のN2O、N2に対する比は、NF3が5
00sccmの速度で導入され、N2Oが200scc
mの速度で導入され、及びN2が1000sccmの速
度で導入され、およそ5:2:10であった。チャンバ
は、およそ95秒間続いた清浄工程の間、400℃の温
度で、5torrの圧力で維持されていた。プラズマ形
成は、1000ワットで供給される13.56MHz電
力供給で達成された。
【0085】NF3清浄として残留物サンプルの色が黄
色/白であった一方で、CF4清浄としての残留物の色
は茶色がかったものであったことが判明した。単にSi
34堆積工程から生成した残留物は茶色であることが判
明し、これらの結果は、NF3清浄から初期の茶色の粉
末の黄色/白粉末へのより完全な転換を示すものと考え
られている。これは、NF3プラズマ中に発生する余分
のフリーのフッ素ラジカルのためであると考えられてい
る。
【0086】他の実験例において、3つの異なる残留物
サンプルが捕集された。上述のようにSi3N4堆積工
程の直後に処理チャンバのおよそ0.5m下流のフォア
ライン中で捕集された粉末(サンプルA)、サンプルA
と同様の位置であるが上述のようにNF3/N2O/N2
清浄プラズマの実施後に捕集された粉末(サンプル
B)、7日の連続的堆積/清浄シーケンス後にチャンバ
からおよそ12m下流の乾燥真空ポンプの入口において
捕集された粉末(粉末C)。粉末サンプルの組成は、水
素促進スキャッタリング(Hydrogen Forward Scatterin
g,HFS)、X線光電子スペクトロスコピ(X-Ray Phot
oelectron Spectroscopy,XPS)、X線回折(XR
D)分析から演繹される。これら粉末の各組成を表1に
示す。
【0087】
【表1】
【0088】サンプルAは、Si34堆積化学の直接的
固体副生成物である。粉末は、RFプラズマ内で発生す
る粒子物の組成を表す。粉末は、大部分Si、N、H及
び酸素からなる。酸素は、多分サンプル捕集の間空気か
ら吸収される。酸素は、いかなる酸素含有ガスも堆積の
間使用されないため、粉末の当初の組成たり得ない。プ
ラズマ中で発生した残留粒子物は、高度に水素化された
窒化珪素Sixyzがもっともありそうである。この
粉末は、高度に反応性である。XPS測定は、HFS結
果を確認し、空気への暴露後、珪素が元素として18
%、窒化物として24%及び酸化物として58%存在す
る。窒素は、窒化物として93%及びアンモニウムとし
て7%存在する。XRD分析は粉末が非晶質であること
を示す。
【0089】サンプルBは、NF3/N2O/N2プラズ
マを使用する清浄工程後粉末Aの転換の結果である。清
浄工程は、堆積チャンバの内部に集積する残留物を完全
に蒸発させるが、限定されたF*フリーラジカルの寿命
のためフォアライン中で転換は完結しない。しかし、こ
の寿命は、部分的転換がフォアラインの最初の数メータ
中において生じ得るほど十分長い。この白色粉末は、S
xyzを(NH42SiF6(ヘキサフルオロシリケ
ート、X線回折特性を使用して同定された)に変換する
に十分な、高いF含有量を示す。多結晶性白色粉末は2
50℃の昇華温度を示す。
【0090】サンプルB中に集積された粉末の量は、ガ
スがフォアラインに沿って移動するにしたがって、固体
−ガス蒸発が次第に効率が下がってくることを示唆する
処理チャンバからの距離の増大に伴って増大する。これ
は多分、特にチャンバから放出する間にF*、CF*、O
*のような励起した種の希薄化のためである。ポンプの
近くに、粉末AとBの混合物が存在する。この残留物
(粉末C)は、チャンバからの距離が増大するに従い黄
色がかった色から茶色である。
【0091】化学分析は、粉末Cが粉末Aからの不完全
な転換であることを示す。保護コーティングを形成し及
び堆積の間捕集された粉末の更なる転換を防止する最初
の茶色の残留物を覆うことができるポリマ−(CF2
24−O−)x−の形成は興味深い。商業的なPEC
VD窒化珪素システムのフォアライン中の粉末Cの集積
は、1ヶ月の連続的堆積/清浄シーケンス後に500g
以上であり得る。
【0092】チャンバ中の残留物の蓄積の組成が決定さ
れた後、実験は残留粉末の粒径を決定するために実施さ
れた。この実験として、珪素片が堆積処理からそこで堆
積する物質を捕集するためフォアライン内に置かれた。
15秒間の堆積処理後においても、茶色の粉末の形で残
留物の蓄積は通常真空ライン3中で起きる。この残留物
の蓄積を示す顕微鏡写真を図10に示す。茶色粉末はS
xyz、Sixy、SiOx及び元素珪素の残留物か
らなっていた。残留物の基本的粒子は、2.3g/cm
3の粒状で海綿状の形態を示す。粒子の回転楕円面シン
メトリは図11に示し、成長が均一な核形成によって存
在することができることを示す。図12は、直径がおよ
そ50μmである典型的残留凝集粒子物中への4又は5
基本的粒子(各直径15−20μm)の凝集を示す顕微
鏡写真である。さらに実験は、粉末の粒子サイズが、9
0秒の堆積工程の間直径1.0mm以上の大きさの凝集
物を形成するため堆積時間とともに増大することを示し
た。
【0093】プロトタイプのDPAは、シランベースの
窒化珪素堆積操作からのもののような粒子物の蓄積を低
減することにおkる本発明の有効性を証明するために使
用された。プロトタイプのDPAは、図4(a)
(b)、5(a)(b)、6(a)(b)に示した具体
例に従って構成され、フォアラインの直前のP5000
CVDチャンバの排出口に連結された。その実験におい
て、チャンバは窒化珪素用の共通の堆積・清浄シーケン
スに従って操作され、これには3つの連続した1.0ミ
クロン堆積工程これに続くCF4/N2O清浄工程を含む
ものであった。堆積/清浄サイクルは5000ウェハ実
行テストのために連続的に繰り返された。
【0094】窒化珪素堆積工程に関して、チャンバ温度
が400℃に設定されサセプタがガス分配マニホールド
から600ミリ(mils)に配置され、チャンバ圧力
は4.5torrに設定され維持された。SiH4を含
む堆積ガスは、190sccmの速度で導入され、N2
は1500sccmの速度で導入され、NH3は60s
ccmの速度で導入された。13.56MHzの周波数
で単一周波数RF電力は、プラズマを形成しおよそ75
00Å/mmの速度で窒化珪素層を堆積するために45
5ワットの電力レベルで供給された。各1.0ミクロン
そうの全堆積時間は、約80秒であった。
【0095】チャンバ清浄工程に関して、チャンバ圧力
4.6torrに設定され維持され、チャンバ温度は4
00℃に設定され、サセプタ(ウェハなし)はガス分配
マニホールドから600ミリに配置された。CH4を含
む清浄ガスは、1500sccmの速度で導入され、N
2Oは500sccmの速度で導入された。RF電力は
チャンバ内でエッチングプラズマを形成し、堆積下物質
をエッチングするために供給された。周波数電力供給
は、13.56MHzで操作され、100ワットで運転
された。3つの1.0ミクロン窒化珪素層堆積工程後チ
ャンバを清浄するために使用された全清浄時間は、最初
の3000ウェハに関して110秒であった。その後、
終点検知器が最終の2000ウェハに関する清浄時間を
最大限にするために使用された。
【0096】プロトタイプのDPA長さがおよそ35c
m、直径14cmであった。電極56と58は、24
2.1in2の全表面積を与え、アルミニウムから機械
加工された。陰極は、幅3.00inで、39.596
6インチの周囲であった。
【0097】500ボルトのDC電圧が、上述したよう
に窒化珪素堆積工程及びCF4清浄工程の両方の間に帯
電した粒子物を細くするために電極56と電極58の間
に創られた。電圧場は、電極56に500ボルトを供給
し電極58を接地することによって創られた。プラズマ
形成に関するかぎりでは、DPA装置は能動的装置とし
て操作された(すなわち、RF電力は堆積工程の間供給
されなかった)。プラズマ形成は、1000ワットで運
転される325KMHzRF波形によって発生された。
DPA内の圧力は、0.8torrで測定された。
【0098】さらなるテストにおいて、プロトタイプの
DPAは、プロセスに透過性であるが、上述の窒化珪素
堆積/CF4清浄シーケンスを使用する20,000ウ
ェハテストランの間フォアライン内の粒子物の蓄積を防
止することに100%効果的であることを示した。プロ
トタイプのDPAの使用は、実験中チャンバから排出さ
れる全ての粒子物質を捕捉し除去するためにいかなる追
加的清浄ガス又はいかなる追加的清浄時間も要求しなか
った。実験中堆積した窒化珪素フィルムの厚さ、均一
性、応力及び屈折率のようなフィルム特性に用いられる
測定は、1回目と5000回目の間(又は任意のウェハ
の間で)でこれらの特性のいかなるものにおいても顕著
な又は重大な変化はなかったことを示した。さらに、実
験中チャンバ内の粒子数を測定するのに行われた測定は
また、ウェハ操作の間直径0.16ミクロン以上の大き
さの粒子物における増加はなかったことを示した。
【0099】3.螺旋コイル、単一チューブ例 他のプラズマ形成構成に組み込むDPA40の他の具体
例はまた可能である。たとえば、いくつかの具体例にお
いて、プラズマは螺旋共振子コイルのような誘導コイル
にRF信号の適用によって発生する。螺旋コイルは、サ
イズがコンパクトで、比較的高いプラズマ密度のプラズ
マを発生する能力を有する。かかるコイルは、この分野
の当業者に周知であり、ここに参考資料として組み込ま
れる、Michael A.LiebermanとAllan J.Lichtenbergの
「Principles of Plasma Discharges and Materials Pr
ocessing」(John Wiley & Sons,1994)の404−41
0頁のような多数の周知の教科書中に述べられている基
準に従って設計することができる。螺旋共振子コイル
は、銅、ニッケル、あるいは金又は同様な伝導材料のよ
うな高い誘電率タイプの金属から造ることができる。コ
イルを適切に共振するため、コイルの長さが、適用され
るRF信号の波長の約1/4か又は1/4より少し長い
ことが重要である。
【0100】図13は、かかるコイルを含むDPA40
の1つの具体例の断面図である。図13において、DP
A40は、処理チャンバ15からの排出ガスがそれがD
PAを通過するときに通って流れるチューブ150を含
む。チューブ150は、セラミック、ガラス又は水晶の
ような絶縁物質から造られた円筒形チューブである。好
適な具体例において、チューブ150は、フッ素のよう
なエッチングガスと反応しないセラミック材料から造ら
れ、清浄工程中で使用される。また、チューブ150
は、真空ライン31の内部直径とほぼ同一の内部直径を
有する。他の具体例において、チューブ150は、必ず
しも円筒形である必要はなく、その代わり角張った、平
面的なあるいは楕円形の、又は同様にカーブした内部表
面を有するものでも良い。これら及び他の具体例におい
て、チューブ150の内部直径はまた、真空ライン31
の内部直径より大きくても小さくてもよい。
【0101】コイル152は、チューブ150の外側に
巻かれポイント156において一方の端部でRF電力供
給に連結され、ポイント155において他方の端部で地
電位に連結されている。チューブ150を通って通過す
る排出ガスは、RF電力供給からの電圧をコイル152
に適用することによってプラズマ状態に励起される。プ
ラズマ状態において、プラズマからの成分は、上述のよ
うにポンプシステム32によってDPA40及び真空ラ
イン31から外に圧送されるガス状生成物を形成するた
めチューブ内で堆積した物質と反応する。コイル152
は、前述の標準的螺旋共振子コイルであり、チューブの
外側よりも内側に巻いてもよい。
【0102】外側の容器154は、チューブ150を取
り巻く。容器154は、少なくとも2つの目的において
作用する。第1は、コイル152によって発生したファ
ラデー箱遮蔽電離放射線放射として作用する。第2に、
セラミックチューブ150が破壊又は亀裂が入った場
合、又はチューブ150中の真空シールが他の方法で破
壊される場合、容器154は、アルミニウムあるいはス
チールあるいはその他の化合物のような種々の材料から
造ることができ、遮蔽効果のために接地される。上方及
び下方のフランジ157及び158は、それぞれ真空シ
ールを維持する間DPA40を真空マニホールド24と
真空ライン31に連結する。
【0103】標準的RF電力供給は、負荷として50オ
ームのインピーダンスで機能するよう設計される。した
がって、RF電力供給をコイル152への接触のポイン
ト(ポイント156)は、コイル152が50オームの
インピーダンスを持つように選択されるべきである。電
力供給が他のインピーダンスレベルを要求した場合、ポ
イント156はそれに応じて選択され得る。
【0104】コイル152は、50ワット以上の電力レ
ベルでRF電力供給によって運転され、好ましくは50
0ワット以上のレベルで運転される。かかる条件の下
で、プラズマ発生は最大限であり、均一性は重要ではな
い。コイル152によって発生する現実の電圧は、RF
電力供給によって用いられる電力、コイル152の長さ
及び巻線間隔、及びコイルの抵抗のような多数の要因に
依存する。電圧はコイルに沿って均一に広がっているた
めに、全コイル用の電圧レベルの決定はコイルが接地及
びRF電力供給に連結されるポイント間のレベルを決定
することによってなし得る。たとえば、ある特定のコイ
ルがポイント155と156の間のコイルの部分の長さ
の4倍であるとき、コイルの全電圧はポイント155と
156の間の電圧レベルの4倍となる。
【0105】コイル、電力レベル及び適用されるRF電
力は、強力な、強度のプラズマがチューブ150内で形
成されるが、コイル152によって発生する電圧が電流
がコイルから容器154にアークをなすレベルを超えな
いことを確実にするように選択されるべきである。アー
クが特定のDPAにとり問題である場合、容器154と
コイル152の間に絶縁材料をおくことが可能である。
しかし、設計の簡単化のため、容器154とコイル15
2の間に空気で満たされた空間をもつことが好ましい。
【0106】DPA40の長さとサイズは変え得る。他
の適用においてはDPA40がラインに代替するような
真空ライン31の全長(4−5フィート以上)であり得
るのであるが、いくつかの適用において、DPA40は
4−6インチほど長くても短くてもよい。長いDPA4
0は、より短い、同等に設計されたDPAより多くの粒
子物を捕集し、したがって除去することができる。DP
A設計は、空間問題を残留物捕集効率とバランスさせな
ければならない。しかし、より進んだ捕捉機構を含むよ
り短いDPAは、処理チャンバから排出される全粒子物
質の99.9%捕集しかつ捕捉することができ、長さを
重要性の低い要因とする。コイルの長さがRF波長の1
/4より少し長くすべきであるので、コイルと使用され
るRF周波数の間に直接的関係がある。
【0107】DPA40が処理操作の特定の時間の間、
好適にON及びOFFに変わることは既に述べたことで
あるが、DPAはまた受動的装置として構成することも
できる。受動的装置として、DPA40は、何らの特別
な制御信号又はプロセッサ時間がDPAをON及びOF
Fに変えることに専用とする必要がないように十分なR
F電力信号を連続的に供給される。
【0108】4.第1螺旋コイル、機械的及び静電的ト
ラップ具体例 図14は、DPA40の他の具体例の断面図である。図
14に示すDPA40の具体例は、第1内部セラミック
チューブ160及び第2外部セラミックチューブ162
を含む。チューブ160の端部は、DPA40を通じる
ガス流れが矢印164で示されるようにチューブ162
の円筒形空間内である。
【0109】螺旋共振子コイル166は、図13の具体
例に関連して記載したように、チューブ162の外側に
巻かれ、RF電力供給168に連結されている。コイル
166はまた、チューブ162の内部内に、又はチュー
ブ160の外部あるいは内部に巻かれる。
【0110】上記容器150と同様のシェル168は、
内部及び外部チューブ160及び162を収納する。外
部チューブ162は内部チューブ160又はシェル16
8のいずれへの連結によって支持されていてもよい。い
ずれの場合においても、外部チューブ162用の支持構
造が流出ガスをDPA40を通って通過させ得ることが
重要である。このために、支持構造はチューブ160及
び162の間で複数の穿たれた孔を有するセラミック材
料の平面であってもよく、チューブ160と162の間
で拡張する4つの細い連結の内の3つからなってもよ
く、又は多くの他の同等の方法で設計されてもよい。穿
孔を含む構造は、以下に記述するように捕集領域170
内で粒子物を捕集しかつ捕捉することを助長することが
できる。しかし、この構造は、孔がDPA40と通って
圧送されるガスの流速を低下することがないように十分
大きい。
【0111】DPA40のこの具体例は、捕捉とこのた
めに粒子物質の分解を高める。この設計は、図4(a)
のトラップ62と同様な方法でDPAの残りを通って真
空ライン31中に通過することができないように、排出
ガス流れ中で粒子物を捕集し保持する機械的トラップと
して働くチューブ162の捕集領域170を含む。粒子
物は、トラップ中で保持され、形成したプラズマの下で
分離又は分解されるまでプラズマに晒される。
【0112】DPA40のこの具体例のトラップ部分の
操作は、粒子物をDPA装置を通って真空ライン中に一
掃しようとする流出ガス流路にもかかわらず、粒子物を
トラップ内に保持するために働く重力に一部依存する。
このように、DPA40の有効性は一部、ガス状の生成
物中に反応されるまで粒子がチューブ162を離れるこ
とを防ぐため、外部チューブ162の能力に依存する。
このためにDPA40は捕集領域170がDPAへの入
口から下流側であり、外部チューブ162の長さが重力
との組み合わせでこのトラップを創るのに十分であるよ
うに配置されることが重要である。
【0113】さらに、DPA40内の平面176に沿っ
たガス通路の断面領域の増大は、粒子物の捕捉を助長す
る。任意の所定の堆積プロセスにおいて流出ガス流れに
関する流速は、一般に一定である。このように、1以上
の通路の断面領域の増大は、ガス流れ中の粒子物の速度
を低下させ、これに対応して粒子上の中性牽引力を低下
させる。所定の粒子は、DPA40の重力トラップ内で
重力によって、捕捉される。
【0114】機械的トラップの有効性をさらに高めるた
めに、静電捕集器172は捕集領域170近くに配置す
ることができる。静電トラップ172はDC又はAC電
力供給の連結された小電極であってもよい。静電捕集器
172に適用される極性及び電荷の量は、特定のもので
あり個々の適用において排出された粒子物質の極性と典
型的電荷レベルに依存する適用である。
【0115】種々の異なった静電捕捉装置は、本発明に
おいて使用することができる。かかる静電捕集器の他の
具体例の詳細は、図15(a)、15(b)及び15
(c)に関して以下に詳細に議論する。
【0116】5.第2螺旋コイル機械的及び静電的トラ
ップ具体例 図15(a)は、DPA40の他の具体例の断面図であ
る。図15(a)の具体例は、図14の他の具体例と同
様な機械的トラップ設計を使用する。また、流出ガス
は、反対側の上方フランジよりも上方フランジ181に
隣接して配置されたサイドフランジ180を通って排出
される。フランジ180は、外部チューブ186よりも
外側のケーシング184と真空シールを創り出すために
配置される。ケーシング184は、チューブ186がセ
ラミックのような絶縁材料から造られるのに対して、金
属又は同様な材料から造られる。
【0117】RF電力は、RF供給への連結188のポ
イントとポイント189(接地)との間に50オームの
インピーダンスをもつように設計されている外側コイル
187を通じて、この具体例のDPAに適用される。上
記のように、コイル187は、コイルが標準的RF電力
供給によって運転できるように、50オームのインピー
ダンスをもつように設計されるべきである。内側コイル
190は、内部チューブ185内で巻かれる。内側コイ
ル190は、誘導によって外側コイル187上に供給さ
れたRF信号を受領し、プラズマ反応を操作するのに必
要な電圧場をつくる。
【0118】中心ワイヤ192は、内部チューブ185
の中心を通り、電圧ポテンシャルはDPAを通って通過
する粒子物質を静電的に捕捉するため中心ワイヤ192
と内側コイル190の間で発生する。電圧ポテンシャル
は、多数の異なるアプローチを使用してつくることがで
きる。各アプローチにおいて、中心ワイヤ192とコイ
ル190は電極として働く。1つの具体例において、中
心ワイヤ192は接地され、正のDC又はAC電圧がコ
イル190に適用される。図13(b)に示すように排
出された粒子物194が負に帯電している場合、粒子は
ワイヤ192とコイル190により創り出された電圧場
(Felec)によって引きつけられ、正に帯電したコイル
上の一95で捕集される。コイル190が接地され負の
電圧が中心ワイヤ192に適用されるば場合、同様な結
果を達成することができる。しかし、この場合、ワイヤ
192が負に帯電した粒子物をコイル190に向け跳ね
返す。
【0119】他の具体例において、正のDC又はAC電
圧は、中心ワイヤ192に適用され、コイル190は接
地ポテンシャルに接続される。このアプローチにおい
て、負に帯電した粒子物は、図15(c)に示すように
正に帯電したワイヤ192上の一96で捕集される。負
の電圧がコイル190に適用され中心ワイヤ192が接
地される場合、同様な結果を達成することができる。こ
の場合、コイル190は負に帯電した粒子物をコイル1
92に向け跳ね返す。
【0120】さらに他の具体例において、ワイヤ192
又はコイル190のいずれも接地されておらず、代わり
に両方がコイル190に関してワイヤ192の間に正又
は負の電圧ポテンシャルを発生する電圧発生源に接続さ
れる。勿論、正に帯電した粒子物質が存在する場合、こ
の物質はその上で負に帯電した粒子物質が捕集される電
極の反対側の電極上で捕集され得る。
【0121】また、粒子物質が正及び負の両に帯電した
粒子物を含む場合、粒子物は、静電力によって捕集する
ことができる。かかる場合、正に帯電した粒子物は、低
方のポテンシャル電極に引き寄せられ、負に帯電した粒
子物は上方のポテンシャル電極に引き寄せられる。ま
た、かかる場合に、中心ワイヤ192にAC電圧を適用
することも可能である。AC電圧が中心ワイヤ192に
接続されコイル190が接地される場合、正の半サイク
ルの間、正の粒子物質はワイヤからコイル190に向か
って跳ね返される。しかし、負の半サイクルの間、負の
粒子物質はワイヤから跳ね返され、コイル190上で捕
集される。かかる場合、AC電圧期間は粒子物の応答時
間より長くすべきである。
【0122】上記の任意の場合において、2つの電極間
の電界は50と5000volts/cmの間でもよ
い。好ましくは、電界は、500volts/cm(D
C)から1000volts/cm(AC)である。粒
子物がコイル190上に捕集するために中心ワイヤ19
2から引き寄せられるか、又はその逆となるかについて
は、粒子物の極性及びコイル190及びワイヤ192に
適用される電荷に依存する。
【0123】この設計がコイル190及び中心ワイヤ1
92の間に発生する電圧ポテンシャル差に依存するた
め、チューブの絶縁材料によりワイヤ192から分離さ
れないようにコイル190は最大限の粒子物捕集を得る
ために内部チューブ185の内側に配置するべきであ
る。内部チューブ185が配置されているので、コイル
190及び中心ワイヤ192は、フッ素のような種々の
高度に反応性の種と接触する。したがって、コイル19
0とワイヤ192が、かかる種と反応しないニッケルの
ような適切な伝導性の材料から造られることが重要であ
る。この具体例において、コイル190は粒子物を引き
寄せたり跳ね返したりするための電圧ポテンシャル及び
RF電力信号の両方をもたらすことを認識することは重
要である。
【0124】6.並行電極を含む第3機械的及び静電的
トラップ具体例 図16(a)は、機械的及び静電的トラップを含む他の
DPA40の断面図である。図16の具体例は、一対の
静電的に結合した電極に適用されるRF電力からプラズ
マを形成することにおいて、図4(a)(b)、5
(a)に示した具体例と同様である。しかし、図16
(a)の電極は、図4(a)(b)、5(a)(b)、
6(a)(b)の具体例における実質的に等しい表面積
の平行板電極であるよりも、周辺的(perimetric)、円筒
形電極402と404である。図16(b)に示すよう
に、それらの円筒形の特性のため、電極402の電極4
04に対する表面領域の比は、DPAの異なる部分にお
いて異なる。たとえば、1つの具体例において、電極4
02と404の表面領域の比は、内部通路405aにお
いて約3:1である。この同じ具体例において、電極4
02の404に対する表面領域の比は、外部通路405
bにおいて約1.3:1である。
【0125】電極402と404は、処理チャンバ15
から排出されたガスが通過するガス通路405を画成す
る。電極402は、RF及びDC電力が電極404に適
用される間、接地される。RF及びDC電力は、PFD
406を通じて電極404に供給される。PFD406
は、テフロン絶縁器408により、接地された電極40
2から絶縁される。
【0126】通路405は、電極の同心特性(concentri
c nature)のため、円形のドーナッツの底半分のように
形づけられている、U形重力トラップ領域410を含
む。流出ガスは入口401からガス通路405に入り、
出口403を通って出る。
【0127】適切であれば、DCフィルタ412は、流
出ガス流れの中の停電した物質を捕捉することを助長す
るためDPAに適用される電圧が、チャンバ内で起こる
基板処理操作を妨害しないように、DPA40と処理チ
ャンバ15の間に配置することができる。
【0128】電極402と404を含む電気回路を示す
線図は、図17に示す。図17に示すように、電極40
4は、電極402が接地されている間、DC発生器42
0及びRF発生器422の両方に接続されている。DC
発生器420は、静電トラップにより要求されるDC電
圧を供給し、RF発生器422は、プラズマを形成する
ためのRF電力を提供する。RFマッチ回路424は、
反射電力を最小限にするために発生器出力インピーダン
スを50Ωにマッチさせ、DC/RFフィルタ(好適な
具体例において1mΩ抵抗)426はRF電力供給から
DC電力供給を隔離する。
【0129】7.平行電極を含む第4機械的及び静電的
トラップ具体例 図18(c)は、機械的及び静電的トラップを含むDP
A40の他の具体例の断面図である。図18(a)の具
体例はまた、DPA中に排出される流出ガス静電的に結
合したプラズマを形成する均一に間隔をあけられた平行
電極430と432を含む。電極430は、図16
(a)の具体例と同様な方法でRF及びDC電力供給に
接続され、電極432は、接地される。
【0130】各電極は、薄板金属から形成され、ガス通
路435を創るために曲がっている。チャンバ15から
の流出ガスは入口434を通ってガス通路に入り、出口
436から出る。ガス通路435は、並んで配置され、
電極430に一部によって最初に分離されている、通路
435aと435bの2つのガス通路を含む。かかる方
法でガス流通を2つの分離した通路に分割することは、
固定された領域内で電極430と432の表面領域を増
大する。電極430と432は、DPA内のRFプラズ
マ形成により発生する熱条件下で溶融したり及び/又は
曲がったりしないように十分厚いものとすべきである。
他の具体例において、電極430と432は、アルミニ
ウムから機械加工され得る。
【0131】図18(b)は、図18(a)に示したD
PAの透視図である。図18(b)において、DPA4
0は、他の既述のDPAの具体例と同様に、アルミニウ
ムケーシング440によって包まれている。ケーシング
440は、ネジ442によってDPAに取り付けられて
いる扉441を含む。また、RF及びDC電力は、PF
D連結438を通じて電極430に供給される。
【0132】8.第1マイクロ波具体例 図19(a)は、DPA40の他の具体例の側方断面図
であり、図19(b)は、図19(a)に示した具体例
の正面図である。図19(a)及び(b)の具体例は、
プラズマを発生しDPA内で捕集された粒子物質及び残
留物を除去するためにマイクロ波発生源を使用する。多
くの異なったマイクロ波発生源が利用可能であるが、購
入及び運転コストの理由から、一対の交互パルス化マグ
ネトロン(alternatively pulsed magnetrons)450
(たとえば、いくつかの電子レンジで使用されているタ
イプのマグネトロン)が好適に使用される。かかるマグ
ネトロンは、CWマイクロ波発生器あるいはRF発生器
の価格より2桁以上も低い。
【0133】図20(a)に示したように、各マグネト
ロン450は、交互にパルス化した(60Hz)電場
(2.45GHz)を発生する。図20(b)に示した
ように、他のマグネトロンに関して180度の位相差に
より1つのマグネトロンのパルスを遅らせることによっ
て、2つのマグネトロン発生源は、図20(c)に示す
ように120Hzで一定にパルス化され得る。図20
(c)において、波形(M1)の最初のサイクルは、マ
グネトロンの1つによって発生され、第2のサイクル
(M2)は他のものによって発生される。マグネトロン
によって発生したエネルギは、高いプラズマ密度で90
%近いイオン化効率を生み出すことができる。このよう
にかかる電力発生源は、誘電的に結合した電極より高い
清浄効率をもたらし、典型的には10−20%の間のイ
オン化効率を生み出す。
【0134】マイクロ波発生源のさらに有利な点は、ジ
ュール効果熱の低減である。熱があまり発生しないため
に、ガス流れ通路456を画成する電極452と454
(図19(a))は、比較的薄い薄板金属からたやすく
造ることができる。ガス流れ通路456は、入口458
で始まり、出口460で終わる。通路は、図18(a)
の具体例におけるガス通路435と同様な方法で2つの
通路に分割され流れる。また、入口458は、図19
(b)中のプロフィール464で示されるようにガス通
路456の始めにおいてDPA40中に引き起こされ
る。
【0135】マグネトロン450は、DPA40の反対
側上に配置される。マイクロ波電力は、適当な導波管4
62(図19(b))によって反応器に結合されてい
る。マグネトロンと導波間は、プラズマ形成が全ガス通
路にわたって起こすことができるようにガス通路456
の幅を通してマイクロ波を放出するために結合する。薄
板金属電極間の距離は、電場の各ノード(node)(ゼロ強
度のポイント)が電極の表面に配置されるようにマイク
ロ波の波長に従って調節することができる(すなわち、
電極板の距離は、マイクロ波の波長の半周期の倍数でな
ければならない。)。マグネトロン450と導波管46
2配置のために、プラズマはガス通路456の全ての部
分において形成される。セラミック扉466(図19
(b))は、マグネトロンと導波管を電極452と45
4から隔離し、外側ケーシング468はDPAを収容し
第2レベルのシールを提供する。
【0136】DC電力供給源(図示せず)は、堆積ある
いは他の基板処理操作の間に既述したように静電捕集器
を提供するため電極452に結合される。電極452へ
のDC電力は、OFFに切り替えられ(図示されていな
いスイッチにより)、しかし、マグネトロン450が清
浄操作の間に活性化されるとき、電極は接地される。こ
の時間内に接地する切り替え電極452は、起きる可能
性のあるアーク形成を避ける必要がある。
【0137】9.第2マイクロ波具体例 図21(a)は、DPA40の他の具体例の側方断面図
であり、図21(b)は、図21(a)で示した具体例
の正面図である。図21(a)と(b)の具体例は、D
PAプラズマを発生するためにマグネトロン450を使
用することにおいて、図19(a)と(b)と同様であ
る。
【0138】しかし、図19(a)のDPA40のこの
具体例に示すように、DPAは、入口474のすぐ下流
に配置された初期モジュール472を含む。モジュール
472は、エッチングラジカル(たとえば、CFx、及
びCF4がエッチングガスとして使用されるときは遊離
フッ素)が増大したイオン化効率で発生することができ
るように、清浄サイクルの間プラズマ発生に専念する。
このように発生したラジカルは、堆積し捕集された物質
と反応するためDPAの第2モジュール475中に圧送
されるとき、比較的長い寿命を有し活性を維持する。
【0139】第2モジュール475は、好適な具体例に
おいて薄板金属から構成される対向する電極476と4
80によって画成されるガス通路470を含む。ガス通
路470は、図19(a)と(b)の具体例におけるガ
ス通路456と同様である。これは、2つの通路470
aと470b及び出口478で端部を含む。
【0140】導波管482は、マグネトロン450に結
合されている。導波管とマグネトロンは、マイクロ波発
生がモジュール472中でプラズマを形成するように配
置されている。陽極476の内部壁476は、マイクロ
波がモジュール472の外側のガス通路470の他の部
分に到達することを防止する。電極480は、既述のも
のと同様の静電捕集器を提供するためにDC電力供給
(図示せず)に結合される。この具体例において、電極
480へのDC電力は、清浄サイクルの間OFFに切り
替えられる必要はない。第2モジュールの中でプラズマ
は発生しないため、アーク問題もない。
【0141】10.プロトタイプDPAを用いる追加の
粒子物低減実験 本発明の有効性を証明するための他の実験において、第
2プロトタイプDPA40は、8インチウェハ用に装備
されたプレシジョン5000チャンバに取り付けられ
た。第2プロトタイプDPAは、DPAをフォアライン
に連結するために使用された低方フランジの設計を除い
て、図13で示すDPA40と同様であった。第2プロ
トタイプDPAと低方フランジの断面図は、図22にお
いて示す。図22で示すように、低方フランジ200
は、DPAを通って流れる排出ガスをフォアライン中に
およそ90度の角度で向け直した。フランジはまた、フ
ランジの底部部分204上に蓄積した堆積物質を観察で
きるように、フォアライン連結とは反対に石英の窓を装
備していた。上述のように、プロトタイプDPAにおけ
る低方フランジのこの設計は、図4(a)(b)、5
(a)(b)、6(a)(b)、14及び15(a)の
具体例におけるU形通路あるいは機械的バケットトラッ
プ設計と同様であるが効率は同様ではない方法で、領域
204中の粒子物質を捕捉する追加的利点を有した。
【0142】第2プロトタイプ装置は、石英チューブの
外部の周りを覆った3/8インチ銅管材料から造られた
コイル208を有する石英チューブ206を含んだ。コ
イル208の全長は、およそ25フィートであり、1
3.56MHz電力供給は、いかの実験の既述で説明し
たように種々の電力レベルで運転された。石英チューブ
206は、アルミニウム容器210内でシールされた。
アセンブリの全長は、およそ14インチであり、アセン
ブリの幅は、およそ4.5インチであった。
【0143】第2プロトタイプDPAの有効性は、3つ
の分離された実験でテストされた。各実験において、1
00のウェハが、真空排出マニホールドとフォアライン
との間で連結された第2プロトタイプDPAを有するプ
レシジョン5000中で実施される窒化珪素堆積/CF
4フッ素清浄操作シーケンスにおいて、処理された。第
2プロトタイプDPAは、各実験の堆積シーケンスの間
OFFに保たれ、ONに切り替えられて、フッ素清浄シ
ーケンスの間13.56RF電力供給によって電力を与
えられた。堆積の間のOFFのとき、粒子物は、領域2
12として図20で示したようにチューブ206の内部
に沿って捕集した。その後、これら粒子物は、DPAが
清浄シーケンスの間活性化されたとき、チューブ206
から除去された。3つの実験の各条件は、以下の表2中
に要約する。
【0144】
【表2】
【0145】第1の実験において、フッ素清浄シーケン
スは、135秒であり、DPAは200ワットで運転さ
れた。CF4は、1500sccmの速度で処理チャン
バ中に導入され、500sccmの速度でチャンバ中に
導入されたN2Oを混合された(比3:1)。100の
堆積/清浄シーケンス後、DPAが試験され、全ての残
留物及び堆積物がないことが判明した。DPAの底部に
おける角が付けられたフランジにおいて、少量の残留物
の蓄積が測定された。このことを表3に要約する。残留
物中の珪素の大多数は、酸化珪素の形で含まれており、
窒素のおよそ半分は、窒化珪素フィルム中に、他の半分
はアンモニアの形で含まれていた。
【0146】第2の実験において、フッ素清浄シーケン
スは、120秒に短縮され、DPAが運転される電圧
は、500ワットに増大された。CF4は、2000s
ccmの速度で処理チャンバに導入され、500scc
mの速度でチャンバ中に導入されたN2Oと混合された
(比4:1)。100の堆積/清浄シーケンス後、DP
Aが試験され、全ての残留物及び堆積物がないことが判
明した。角が付けられたフランジにおいて、少量の残留
物の蓄積が測定された。しかし、目視検査から、残留物
の蓄積量は、第1の実験における蓄積量よりおよそ80
%少ないものであった。
【0147】この残留物の蓄積の原子凝集が測定され
た。これを表3において要約する。表から明らかなよう
に、この実験からの残留物は、第1の実験からの残留物
より非常に高いフッ素の凝集を含んでいた。フッ素凝集
残留物は、より多くのフッ素種をプラズマに提供し、こ
のためDPA活性化の間残留物の清浄をより容易とす
る。この実験からの残留物における圧倒的大部分の珪素
は、酸化珪素の形で含まれており、圧倒的大部分の窒素
は、アンモニアの形で存在していたこともまた記さなけ
ればならない。
【0148】第3の実験は、第1と第2の実験の間残留
物が収集する傾向があったDPAと角が付けられたフラ
ンジの両方から、残留物が完全に除去され得ることが判
明した。この第3の実験において、フッ素清浄シーケン
スは、120秒の長さであり、DPAが運転される電圧
は、500ワットまで増大された。CF4が処理チャン
バ中に導入される速度は、2500sccmまで増大さ
れ、500sccmの速度でチャンバ中に導入されたN
2Oと混合された(比5:1)。100の堆積/清浄シ
ーケンス後、DPAと角が付けられたフランジが試験さ
れ、両方とも全ての残留物及び堆積物がないことが判明
した。
【0149】残留物の存在と組成によるこれらの実験結
果は、以下の表3中に要約する。
【0150】
【表3】
【0151】B.PFC低減のために最適化されたDP
A40の特定の具体例 本発明のいくつかの具体例は、それを排出するいかなる
プロセスから排出されたPFCガスを低減するために構
成され、最適化される。そのように構成されたDPA
は、PFC反応器と呼んでもよい(以下、「P
2」)。便宜さと参考のために、PR2として構成され
最適化されたDPA40は、この適用の残余部分びおい
てPR2240と分類される。PR2240は、DPA4
0として図3中に示すようにチャンバと連結することが
できる。
【0152】酸化珪素フィルム堆積/清浄シーケンス
は、本発明がPFC排出物を低減するために使用するプ
ロセスの例として使用される。しかし、本発明は、以下
の例示のプロセスセーケンスにおけるPFC排出物の低
減に限定されず、代わりに、チャンバ15中にPFCガ
スを導入する任意のプロセス、及びPFCガスがチャン
バ15中で実施される処理操作の副生成物である任意の
プロセスへの適用性を有する。さらに、本発明は、ハイ
ドロフルオロカーボン(HFCs)あるいは同様のガス
のような他の物質の排出物を低減するために使用するこ
ともできる。
【0153】例示的堆積/清浄プロセスシーケンスにお
いて、酸化珪素フィルムは、シラン(SiH4)と亜酸
化窒素(N2O)前駆物質ガスを含むプロセスガスから
の物質上に堆積する。堆積が完了した後、基板は、チャ
ンバから除去され、チャンバ清浄操作は、チャンバ壁か
ら所望されない酸化珪素の蓄積をエッチングし除去する
ため実施される。清浄操作は、CF4とN2Oのプラズマ
ストライク(striking)することからなる。
【0154】上述のように、清浄操作の間にチャンバ中
に導入された少量のCF4のみが、チャンバ壁上で堆積
した物質と現実に反応する。残留する未反応のCF
4は、反応の生成物及び副生成物の他のガス状の成分と
ともにフォアラインを通ってチャンバから排出される。
【0155】この例において、本発明のPR2は、排出
されたCF4からプラズマを形成する。プラズマからの
成分は、CF4ガスをPFCsの潜在的有害効果をもた
ない、より害の少ないガス状の生成物及び副生成物に転
換するために、PR2内の固体酸化珪素のような珪素源
と反応する。PR2内部で起こる反応のいくつかを以下
に挙げる。
【0156】CFX+SiO2→SiFx+CO2 CF4+O2→CO2+2F2 2CF4+O2→2COF2+2F2 C+SiO2→CO+SiO SiO+F2→SiOF2 勿論、正確な反応及び反応シーケンスは、種の電子衝突
分解及びガス相ラジカル再結合の発生のような元素反応
を伴い、より複雑である。上に挙げた生成物及び副生成
物のいずれもが、PR2からの排出されるものとして知
られているが、PFCsではない。このように、本発明
のPR2から排出される全流出ガスは、PFC転換反応
が100パーセント効率的であれば、PFCのないガス
である。
【0157】上の記述は、例示的目的のためだけであ
り、CF4以外のPFCガスが本発明のPR2中に導入さ
れプラズマが形成される場合、PFCガスのプラズマは
また、PFCsではなくPFCsより有害性の低いガス
状の生成物を形成するために酸化珪素源と反応する。
【0158】操作において、PFCガスが真空チャンバ
15から真空ライン31中に排出される場合、それらは
PR240を通って通過する。PR240内で、排出され
たガスは、プラズマを発生し及び/又は維持する電場に
晒される。プラズマからの成分は、流出PFCsをPF
Csではなくフォアラインと通って圧送され得る有害性
の低いガス状の生成物と副生成物に転換するためにPR
240内で珪素及び/又は酸素化合物のようなPFC酸
化剤と反応する。流出PFCガスが排出流れ中に存在す
るこの期間の内であることから、一般に、プラズマ形成
は、堆積/清浄シーケンスの清浄操作の間にのみ実施さ
れる(活性化されたPR240)。このように、堆積シ
ーケンスの間、プラズマは、PR240内では一般的に
形成されない。しかし、PFCガスが堆積あるいは他の
工程の間特定のプロセスから排出される場合(たとえ
ば、フッ素ガスがCF4副生成物を生成するためにフォ
トレジストを含む炭素と反応するエッチング工程)、P
2240は、その期間内にPFC排出物を低減するた
めに活性化され得る。
【0159】PFCプラズマが反応するPR2240内
の珪素と酸素は、種々の異なる源からのものであり得
る。本発明のいくつかの具体例において、特別に設計さ
れたフィルタはプラズマ反応用に砂あるいは石英のよう
な酸化珪素化合物の固体の形を含む。珪素フィルタは、
プラズマが形成されるPR2240の領域内に配置され
る。本発明の他の具体例において、酸化珪素、窒化珪
素、オキシ窒化珪素、炭化珪素、又は堆積/清浄プロセ
スシーケンスの同様な相から排出される残留物は、DP
A40に関して述べたことと同様の方法でPR2240
内で捕捉され捕集される。かかる堆積プロセスの間に捕
捉される典型的残留生成物は、SiO2、SiN、Si
ON、SiC及び同様な化合物を含む。勿論、現実に捕
集される残留物は、堆積あるいは他のプロセス工程の間
に導入されるガスに依存する。捕集された残留物は、プ
ラズマ反応のためには珪素源として働く。捕捉は、図9
との関係で上述したように、また本発明の種々の具体例
に関連して以下により詳述するように、サーモフォレチ
ック力とともに機械的及び/又は静電的捕捉機構を使用
して行われる。一旦捕捉されれば、珪素残留物又は種々
の粒子物質は、その後真空ライン31を通って圧送され
るガス状の副生成物を形成するためPFCプラズマ中の
活性のある種と反応するまでPR2240中に滞在す
る。さらに本発明の他の具体例において、珪素含有及び
/又は酸素含有ガスは、特別に流出PFCガスの分解を
助長するためにPR2240中に導入される。これらの
珪素及び/又は酸素含有ガスの導入は、珪素フィルタ及
び/又は機械的捕捉機構の使用に加えたもの、又は代わ
りのものとすることができる。
【0160】プラズマを形成するためPR2240内に
発生した電場は、RF電力の誘電的に結合した電極への
適用(いくつかの好適な具体例においてHF電力(<3
50KHz)が装備と操作コストを最小限とするために
RF電力の代わりに使用され得る)、中空陰極反応器の
ような種々の周知の方法を使用して発生することがで
き、又誘電的に結合されたコイルにマイクロ波装置ある
いはECR技術もまた使用することができる。しかし、
PFC転換は、形成されたプラズマの密度に直接比例す
るが、誘電コイル又は中空陰極反応器のような高密度プ
ラズマを形成するような装置はいくつかの具体例におい
て好ましい。PFC転換はまた、プラズマが形成される
電力に直接比例し、PR2装置内のPFCガスの滞留時
間に反比例する。このように、現実の供給電力出力は、
他の要因の中で、PR2が使用される適用、プラズマの
密度、PR2240中で処理されるPFCガスの量及び
PFCガスの滞留時間に依存する。理想的には、PR2
240は、PR2を通って他のガス中に通過する実質的
に全てのPFCガスを転換するのに十分なプラズマを発
生する。
【0161】また、PR2240は、その使用が操作中
のプロセスに透過性であるように設計される。すなわ
ち、PR2240が清浄シーケンスの間操作される場
合、PR2240は、清浄シーケンスの間清浄シーケン
スの継続時間の延長なしに、排出流れからの実質的に全
てのPFCガスを非PFCガスに転換するために設計さ
れる。このような場合、PR2240は、ウェハ処理用
に関して何の逆効果もない。
【0162】RF電力は、RF電力供給25から導くこ
とができ、又はPR2240のみを運転する隔離したR
F電力供給によって供給することができる。大部分の具
体例、マイクロ波ECR具体例は顕著な例外であるが、
PR2240を操作するため低周波数RF電力を使用す
ることが望ましい。およそ50KHzから2MHzの間
でRF電力を供給する低周波数RF電力供給の使用は、
13.56MHZのようなより高いRF周波数より操作
するために非常に少ない費用ですむものである。複数の
処理チャンバがクリーンルーム中に存在することを想定
すると、チャンバに連結された複数のPR2はすべて、
適当な数のRF電力スプリッタに連結される分離した、
専用のPR2RF電力供給により運転されてもよい。
【0163】PR2240の長さとサイズは変えること
ができる。いくつかの適用において、PR2240は、
他の適用においてPR2240がそのラインに取って代
わるような真空ライン31の全長(4−5フィート以上
の長さ)であり得るのに対して、4−6インチの長さあ
るいはそれより短い長さであり得る。一般に、個別分子
の滞留時間は、PR2の長さと体積の増加に伴って増加
する。PR2設計は、空間の問題を残留物捕集効率とバ
ランスさせなければならない。しかし、概略設計された
粒子物捕捉機構又はフィルタを含むより短い又は少ない
量のPR2は、処理チャンバから排出されたPFCガス
の実質的に全て有害性の低いガスに変えることができ、
長さと体積を重要性の低い要素にする。
【0164】本発明の装置の多数の異なった具体例は、
組み立てることができる。いくつかの具体例は、例示の
目的で以下に示す。本発明は、決してこれらの特定の具
体例の限定されるものではない。
【0165】1.珪素充填フィルタ具体例 a)単一チューブ、螺旋共振子具体例 図21は、PR2240の第1具体例の断面図である。
図23において、PR2240は、処理チャンバ15か
らの排出ガスがPR2240を通って通過するとき流れ
るチューブ250を含む。チューブ250は、セラミッ
ク、ガラス又は石英のような絶縁材料から造られた円筒
形チューブである。好適な具体例において、チューブ2
50は、清浄工程で使用される、フッ素のようなエッチ
ングガスと反応しないセラミック材料から造られる。ま
た、チューブ250は、真空ライン31の内部直径とほ
ぼ同一の内部直径を有する。他の具体例において、チュ
ーブ250は、必ずしも円筒形の形である必要はなく、
代わりに角の付けられた、平面のあるいは楕円形の又は
どうようなにカーブした内部表面を持つこともできる。
これらの及び他の具体例において、チューブ250の内
部直径はまた真空ライン231の内部直径より大きいも
のでも小さくものでもよい。
【0166】フィルタ251は、チューブ250内であ
る。フィルタ251は、ガスを非PFCガスに変えるプ
ラズマ条件下でPFCガスと反応するために入手できる
個体珪素の源を含む多孔性フィルタである。フィルタ2
51は、その珪素化合物が消費されるに従い、取って代
わるチューブ250中に挿入可能な消耗部品であっても
よい。フィルタ251内の珪素の源は、多くの珪素含有
材料の任意のものであり得る。好適には、珪素の源は、
砂、ガラス、石英、フリント(flint)、オニクス(onyx)
のような酸化珪素材料である。また、好ましくはフィル
タは、フォアラインの圧送速度及びコンダクタンスに大
きく影響しないほど十分に多孔性である。
【0167】酸化珪素材料の使用は、PFCプラズマが
反応する珪素と酸素の両方を提供する。好適な具体例に
おいて、珪素源として、破砕されたせい気鋭が使用され
る。石英の破砕は、より多くの珪素を反応に利用できる
ようにする総表面領域を増大する。さらに、破砕された
石英は、堆積プロセスの間発生する固体残留物用の機械
的フィルタとして働き、このため珪素残留物を生成する
材料がプロセス中でチャンバ15から排出されるとき反
応用の追加的な珪素材料を補足することができる。
【0168】コイル252は、チューブ250の外部の
周りに巻かれポイント256でRF電力供給に連結さ
れ、ポイント257で接地ポテンシャルに連結される。
チューブ250を通って通過するPFC排出ガスは、R
F電力供給からコイル252への電圧の適用によりプラ
ズマ状態に励起される。プラズマ状態において、排出さ
れた材料からの成分は、PFCsではないガス状生成物
を形成するためフィルタ251中で固体酸化珪素反応物
と反応し、その後上述のようにポンプシステム32によ
ってPR2240及び真空ライン31から圧送される。
【0169】ガス供給ライン253は、PFC転換反応
を高めるため酸素及び/又は珪素の源である追加的ガス
を供給することができる。使用される例示的ガスは、O
2、O3、N2O、SiH4又はそれに類似するものを含
む。勿論、テトラエチルオルソシリケート(TEOS)
のような液体源もまた、蒸発され、ライン53と通じて
導入されてもよい。追加的な反応を高めるガスがPR2
240中に導入される速度は、バルブ255を制御する
プロセッサ34によって設定される。
【0170】コイル252は、螺旋共振子コイルのよう
な誘電コイルである。かかるコイルは、この技術の当業
者に周知であり、本明細書に参考として組み込まれるMi
chael A.LiebermanとAllan J.Lichtenbergの「Principl
es of Plasma Discharges and Materials Processing」
John Wiley & Sons(1994)の404−410頁のような
多くの周知の教科書中に記述される基準に従って設計す
ることができる。螺旋共振子コイルは、銅、ニッケル、
あるいは金又は同様な伝導性材料にような高い伝導率タ
イプの金属から造ることができる。コイルを適切に共鳴
するため、適用されるRF信号の波長の1/4とほぼ同
じか少し長いものとすることが重要である。この長さの
コイルは、PFCガスの分解をさらに高めるより強くよ
り高度の電圧場を創り出す。コイル252は、チューブ
の外部よりチューブ250の内部の内側に巻かれ得る。
【0171】外側容器254は、チューブ250を取り
巻く。容器254は、少なくとも2つの目的で役立つ。
第1は、ファラデー箱として働き、コイル252によっ
て発生する放射線からCVD処理装置10及び他の装備
を保護する。第2に、セラミックチューブ250が破壊
あるいは破裂した場合、又はチューブ250中の真空シ
ールが他の方法で破壊した場合、容器254は排出ガス
が漏れ出すのを防ぐ第シールを提供する。容器254
は、アルミニウム、スチール又は他の化合物のような種
々の金属から造ることができ、好ましくは保護効果のた
めに接地される。上方及び下方フランジ259及び25
8は、それぞれ真空シールを維持する一方、PR224
0を真空マニホールド24及び真空ライン31に連結す
る。
【0172】標準RF電力供給は、50ワット以上の大
きさのレベルで運転される。コイル252によって発生
する現実の電圧は、RF電力供給により使用される電
力、コイル252の長さと巻き間隔及びコイルの抵抗そ
の他の要因のような多くの要因に依存する。電圧がコイ
ルに沿って均一にかけられているために、全コイルのた
めの電圧レベルを決定することは、コイルが接地及びR
F電力供給に連結されているポイント間(ポイント25
5と256)のレベルを決定することによりなし得る。
たとえば、特定のコイルがポイント255と256の間
でコイルの部分の4倍の長さである場合、コイルの総電
圧は、ポイント255と256の間の電圧レベルを4倍
する。
【0173】コイル、電力レベル及び適用されるRF周
波数は、チューブ250内で強い、強度のプラズマが形
成されるように、しかしまたコイル252により発生す
る電圧が、電流がコイルから容器254にアークを形成
するレベルを超えないように、選択されなければならな
い。アーク形成が問題である場合、容器245とコイル
252の間に絶縁材料をおくことは可能である。しか
し、設計の簡素化のため、容器254とコイル252の
間に空気で満たした空間を持つことが可能である。
【0174】b)単一チューブ、マイクロ波具体例 図24は、PR2240の第2具体例の断面図である。
図24中に示すPR2240の具体例は、図23中に示
す具体例の同一の要素を多く含む。従って、便宜のた
め、図24中及び本出願の残余の図中において、類似の
参照番号が類似の要素に使用される。また便宜のため
に、図24及び他の図の新しい要素のみ適当なときにい
かに詳細に議論する。
【0175】図24において、マイクロ波発生器260
及び導波管262は、PR2240に入る流出PFCガ
スから高密度プラズマを発生するため使用される。マグ
ネット264は、チューブ250内でガス状分子をさら
にエネルギを与えプラズマ形成を高めるため電子サイク
ロン共鳴(ECR)装置中におけるようにチューブ25
0の外部の周りに配置される。プラズマからの成分は、
PFCガスを非PFCガスに転換するためフィルタ25
1中で酸化硅素材料と反応する。上述の具体例における
ように、追加的なガスは、転換プロセスを高めるためガ
スライン253からPR2240に追加することができ
る。
【0176】図示しないが、図24中に示すPR224
0の具体例は、好ましくは容器254のような外側ケー
シング中に収納される。外側ケーシングは、チューブ2
50を通って通過するPFCあるいは他のガスがチュー
ブ250中で漏洩あるいは他の欠陥の場合にPR224
0から漏出できないように、第2シールを維持すべきで
ある。
【0177】c)螺旋コイル中空陰極反応器 図25は、PR2240の第3具体例の断面図である。
図25において、螺旋共振子コイル266は、PR2
40の螺旋コイル中空陰極反応器具体例を形成するため
円筒形金属チューブ268内に配置される。コイル26
6は、チューブ268が接地されている間、HF又はR
F電力発生源269に結合される。PR2240にこの
具体例の残余の構造は、図25中には示していない。か
かる構造は、たとえば、ガスライン253、バルブ25
5、フランジ258及び259、容器254などを含
み、図19に示すPR2240のそれと同様である。
【0178】HFあるいはRF電力がコイル266に適
用されるとき、誘電的に結合されたプラズマがコイルへ
適用されたRFからコイル内で形成され、誘電的に結合
されたプラズマがコイルとチューブ268の間で形成さ
れる。コイル266とチューブ268がプラズマからの
高度に反応性のフッ素種に従うため、かかる種と反応し
ないニッケルのような適切な伝導性の金属から造られる
べきである。図示しない珪素フィルタは、PFCプラズ
マと反応するための珪素及び酸素材料を提供するためコ
イル266内及び/又は周りに配置することができる。
従って、珪素及び/又は酸素含有ガスは、ガスライン2
53からプラズマに提供してもよい。
【0179】d)マルチステージ中空陰極反応器 図26は、PR2240のより好適な具体例の断面図で
ある。図26において、円筒形ガス通路は、円筒形に造
られた陽極272、陰極274及び絶縁バリヤ275に
よって作り出される。陰極274は、陽極272が接地
される間、HFあるいはRF電力供給269に連結され
る。絶縁バリヤ275は、陰極274から陽極272を
絶縁する。この交互的電極/陰極構成は、高密度プラズ
マ(1012ions/cm3のオーダーで)形成する
ことができるマルチステージ中空陰極反応器を創り出
す。反応器の各ステージ(陽極/陰極ペア)は、領域2
76により示される陰極に最も近い領域中でガス通路2
70内に高密度プラズマを発生する。
【0180】中空陰極体制と高密度プラズマを維持する
ため、またPR2240のこの具体例内で分子対流時間
を増大するために、通路270内の圧力は、PR224
0のすぐ後のフォアライン中に配置された分離されたス
リットルバルブ(図示せず)によって制御することがで
きる。制御された圧力は、PFC転換を最大限にするた
め設定された現実の圧力で、100−500milli
torr(基本フォアライン圧力)から処理チャンバ内
の圧力(PECVDの場合4−20torr及びSAC
VDあるいはAPCVDプロセスに関して700tor
r以上まで)まで変動することができる。
【0181】HFあるいはRF電力よりDC電力が、こ
のマルチステージ中空陰極反応器設計において、陰極2
74に供給され得る。しかし、HFあるいはRF電力
は、DC電力発生源からの指向性DC電流が電極をエッ
チングするため、好適な具体例において供給される。H
FあるいはRF電力が使用される場合、このスパッタエ
ッチング効果は、非常に減らされるか又は不存在とな
る。HF電力は、装備及び操作コストを低減するために
大部分の好適な具体例において使用される。
【0182】図25の中空陰極反応器設計におけるよう
に、珪素フィルタ(図示せず)又はガスライン253か
ら供給される珪素及び/又は酸素含有ガスは、PFC胆
管プロセスを適切に高めるため使用することができる。
また、図19中に示すPR2240のそれと同様に、ガ
スライン253、バルブ255、フランジ258と25
9、容器254などのような構造は、図20中には示し
ていない。
【0183】2.珪素粒子物トラップ具体例 PFC清浄シーケンス前にチャンバ15中で起きる堆
積、エッチング又は他のプロセスが、珪素含有残留物を
生成する場合、本発明の装置のある具体例は、珪素源と
して使用するためその残留物を補足し捕集することがで
きる。このように、特別に設計された珪素フィルタを必
要としないが、まだ使用することもできる。
【0184】珪素残留物を生成する堆積プロセスの例
は、TEOS及びシラン酸化珪素堆積プロセス及び窒化
珪素堆積プロセスを含むが、これらに限定されない。か
かるプロセスにおいて、PFC転換反応のために捕捉さ
れる排出された珪素残留物は、SiO2、SiN、Si
ON、SiC、非晶質珪素及び同様な化合物を含む。勿
論、捕集される現実の残留物は、堆積中に納入されるガ
ス、使用されるエッチングあるいは他のプロセスに依存
する。
【0185】これら堆積又は他のプロセス操作から排出
された残留物は、一般にチャンバ壁上において捕集され
このためチャンバ壁から最終的に清浄除去される同様な
残留物である。従って、これらの具体例においてPFC
ガスを非PFCガスへの転換は、清浄操作の間チャンバ
中で起きる反応と同一である反応から結果として生ず
る。
【0186】a)単一チューブ、螺旋共振子反応器具体
例 図27は、PR2240の第5具体例の断面図である。
図27中に示すPR2240の具体例は、PFCプラズ
マからの成分が反応する珪素源が、フィルタインサート
内の固体珪素化合物よりも対向する電極280と282
を含む静電捕集器によって捕捉される珪素含有残留物で
あることを除いて、図23中に示す具体例と同様であ
る。珪素含有残留物は、DC電力発生源284から電極
280と282の間に適用される電圧ポテンシャルによ
り堆積あるいは他のプロセス工程の間捕捉され捕集され
る。適用される電圧ポテンシャルは、電極282を電極
280と比較して正に帯電させる(又はこの逆)。残留
粒子物がPR2240を通って通過するにしたがい、正
に停電した粒子物は、より負に帯電した電極280に向
かって引き寄せられその上で捕集され、負に帯電した粒
子物は、正に帯電した電極282に向かって引き寄せら
れその上で捕集される。使用される堆積プロセスのタイ
プ及びプロセスの長さによって、数ミリメータあるいは
それ以上の珪素含有残留物が電極280と282上に蓄
積することができる。
【0187】堆積シーケンスが完了し清浄シーケンスが
始まった後、図23の関連で上述した同様の方法でプラ
ズマがPR2240中に排出されるPFCガスから形成
される。プラズマからの成分は、非PFC生成物及び副
生成物を生成するため電極280と282上で捕集され
た珪素残留物と反応する。電極280と282の間の電
圧ポテンシャルは、PFCプラズマと反応するまで電極
に沿って捕集された粒子物がそこにとどまることを確実
にするため清浄シーケンスの間維持することもできる。
しかし、電圧ポテンシャルは、好ましくは特定のプロセ
スのために使用される清浄なあるいは他のガスが電極を
エッチングする場合、清浄シーケンスの間スイッチをオ
フにされる。チューブ250内部に配置されているた
め、電極280と282は、フッ素のような種々の高い
反応性の種と接触する。従って、電極280と282
は、かかる種と反応しないニッケルのような適切な伝導
性の材料から造られることが重要である。
【0188】種々の異なった静電捕捉装置は、本発明の
この及び他の具体例において使用することができる。た
とえば、負に帯電したDC電圧又はAC電圧は、正に帯
電したDC電圧よりも電極282に適用してもよい。ま
た他の具体例においても、電極280と282の両方と
も電極282との関係で電極280から正の又は負の電
極を創る電圧発生源に連結される。本発明は、いかなる
特定の静電捕集そうちに限定されるものではない。
【0189】b)単一チューブ、マイクロ波具体例 図28は、PR2240の第6具体例の断面図である。
図28において、対向する極の電極(電極286と28
8)は、上述のような静電捕集装置を形成するためチュ
ーブ250の円筒形体積内に交互に配列される。珪素含
有及び酸素含有残留物又は同様な物は、堆積シーケンス
の間電極286と288の表面上に捕集される。
【0190】図24中に示すPR2240の具体例にお
けるように、図28の具体例は、マイクロ波発生器26
0と導波管262からのマイクロ波電力の適用により装
置を通って通過するPFCガスから高密度プラズマを形
成する。マグネット264は、チューブ250内でガス
状の分子にさらにエネルギを与えプラズマ形成を高める
ため電子サイクロン共鳴(ECR)装置内におけるよう
にチューブ250の外部の周りに配置される。プラズマ
からの成分は、PFCガスを非PFCガスに転換するた
め電極286と288上に捕集される珪素及び/又は酸
素残留物と反応する。追加のガスは、転換プロセスを高
めるためにガスライン253(図示せず)からPR2
40に添加することができる。
【0191】また、チューブ250を通って通過するP
FC又は他のガスはチューブ中の漏洩又は他の欠陥の場
合においてPR2240から漏出できないように第2シ
ールを形成する容器254又は同様のケーシング機構
は、図28中に示すPR2240の具体例中に示されな
い。
【0192】c)内部及び外部円筒形チューブ具体例 図29は、PR2240の第7具体例の断面図である。
図29中に示すPR2240の具体例は、第1内部セラ
ミックチューブ290と第2外部セラミックチューブ2
92を含む。チューブ290の端部は、PR2240を
通るガス流れが矢印293で示すようであるようにチュ
ーブ292の円筒形空間内にある。
【0193】螺旋共鳴子コイル294は、図23の具体
例との関係で述べたように、チューブ292の外部の周
りに巻き付けられRF電力供給269の連結される。コ
イル294はまた、チューブ92の内部内又はチューブ
90の外部あるいは内部の周りに巻くことができた。
【0194】上記容器254と同様に、シェル297
は、内部及び外部チューブ290及び292の両方を収
納する。外部チューブ292は、内部チューブ290又
はシェル297のいずれかとの接合によって支持されて
もよい。いずれの場合においても、外部チューブ292
のための支持構造が流出ガス流れをPR2240を通っ
て通過することができる物であることが重要である。こ
のために、支持構造は、複数の穿たれた孔を有するチュ
ーブ290及び292の間のセラミック材料の平面でも
よく、チューブ290及び292の間に拡張する4つの
接合あるいはフィンガから、又はそのうちの3つのみか
らなってもよく、又は多数の他の同等な方法で設計され
てもよい。穿たれた孔を含む構造は、以下に述べるよう
に捕集領域295内で珪素残留物あるいは他の粒子物質
を捕集し捕捉することを助長することができる。この技
術の当業者であれば理解できるように、この構造は、P
2240を通って圧送されたガスの流速を低下するこ
とのないよう孔が十分大きいものであるように設計され
るべきである。
【0195】PR2240のこの具体例の設計は、堆積
工程の間排出される珪素残留物又は他の粒子物質の捕捉
及び捕集を高める。この設計は、排出ガス流れ中の残留
物及び粒子物を捕集し保持する機械的トラップとして働
くチューブ292の捕集領域295を含む。残留物及び
粒子物は、トラップ中に保持され、このため清浄シーケ
ンスの間に形成されるPFCプラズマの成分と反応する
ことができる。
【0196】PR2240のこの具体例の機械的トラッ
プ部分の操作は、粒子物をPR2装置を通って真空ライ
ン中へ一掃しようとする流出ガス流れ通路にもかかわら
ずトラップ内に粒子物質を保持するために働く重力に一
部に依拠する。このように一部、PR2240の有効性
は、それらがガス状生成物に反応するまで粒子物をチュ
ーブ292を離れることを防止することに依存する。こ
のため、PR2240が捕集領域295がPR2への入口
から下流にあるように配置されること及び外部チューブ
292の長さが重力との組み合わせでこのトラップを創
るのに十分であることが重要である。
【0197】PR2240の平面AAに沿ってガス通路
の断面領域の増大は、さらに粒子物の捕捉を助長する。
任意の所定の堆積プロセスにおける流出ガス流れの流速
は、一般に一定である。このように、1以上の通路の断
面領域の増大は、ガス流れ中の粒子物の速度を減少し、
これに対応して粒子物上の中性牽引力を減少する。粒子
上の重力が中性牽引力を超える場合、PR2240の重
力トラップ内の重力によって捕捉される。
【0198】機械的トラップの有効性をさらに高めるた
め、DC電力供給284に連結された電極296と29
8を含む静電捕集器272は、図27に関して議論した
ように使用することができる。
【0199】d)機械的及び静電捕捉機構を含む迷路状
具体例 図30(a)は、本発明のPFC低減装置のたの好適な
具体例において使用されるガス通路モジュール310の
側方透視断面図である。図30(a)において、一対の
対向する電極320と322は、処理チャンバ15から
排出されるガスが通過するガス通路(流体導管)を画成
する。モジュール310は、チャンバ15から排出され
る全粒子物がモジュール内で捕捉され捕集されることを
確実にするため静電及び機械的捕捉機構の両方を含む。
【0200】静電トラップは、図27のに関連して上述
したように電極の1つへのDC電圧の適用によって形成
される。この方法で、正に帯電した粒子物は、1つの電
極上に捕集され、負に帯電した粒子物は、他の上で捕集
される。
【0201】機械的トラップはさらに捕集領域324中
で粒子物を捕集するために一部重力に依拠することによ
り珪素粒子物及び残留物を捕集する。各捕集領域324
は、粒子物をPR2装置を通って真空ライン中に一掃し
ようとする流出ガス流れ通路にもかかわらずセグメント
の底部領域内に粒子物が捕集され保持されるように配置
されているガス通路のU形セグメントを含む。勿論、モ
ジュール310は、捕集領域324がモジュールの反対
側上であるように上下反対することができた。
【0202】清浄シーケンスの間、RF電力は、モジュ
ールを通って通過するPFCガスの誘電的に結合される
プラズマを発生するために電極の1つに適用される。好
ましくは、電極320と322は、実質的に等価の表面
領域をもつように設計される。かかる設計は、均一なプ
ラズマが電極によって画成される全領域/通路にわたっ
て引き起こされることを可能にする。上記の具体例にお
けるように、プラズマからの成分は、PFCガスを非P
FCガスに転換するために珪素粒子物及び残留物と反応
する。
【0203】静電捕集器と機械的トラップの組み合わせ
は、チャンバから排出される珪素残留物を捕集するため
特に効果的な機構を提供する。事実、かかる組み合わせ
は、これにより真空ライン331中の堆積の蓄積を除去
あるいは防止の追加的利点である100%近い捕集効率
を提供することができる。図8とDPA40の関係で上
述したように、機械的トラップセクションは、これら粒
子物が重力により捕集チャンバ324内で保持されやす
いために、流出ガス流れ中に存在する比較的大きい粒子
物を捕捉することに特に効果的である。一方、静電トラ
ップは、単に機械的トラップにより捕捉されない流出ガ
ス流れ中のより小さい粒子物を捕集し捕捉することにお
いて特に効果的である。また、前述のように、電極間の
温度勾配のためのサーモフォレンチック力はまた粒子物
を捕捉するために使用することもできる。
【0204】図30(a)中で示すモジュールは、PR
2240の種々の他の具体例の一部として使用してもよ
い。かかる具体例の1つは、モジュールの他の同様な部
分の頂部上に積み重ねられる図30(a)のガス通過モ
ジュール設計の一部を使用する本発明のPFC低減装置
の具体例の側方透視断面図である図30(b)中に示
す。勿論、図30(a)中に示すモジュール又は同様な
モジュールは可能である。たとえば、3、4又はそれ以
上のモジュールは、粒子物捕集のために増大された電極
表面を有する比較的長いガス通路を創るためシーケンス
中に配置してもよい。また、3、4又はそれ以上のモジ
ュールは、互いの頂部上で積み重ねられ、図30(b)
中に示す具体例と同様な方法で連結される。モジュール
310はまた、PFCプラズマからの成分が反応する珪
素の追加的発生源を含むフィルタエレメントを装備して
もよい。モジュール310上の他の設計変化の可能性
は、殆ど無限である。
【0205】図30(b)において、チャンバ15から
の流出ガスは、入口330を通ってPR2340に入
り、出口332を通って出る。分離器334は、ガスが
矢印323に従って電極320と322によって画成さ
れる迷路状通路を通って流れることを確実にする。PR
2340が垂直に向けられるとき、入口330が軸AA
に沿って上側にあるとき、通路を通って排出されるより
大きい粒子物は、重力の下で捕集領域324中で捕集さ
れる傾向にある。PR2340が垂直に目蹴られると
き、入口324が軸BBに沿って上部側にあるとき、通
路から排出されるより大きい粒子は、捕集領域325中
で捕集される傾向にある。
【0206】DC発生器338は、電極320が接地さ
れる一方、堆積及び清浄シーケンスの両方の間電極32
2に正のDC電圧を提供する。このため、負に帯電した
粒子物は、電極322の表面上で捕集する傾向があり、
正に帯電した粒子物は、電極320の表面上で捕集する
傾向がある。
【0207】他の具体例におけるように、RF発生器3
36は、電極320と322の間の通路において流出P
FCガスからプラズマを形成するために清浄シーケンス
の間電極322にRF電力を提供する。プラズマは、P
FCガスを非PFCガス状生成物及び副生成物に転換す
るために捕集領域324あるいは325において及び電
極320と322に沿って捕集された珪素と反応する。
DC/RFフィルタ340は、RF電力供給がDC発生
器338と干渉することを防ぐ。しかし、DC及びRF
電力は、322より電極320の適用されるとき、安全
と放射線の問題に関して電極320が接地されることが
好ましい。
【0208】3.PFC低減DPAsに関する実験的使
用及びテスト結果 本発明の有効性を証明するために、実験は、プロトタイ
プPR2340が8インチウェハ用に装備されたプレシ
ジョン5000チャンバに取り付けられた中で実施され
た。プレシジョン5000チャンバは、本発明の譲受人
であるアプライドマテリアルズによって製造される。
【0209】実験において、プロトタイプPR2は、フ
ィルタ351がセラミックチューブ350内に含まれて
おらず追加のガス供給ライン353が存在しないことを
除いて、図21のPR2340と同様であった。PR2
全長は、約25インチであり、チューブ350の直径
は、約1.5インチであった。PR2は、チャンバの下
流で、スロットルバルブの直後のプレシジョン5000
チャンバに取り付けられた。
【0210】実験は、3つの異なった工程においてCF
4及びN2O清浄シーケンスから排出される流出ガスを分
析した。流出ガスは、MKSコーポレーションにより製
造されたMKS300シリーズ残留ガス分析器(RG
A)を使用する各工程の間監視された。測定は、チャン
バからおよそ20フィート下流で、真空ポンプの直前で
実施された。このため、安定な種のみがRGAによって
検出されるものと考えられた。質量スペクトル分析が非
常に複雑であるため、堆積工程は、清浄工程前に実施さ
れなかった。
【0211】実験のための条件は、以下の通りであっ
た。チャンバ内の圧力は、2torrに設定され維持さ
れ、PR2中の0.5torrの対応する圧力に結果と
してなった。CF4とN2Oは、それぞれ500sccm
の速度でチャンバ中に導入された。PR2中で形成され
たプラズマが900ワット(RF2)において13.5
6MHz電力供給により運転されたが、チャンバ中で形
成されたプラズマは1000ワット(RF1)において
13.56MHz電力供給により運転された。
【0212】実験の第1工程に関し、清浄ガスは、プラ
ズマを形成することなく、チャンバ中に導入されチャン
バとPR2を通って流れることを許された。第2工程に
おいて、PR2内ではなくプレシジョン5000チャン
バ内で形成された。第3工程において、プラズマは、チ
ャンバとPR2の両方において形成された。これらの実
験の結果は、図30(b)中に示す。第1工程は、プロ
セスから排出されるスペクトラを決定することを助け、
CF4排出物の比較分析のための基礎を設定した。
【0213】図31プラズマがチャンバ及びPR2の両
方の中で清浄ガスから形成されたときに得られた質量ス
ペクトルを示す。RGA装置がそれらをイオン化するこ
とによってガスを検出することを留意することは重要で
ある。このため、CF3 +、CF2 +及びCF+イオンの検
出は、流出CF4を意味する。図31において、ピーク
(括弧で示す)は、C+(12)、N+(14)、F
+(19)、CF+(31)、O2 +(32)、F2 +(3
8)、N2+(44)、CF2 +(50)及びCF3 +(6
9)の対応して検出された。各ピークは、最初のガス状
反応物、CF4及びN2Oの分解の生成物に対応する。C
+(28)、CO2 +(44)、COF+(47)、CO
2 +(66)及びCOF3 +(85)に対応するピーク
は、チャンバとPR2中で起きる反応の副生成物に対応
する。可能性のある誤解は、CO2 +及びN2+(ライン
44)のオーバーラップのために起こる。しかし、CF
4とN2Oのスペクトルを単独で記録しプラズマなしで応
答を記録することにより、及びRF1とRF2がオンで
ある場合、ライン44におけるピークが90%CO2 +
10%N2+を意味するということを決定することがで
きる。
【0214】定性的には、CF4の減少は、CF3 +(6
9)、CF2 +(50)、CF+(31)のピークの応答
が減少するとき観察される。さらに、分解の証拠は、N
2Oに対応するピークの応答が減少するとき観察され
る。反応のガス状副生成物、CO+(28)、CO
2 +(44)、COF+(47)、COF2 +(66)及び
COF3 +(85)の応答は、CF4減少に比例して増加
する。
【0215】図32は、実験の3工程の各間にRGAに
より測定された特定のガスの展開ピークを示す。特に、
図32は、ピーク44(N2+)、69(CF3 +)及び
28(CO+)の応答を示す。図32中に示す最初の8
0秒は、プラズマがチャンバ内あるいはPR2内で形成
されないとき、これらのガスのピークの応答を示す。次
の80秒間、プラズマはチャンバ内においてのみ形成さ
れ、最後にプラズマは160から240秒の間チャンバ
とPR2中において形成される。
【0216】図32から明らかなように、プラズマがチ
ャンバ内で引き起こされるとき、排出されるCF4とN2
Oの量は減少し排出されるCO(CF4転換プロセスの
主な副生成物)は増加する。さらにPR240を活性化
すること(及びこのためPR240内でプラズマを形成
すること)は、CF4排出物を減少し、約30%の全C
4減少を結果として生ずる。
【0217】他の具体例において、結果は示していない
が、約50%の全減少は、PR2内の圧力を約2tor
rまで増大することによって達成された。このため、こ
れら予備的実験は本発明のそうちがPFC減少において
好結果であることを示す。さらなる減少は、本願内で議
論した1又はそれ以上の追加的PR2特徴を組み込むこ
とによって達成することができる。また、CF4が転換
することが最も困難なPFCガスの1つとして一般的に
認識されているため、さらなる実験の結果は、大部分の
他のPFCガスの転換のさらによりよい結果を生み出
す。
【0218】本発明の数具体例を十分に記述したよう
に、本発明にしたがって真空ラインから粒子物を除去す
る、多くの他の同等なあるいは代替の装置及び方法は、
この技術の当業者に明らかであろう。また、本発明にし
たがって、処理チャンバからPFC排出物を低減する、
多くの同等なあるいは代替の装置及び方法は、この技術
の当業者に明らかであろう。さらに、本発明が明確化及
び理解の目的のための図や例によって詳細に記述した
が、ある変化及び変形がなし得ることは自明のことであ
る。たとえば、1つの具体例において本発明の機械的粒
子物トラップが外部通路によって取り巻かれた内部通路
に関して記述されたが、かかるトラップは、第2通路内
に周辺的に含まれないが代わりに第1通路から離れてあ
るいは上方に拡張する、第1通路で創ることができる。
他の例において、開示された具体例の任意におけるガス
通路は、図30(a)及び30(b)に関して示し議論
した通路と同様の方法で又は他の方法で迷路状形(及び
このため重力トラップを含む)に設計することができ
る。珪素粒子物捕捉具体例は、電極上に捕集された珪素
残留物の量が不十分である場合、PFC分解を高めるた
めに石英あるいは他の珪素含有化合物で満たされた分離
したフィルタエレメントを含むことができる。また、珪
素フィルタなしの及び粒子物捕捉システムなしの具体例
も可能である。これらの具体例において、PFC転換反
応のためのSiH4又はO2のようなガスは、ライン25
3のようなガス供給ラインを通ってPR2340中に導
入される。さらに、図19(a)と(b)及び21
(a)と(b)中に示すDPA40の具体例において使
用したようなマグネトロンは、PR240の種々の具体
例においてプラズマ形成のために使用することができ
る。理解された自明の変化及び変形に沿ったこれらの同
等物及び代替物は、本発明の範囲内に含まれることが意
図されている。
【図面の簡単な説明】
図1は、本発明の装置が取り付けられる単純化した化学
気相堆積装置の1つの具体例を示す。図2は、本発明を
化学気相堆積装置に関連させる1方法を示す。図3は、
本発明を図1の化学気相堆積装置に関連させる第2の方
法を示す。図4(a)は、扉なしで粒子物低減(真空ラ
イン清浄)のために最適化された本発明の好適な装置の
透視図である。図4(b)は、扉なしで図4(a)中に
示す真空ライン清浄装置の正面図である。図5(a)
は、装置の中心の平面から見た図4(a)中に示す真空
ライン清浄装置の正面透視断面図である。図5(b)
は、装置の中心の平面から見た図4(a)中に示す真空
ライン清浄装置の側方透視断面図である。図6(a)
は、図4(a)中に示す真空ライン清浄装置への連結を
通じた電力フィードの断面図である。図6(b)は、扉
を含む図4(a)中に示す真空ライン清浄装置の透視図
である。図7は、図4(a)中に示す電極に連結されそ
れを含む回路の電気的ダイヤグラムである。図8は、例
示的窒化珪素堆積工程により発生した粒子物に関し本発
明の真空ライン清浄装置の1具体例における静電捕集器
の効果を示すグラフである。図9は、本発明の真空ライ
ン清浄装置の1具体例内の粒子物上の中性牽引力と比較
して静電的、重力的及びサーモフォレンチック力の効果
を示す。図10は、15秒の窒化珪素堆積プロセス後の
真空フォアライン内部の珪素片上の残留物の蓄積の量を
示す顕微鏡写真である。図11は、図8中に示す残留物
の1つの粒子の原子的粒子サイズを示す顕微鏡写真であ
る。図12は、本発明のテストに先だって実施された実
験の間真空フォアライン内部の珪素片上に堆積した粒子
物質のサイズを示す顕微鏡写真である。図13は、本発
明の真空ライン清浄装置の第2具体例の側方断面図であ
る。図14は、本発明の真空ライン清浄装置の第3具体
例の側方断面図である。図15(a)は、本発明の真空
ライン清浄装置の第4具体例の側方断面図である。図1
5(b)と15(c)は、図15(a)の装置中に排出
された粒子物に関し図15(a)の装置中で使用された
静電トラップの効果を示すダイヤグラムである。図16
(a)は、本発明の真空ライン清浄装置のさらに他の具
体例の側方断面図である。図16(b)は、図16
(a)中に示す真空ライン清浄装置の具体例における1
の電極の他への表面領域比を示すダイヤグラムである。
図17は、図16(a)中で示す真空ライン清浄装置の
具体例の電気的ダイヤグラムである。図18(a)は、
本発明の真空ライン清浄装置の他の具体例の側方断面図
である。図18(b)は、図18(a)中に示す真空ラ
イン清浄装置の透視図である。図19(a)は、プラズ
マを形成するためにマイクロ波電力を使用する本発明の
真空ライン清浄装置の具体例の側方断面図である。図1
9(b)は、図19(a)の真空ライン清浄装置の正面
図である。図20(a)、20(b)及び20(c)
は、図19(a)の装置中のマイクロ波電力供給により
発生する電圧波形を示すダイヤグラムである。図21
(a)は、プラズマを形成するためにマイクロ波電力を
使用する本発明の真空ライン清浄装置の第2具体例の側
方断面図である。図21(b)は、図19(a)の真空
ライン清浄装置の正面図である。図22は、発明の有効
性を評価するテストを実施する際に使用される本発明の
真空ライン清浄装置の1具体例のプロトタイプの側方断
面図である。図23は、PFC低減のために最適化され
た本発明の装置の1具体例の側方断面図である。図24
は、本発明のPFC低減装置の第2具体例の側方断面図
である。図25は、本発明のPFC低減装置の第3具体
例の側方断面図である。図26は、本発明のPFC低減
装置の第4具体例の側方断面図である。図27は、本発
明のPFC低減装置の第5具体例の側方断面図である。
図28は、本発明のPFC低減装置の第6具体例の側方
断面図である。図29は、本発明のPFC低減装置の第
7具体例の側方断面図である。図20(a)は、本発明
のPFC低減装置の他の具体例において使用されるガス
通路モジュールの側方断面図である。図30(b)は、
図30(a)のガス通路モジュール設計を使用する本発
明のPFC低減装置の具体例の側方断面図である。図3
1は、CF4及びN2O清浄ガスからのプラズマの形成後
得られる質量スペクトルデータを示すグラフである。図
32は、本発明の1具体例をテストするために設計され
た実験の間に測定された特定のガスの展開ピークを示す
グラフである。
【手続補正書】
【提出日】平成9年5月13日
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】図面の簡単な説明
【補正方法】変更
【補正内容】
【図面の簡単な説明】
【図1】本発明の装置が取り付けられる単純化した化学
気相堆積装置の1つの具体例を示す。
【図2】本発明を化学気相堆積装置に関連させる1方法
を示す。
【図3】本発明を図1の化学気相堆積装置に関連させる
第2の方法を示す。
【図4】(a)は、扉なしで粒子物低減(真空ライン清
浄)のために最適化された本発明の好適な装置の透視図
である。(b)は、扉なしで図4(a)中に示す真空ラ
イン清浄装置の正面図である。
【図5】(a)は、装置の中心の平面から見た図4
(a)中に示す真空ライン清浄装置の正面透視断面図で
ある。(b)は、装置の中心の平面から見た図4(a)
中に示す真空ライン清浄装置の側方透視断面図である。
【図6】(a)は、図4(a)中に示す真空ライン清浄
装置への連結を通じた電力フィードの断面図である。
(b)は、扉を含む図4(a)中に示す真空ライン清浄
装置の透視図である。
【図7】図4(a)中に示す電極に連結されそれを含む
回路の電気的ダイヤグラムである。
【図8】例示的窒化珪素堆積工程により発生した粒子物
に関し本発明の真空ライン清浄装置の1具体例における
静電捕集器の効果を示すグラフである。
【図9】本発明の真空ライン清浄装置の1具体例内の粒
子物上の中性牽引力と比較して静電的、重力的及びサー
モフォレンチック力の効果を示す。
【図10】15秒の窒化珪素堆積プロセス後の真空フォ
アライン内部の珪素片上の残留物の蓄積の量を示す顕微
鏡写真である。
【図11】図8中に示す残留物の1つの粒子の原子的粒
子サイズを示す顕微鏡写真である。
【図12】本発明のテストに先だって実施された実験の
間真空フォアライン内部の珪素片上に堆積した粒子物質
のサイズを示す顕微鏡写真である。
【図13】本発明の真空ライン清浄装置の第2具体例の
側方断面図である。
【図14】本発明の真空ライン清浄装置の第3具体例の
側方断面図である。
【図15】(a)は、本発明の真空ライン清浄装置の第
4具体例の側方断面図である。(b)は、図15(a)
の装置中に排出された粒子物に関し図15(a)の装置
中で使用された静電トラップの効果を示すダイヤグラム
である。(c)は、図15(a)の装置中に排出された
粒子物に関し図15(a)の装置中で使用された静電ト
ラップの効果を示すダイヤグラムである。
【図16】(a)は、本発明の真空ライン清浄装置のさ
らに他の具体例の側方断面図である。(b)は、図16
(a)中に示す真空ライン清浄装置の具体例における1
の電極の他への表面領域比を示すダイヤグラムである。
【図17】図16(a)中で示す真空ライン清浄装置の
具体例の電気的ダイヤグラムである。
【図18】(a)は、本発明の真空ライン清浄装置の他
の具体例の側方断面図である。(b)は、図18(a)
中に示す真空ライン清浄装置の透視図である。
【図19】(a)は、プラズマを形成するためにマイク
ロ波電力を使用する本発明の真空ライン清浄装置の具体
例の側方断面図である。(b)は、図19(a)の真空
ライン清浄装置の正面図である。
【図20】(a)は、図19(a)の装置中のマイクロ
波電力供給により発生する電圧波形を示すダイヤグラム
である。(b)は、図19(a)の装置中のマイクロ波
電力供給により発生する電圧波形を示すダイヤグラムで
ある。(c)は、図19(a)の装置中のマイクロ波電
力供給により発生する電圧波形を示すダイヤグラムであ
る。
【図21】(a)は、プラズマを形成するためにマイク
ロ波電力を使用する本発明の真空ライン清浄装置の第2
具体例の側方断面図である。(b)は、図19(a)の
真空ライン清浄装置の正面図である。
【図22】発明の有効性を評価するテストを実施する際
に使用される本発明の真空ライン清浄装置の1具体例の
プロトタイプの側方断面図である。
【図23】PFC低減のために最適化された本発明の装
置の1具体例の側方断面図である。
【図24】本発明のPFC低減装置の第2具体例の側方
断面図である。
【図25】本発明のPFC低減装置の第3具体例の側方
断面図である。
【図26】本発明のPFC低減装置の第4具体例の側方
断面図である。
【図27】本発明のPFC低減装置の第5具体例の側方
断面図である。
【図28】本発明のPFC低減装置の第6具体例の側方
断面図である。
【図29】本発明のPFC低減装置の第7具体例の側方
断面図である。
【図30】(a)は、本発明のPFC低減装置の他の具
体例において使用されるガス通路モジュールの側方断面
図である。(b)は、図30(a)のガス通路モジュー
ル設計を使用する本発明のPFC低減装置の具体例の側
方断面図である。
【図31】CF4及びN2O清浄ガスからのプラズマの形
成後得られる質量スペクトルデータを示すグラフであ
る。
【図32】本発明の1具体例をテストするために設計さ
れた実験の間に測定された特定のガスの展開ピークを示
すグラフである。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 セバスチャン ラウー アメリカ合衆国, カリフォルニア州, サン フランシスコ, アラバマ 728, ナンバー202 (72)発明者 ジュディ エイチ. ウォン アメリカ合衆国, カリフォルニア州, ロス ガトス, レロイ アヴェニュー 16788 (72)発明者 ウィリアム エヌ. テイラー, ジュニ ア アメリカ合衆国, カリフォルニア州, ダブリン, メープル ドライヴ 6977 (72)発明者 マーク フォダー アメリカ合衆国, カリフォルニア州, ロス ガトス, オーク リム コート 107, ナンバー8 (72)発明者 ケヴィン フェアベーン アメリカ合衆国, カリフォルニア州, サラトガ,スカリー アヴェニュー 12138

Claims (17)

    【特許請求の範囲】
  1. 【請求項1】処理チャンバ(processing chamber)からの
    パーフルオロ化合物(PFC)の排出を低減するための
    装置であって、入口と出口を有する流体導管(fluid con
    duit)を画定する導管チャンバ(vessel chamber)と、前
    記流体導管内のPFC酸化剤、及び前記流体導管内に存
    在するPFCガスをプラズマ状態に励起し得るプラズマ
    発生システムを含む装置。
  2. 【請求項2】前記PFC酸化剤が前記流体導管内に配置
    されたフィルタを含むものであり、前記フィルタがシリ
    コン含有化合物を含む請求項1の装置。
  3. 【請求項3】前記シリコン含有化合物が固体多孔性酸化
    珪素含有材料を含むものである請求項2の装置。
  4. 【請求項4】前記PFC酸化剤を前記流体導管に供給す
    るために、前記導管チャンバに移動できるように連結さ
    れたガス供給ラインをさらに含む請求項1の装置。
  5. 【請求項5】前記ガス供給ラインが酸素含有ガスを前記
    導管チャンバに供給する請求項4の装置。
  6. 【請求項6】前記ガス供給ラインがさらにシリコン含有
    ガスを前記導管チャンバに供給する請求項5の装置。
  7. 【請求項7】プラズマ発生システムが陰極と陽極を含む
    中空陰極反応器を含む請求項7の装置。
  8. 【請求項8】前記陰極及び前記陰極内に配置されたコイ
    ルを含む前記陽極により少なくとも部分的に画定される
    前記導管チャンバである請求項7の装置。
  9. 【請求項9】電源がHFあるいはRF電力を前記コイル
    に供給するために効果的に連結され、かつ前記陽極が地
    電位に連結される請求項8の装置。
  10. 【請求項10】前記導管チャンバが前記陰極と前記陽極
    により少なくとも部分的に画定される請求項7の装置。
  11. 【請求項11】前記中空陰極反応器が、前記導管チャン
    バの少なくとも一部分を画定するために交互的に配列さ
    れた複数の陰極と複数の陽極を含む請求項10の装置。
  12. 【請求項12】前記プラズマ発生システムが、前記導管
    チャンバの少なくとも一部分を囲むコイルを含む請求項
    1の装置。
  13. 【請求項13】前記PFC酸化剤の前記発生源が、シリ
    コン含有残留物と粒状物質を含み、前記プラズマ発生シ
    ステムが、前記導管チャンバの一部であり前記流体導管
    を画定する対向面を有する第1及び第2電極及び前記入
    口と出口の間の捕集チャンバ(collection chamber)を含
    み、捕集チャンバが流体導管を流通する前記シリコン含
    有残留物と粒状物質を捕集するため及び捕集チャンバか
    ら前記シリコン残留物と粒状物質の出現を抑制するため
    構成されかつ配列され、電極に効果的に連結され、対向
    する電極表面上に帯電したシリコン含有残留物と粒状物
    質を捕集するため電極間に電圧をかけるための手段を含
    む請求項1の装置。
  14. 【請求項14】処理チャンバからのパーフルオロ化合物
    (PFC)の排出を低減するための装置であって、前記
    装置が、入口と出口を有する流体導管を画成する導管チ
    ャンバと、前記流体導管内のPFC酸化剤と、前記流体
    導管内に存在するPFCガスからプラズマを維持し得る
    プラズマ発生システムを含む装置。
  15. 【請求項15】処理チャンバからのパーフルオロ化合物
    (PFC)の排出を低減するための装置であって、前記
    装置が、入口と出口を有する流体導管を画成する導管チ
    ャンバと、第1及び第2電極及び前記電極に効果的に連
    結され、前記物質が前記流体導管を通して排出されるよ
    うに前記流体導管内で前記第1及び第2電極の表面上に
    帯電した粒状物質を捕集するために電極間に電圧をかけ
    るための手段を含む粒子補足システムと、前記流体導管
    内に存在するPFCガスをプラズマ状態に励起し得るプ
    ラズマ発生システムを含む装置。
  16. 【請求項16】処理チャンバからのパーフルオロ化合物
    の排出を低減するための装置であって、前記装置が、電
    極壁が内部チャンバと連結して入口と出口を画成し、そ
    の中に内部チャンバ(inner chamber)を囲む電極壁を含
    む第1電極と、内部チャンバ、その間で流体導管を画成
    する対向表面を有する第1及び第2電極、入口を出口に
    連結しその間で1以上の捕集チャンバを画成する流体導
    管、流体導管を流通する粒状物質を捕集するため及び捕
    集チャンバから粒状物質の出現を抑制するため構成され
    かつ配列された捕集チャンバの中に配列された第2電極
    と、前記流体導管の前記入口に連結された流出PFCガ
    スの発生源を含む装置。
  17. 【請求項17】処理チャンバからのパーフルオロ化合物
    (PFC)の排出を低減するための装置であって、前記
    装置が、(a)入口と出口を有する流体導管を画成する
    導管チャンバであって、前記導管チャンバが前記入口に
    おいて前記処理チャンバと移動できるように連結され、
    (i)各第1電極が前記流体導管の一部を画成する内部表
    面を有する複数の第1電極と、(ii)各第2陰極が前記流
    体導管の一部を画成する内部表面を有する複数の第2陰
    極と、(iii)各絶縁バリヤが前記第1及び第2電極の一
    つと陰極との間に配置され各絶縁バリヤが前記流体導管
    の一部を画成する内部表面を有する複数の絶縁バリヤ(i
    nsulation barrier)を含む前記導管チャンバ、(b)前
    記流体導管の前記入口に連結された流出PFCガスの発
    生源、(c)前記流体導管内のPFC酸化剤、及び
    (d)前記複数の第1電極に効果的に連結され、前記流
    体導管内に存在するパーフルオロ化合物ガスからプラズ
    マを形成するために前記複数の第1電極に電圧を供給す
    るためのプラズマ発生装置を含む装置。
JP35988396A 1995-12-27 1996-12-26 基板処理装置排出物からパーフルオロ化合物ガスを低減する装置 Expired - Fee Related JP3992315B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US57937595A 1995-12-27 1995-12-27
US08/579375 1996-10-30
US08/741,272 US6187072B1 (en) 1995-09-25 1996-10-30 Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US08/741272 1996-10-30

Publications (2)

Publication Number Publication Date
JPH09321037A true JPH09321037A (ja) 1997-12-12
JP3992315B2 JP3992315B2 (ja) 2007-10-17

Family

ID=27077737

Family Applications (1)

Application Number Title Priority Date Filing Date
JP35988396A Expired - Fee Related JP3992315B2 (ja) 1995-12-27 1996-12-26 基板処理装置排出物からパーフルオロ化合物ガスを低減する装置

Country Status (5)

Country Link
US (2) US6187072B1 (ja)
EP (2) EP1145759B1 (ja)
JP (1) JP3992315B2 (ja)
KR (1) KR100271694B1 (ja)
DE (2) DE69623583T2 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008290022A (ja) * 2007-05-25 2008-12-04 Taiyo Nippon Sanso Corp プラズマ生成装置
KR100876983B1 (ko) * 2008-03-17 2009-01-07 (주)여진 Pcb 제조 공정에서 발생하는 산화 및 악취가스처리시스템
JP2013041831A (ja) * 2004-11-08 2013-02-28 Mks Instruments Inc 金属含有フッ素ガスを減少させるための装置
JP2015213171A (ja) * 2014-04-30 2015-11-26 コリア・インスティテュート・オブ・マシナリー・アンド・マテリアルズKorea Institute Of Machinery & Materials 汚染物質除去用プラズマ反応器
JP2019503562A (ja) * 2016-01-13 2019-02-07 エムケイエス インストゥルメンツ, インコーポレイテッド ポンピング・ラインでの堆積クリーニングのための方法及び装置

Families Citing this family (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6193802B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6187072B1 (en) 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6888040B1 (en) * 1996-06-28 2005-05-03 Lam Research Corporation Method and apparatus for abatement of reaction products from a vacuum processing chamber
FR2757082B1 (fr) * 1996-12-13 1999-01-15 Air Liquide Procede d'epuration d'un gaz plasmagene et installation pour la mise en oeuvre d'un tel procede
US7569790B2 (en) 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US8779322B2 (en) 1997-06-26 2014-07-15 Mks Instruments Inc. Method and apparatus for processing metal bearing gases
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
DE19847848C1 (de) * 1998-10-16 2000-05-11 R3 T Gmbh Rapid Reactive Radic Vorrichtung und Erzeugung angeregter/ionisierter Teilchen in einem Plasma
US7264698B2 (en) * 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US7189318B2 (en) * 1999-04-13 2007-03-13 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7438788B2 (en) * 1999-04-13 2008-10-21 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US6916412B2 (en) * 1999-04-13 2005-07-12 Semitool, Inc. Adaptable electrochemical processing chamber
JP4288010B2 (ja) * 1999-04-13 2009-07-01 セミトゥール・インコーポレイテッド 処理流体の流れ具合を向上させる処理チャンバを備えた加工物処理装置
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7160421B2 (en) * 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20030038035A1 (en) * 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US6354241B1 (en) 1999-07-15 2002-03-12 Applied Materials, Inc. Heated electrostatic particle trap for in-situ vacuum line cleaning of a substrated processing
US6689252B1 (en) 1999-07-28 2004-02-10 Applied Materials, Inc. Abatement of hazardous gases in effluent
US6468490B1 (en) 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
US6255222B1 (en) 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
JP2001132638A (ja) * 1999-11-10 2001-05-18 Ebara Corp トラップ装置
US6367412B1 (en) * 2000-02-17 2002-04-09 Applied Materials, Inc. Porous ceramic liner for a plasma source
US6673323B1 (en) 2000-03-24 2004-01-06 Applied Materials, Inc. Treatment of hazardous gases in effluent
US6391146B1 (en) 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
JP2001284267A (ja) * 2000-04-03 2001-10-12 Canon Inc 排気処理方法、プラズマ処理方法及びプラズマ処理装置
US20050183959A1 (en) * 2000-04-13 2005-08-25 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectric workpiece
US6576202B1 (en) 2000-04-21 2003-06-10 Kin-Chung Ray Chiu Highly efficient compact capacitance coupled plasma reactor/generator and method
US6863019B2 (en) 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
US6747734B1 (en) * 2000-07-08 2004-06-08 Semitool, Inc. Apparatus and method for processing a microelectronic workpiece using metrology
AU2001282879A1 (en) * 2000-07-08 2002-01-21 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6428673B1 (en) * 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US20050061676A1 (en) * 2001-03-12 2005-03-24 Wilson Gregory J. System for electrochemically processing a workpiece
US6602323B2 (en) 2001-03-21 2003-08-05 Samsung Electronics Co., Ltd. Method and apparatus for reducing PFC emission during semiconductor manufacture
US6733827B2 (en) * 2001-04-11 2004-05-11 The Procter & Gamble Co. Processes for manufacturing particles coated with activated lignosulfonate
FR2825295B1 (fr) * 2001-05-31 2004-05-28 Air Liquide Application des plasmas denses crees a pression atmospherique au traitement d'effluents gazeux
US6824748B2 (en) 2001-06-01 2004-11-30 Applied Materials, Inc. Heated catalytic treatment of an effluent gas from a substrate fabrication process
US20020185067A1 (en) * 2001-06-07 2002-12-12 International Business Machines Corporation Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US7037862B2 (en) * 2001-06-13 2006-05-02 Micron Technology, Inc. Dielectric layer forming method and devices formed therewith
US7220396B2 (en) * 2001-07-11 2007-05-22 Battelle Memorial Institute Processes for treating halogen-containing gases
US6962679B2 (en) 2001-07-11 2005-11-08 Battelle Memorial Institute Processes and apparatuses for treating halogen-containing gases
US7060234B2 (en) 2001-07-18 2006-06-13 Applied Materials Process and apparatus for abatement of by products generated from deposition processes and cleaning of deposition chambers
US6730354B2 (en) 2001-08-08 2004-05-04 Agilent Technologies, Inc. Forming ferroelectric Pb(Zr,Ti)O3 films
US7090751B2 (en) * 2001-08-31 2006-08-15 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US6837250B2 (en) * 2002-02-27 2005-01-04 Air Products And Chemicals, Inc. CVD chamber cleaning using mixed PFCs from capture/recycle
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6719641B2 (en) * 2002-04-26 2004-04-13 Nicklaus Golf Equipment Company Golf iron having a customizable weighting feature
US20040108212A1 (en) * 2002-12-06 2004-06-10 Lyndon Graham Apparatus and methods for transferring heat during chemical processing of microelectronic workpieces
ITPD20020316A1 (it) * 2002-12-11 2004-06-12 Mauro Schiavon Dispositivo e metodo per la creazione di fullereni e/o nanotubi
US20040129385A1 (en) * 2003-01-02 2004-07-08 International Business Machines Corporation Pre-loaded plasma reactor apparatus and application thereof
TWI230094B (en) * 2003-01-14 2005-04-01 Desiccant Technology Corp Method for exhaust treatment of perfluoro compounds
KR100505670B1 (ko) * 2003-02-05 2005-08-03 삼성전자주식회사 부산물 제거용 고온 유체 공급 장치를 구비한 반도체 소자제조 장치
FR2863103B1 (fr) * 2003-12-01 2006-07-14 Cit Alcatel Systeme de traitement des gaz par plasma integre dans une pompe a vide
US7569193B2 (en) * 2003-12-19 2009-08-04 Applied Materials, Inc. Apparatus and method for controlled combustion of gaseous pollutants
US20050148199A1 (en) * 2003-12-31 2005-07-07 Frank Jansen Apparatus for atomic layer deposition
US20050250347A1 (en) * 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US20070160512A1 (en) * 2004-01-29 2007-07-12 Tadahiro Ohmi Method for treating exhaust gas and apparatus for treating exhaust gas
US20050238816A1 (en) * 2004-04-23 2005-10-27 Li Hou Method and apparatus of depositing low temperature inorganic films on plastic substrates
GB0415560D0 (en) * 2004-07-12 2004-08-11 Boc Group Plc Pump cleaning
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7736599B2 (en) * 2004-11-12 2010-06-15 Applied Materials, Inc. Reactor design to reduce particle deposition during process abatement
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US20060276049A1 (en) * 2005-06-06 2006-12-07 Bailey Christopher M High efficiency trap for deposition process
GB0522088D0 (en) * 2005-10-28 2005-12-07 Boc Group Plc Plasma abatement device
WO2007053626A2 (en) * 2005-10-31 2007-05-10 Applied Materials, Inc. Process abatement reactor
GB0523947D0 (en) * 2005-11-24 2006-01-04 Boc Group Plc Microwave plasma system
EP1816668A2 (en) * 2006-02-01 2007-08-08 FEI Company Particle-optical apparatus with a predetermined final vacuum pressure
US20070267143A1 (en) * 2006-05-16 2007-11-22 Applied Materials, Inc. In situ cleaning of CVD system exhaust
US20080081130A1 (en) * 2006-09-29 2008-04-03 Applied Materials, Inc. Treatment of effluent in the deposition of carbon-doped silicon
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
DE102006052586B4 (de) * 2006-11-08 2008-07-03 Schott Solar Gmbh Verfahren und Vorrichtung zur Reinigung der Abgase einer Siliziumdünnschicht-Produktionsanlage
US7655930B2 (en) * 2007-03-22 2010-02-02 Axcelis Technologies, Inc. Ion source arc chamber seal
US8435895B2 (en) * 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
WO2009102070A1 (ja) * 2008-02-12 2009-08-20 Imott Inc. ダイヤモンド状炭素膜成膜装置及びダイヤモンド状炭素膜を成膜する方法
US9997325B2 (en) * 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
JP5460982B2 (ja) * 2008-07-30 2014-04-02 東京エレクトロン株式会社 弁体、粒子進入阻止機構、排気制御装置及び基板処理装置
US20100051612A1 (en) * 2008-08-29 2010-03-04 Hans Magnus Fagrell Microwave heater and method of heating
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US8707899B2 (en) * 2009-02-26 2014-04-29 Hitachi High-Technologies Corporation Plasma processing apparatus
US7993937B2 (en) * 2009-09-23 2011-08-09 Tokyo Electron Limited DC and RF hybrid processing system
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
KR101770008B1 (ko) * 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US20110195202A1 (en) * 2010-02-11 2011-08-11 Applied Materials, Inc. Oxygen pump purge to prevent reactive powder explosion
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
KR101427726B1 (ko) * 2011-12-27 2014-08-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
US11716793B2 (en) * 2012-01-23 2023-08-01 Robert W. Connors Compact microwave oven
KR102111914B1 (ko) * 2014-03-06 2020-05-18 어플라이드 머티어리얼스, 인코포레이티드 중원자들을 함유하는 화합물들의 플라즈마 저감
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
KR101638325B1 (ko) 2014-09-03 2016-07-12 노영석 분리막시스템과 스크러버가 일체로 이루어지는 과불화화합물 분리시스템
WO2016051465A1 (ja) * 2014-09-29 2016-04-07 株式会社日立国際電気 大気圧誘導結合プラズマ装置
CN107078079A (zh) * 2014-10-15 2017-08-18 应用材料公司 抗腐蚀减量系统
US10187966B2 (en) * 2015-07-24 2019-01-22 Applied Materials, Inc. Method and apparatus for gas abatement
GB2541214A (en) * 2015-08-12 2017-02-15 Edwards Ltd Plasma generator
US10535506B2 (en) 2016-01-13 2020-01-14 Mks Instruments, Inc. Method and apparatus for deposition cleaning in a pumping line
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system
US11031252B2 (en) * 2016-11-30 2021-06-08 Taiwan Semiconductor Manufacturing Compant, Ltd. Heat shield for chamber door and devices manufactured using same
KR102646623B1 (ko) * 2017-01-23 2024-03-11 에드워드 코리아 주식회사 플라즈마 발생 장치 및 가스 처리 장치
WO2018212940A1 (en) * 2017-05-19 2018-11-22 Applied Materials, Inc. Apparatus for collection and subsequent reaction of liquid and solid effluent into gaseous effluent
US11745229B2 (en) 2020-08-11 2023-09-05 Mks Instruments, Inc. Endpoint detection of deposition cleaning in a pumping line and a processing chamber
US11664197B2 (en) 2021-08-02 2023-05-30 Mks Instruments, Inc. Method and apparatus for plasma generation

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA128732A (en) 1910-07-25 1910-10-18 Lars O. Lundgren Suction pump for cleaning drain pipes
US3795557A (en) * 1972-05-12 1974-03-05 Lfe Corp Process and material for manufacturing semiconductor devices
JPS51129868A (en) 1975-05-07 1976-11-11 Fujitsu Ltd A process for treatment of waste gas
GB1550853A (en) * 1975-10-06 1979-08-22 Hitachi Ltd Apparatus and process for plasma treatment
JPS5278176A (en) 1975-12-25 1977-07-01 Chiyoda R & D Discharge reactor for gases
DE2965333D1 (en) 1978-12-29 1983-06-09 Ncr Co Process and apparatus for cleaning wall deposits from a film deposition furnace tube
JPS58101722A (ja) 1981-12-10 1983-06-17 Fujitsu Ltd 排気ガス処理装置
JPS5970763A (ja) 1982-10-12 1984-04-21 Matsushita Electric Ind Co Ltd 薄膜形成装置
JPS59181619A (ja) 1983-03-31 1984-10-16 Toshiba Corp 反応性イオンエツチング装置
JPS60114570A (ja) 1983-11-25 1985-06-21 Canon Inc プラズマcvd装置の排気系
DE3414121A1 (de) 1984-04-14 1985-10-24 Brown, Boveri & Cie Ag, 6800 Mannheim Verfahren und vorrichtung zur reinigung von abgasen
US4657738A (en) 1984-04-30 1987-04-14 Westinghouse Electric Corp. Stack gas emissions control system
JPS60234313A (ja) 1984-05-07 1985-11-21 Hitachi Ltd プラズマ処理装置
US5137701A (en) 1984-09-17 1992-08-11 Mundt Randall S Apparatus and method for eliminating unwanted materials from a gas flow line
JPS6328869A (ja) 1986-07-22 1988-02-06 Nec Corp Cvd装置
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPH0757297B2 (ja) 1987-04-22 1995-06-21 日本真空技術株式会社 真空排気系用微粒子トラツプ
JPH0757298B2 (ja) 1987-05-19 1995-06-21 日本真空技術株式会社 真空排気系用微粒子捕集装置
US4735633A (en) 1987-06-23 1988-04-05 Chiu Kin Chung R Method and system for vapor extraction from gases
US4830702A (en) 1987-07-02 1989-05-16 General Electric Company Hollow cathode plasma assisted apparatus and method of diamond synthesis
JPH01171227A (ja) 1987-12-25 1989-07-06 Matsushita Electric Ind Co Ltd Cvd方法
JP2671009B2 (ja) 1988-05-13 1997-10-29 増田 佳子 超微粒子の回収方法及びその回収装置
JPH02125876A (ja) 1988-11-01 1990-05-14 Fujitsu Ltd Cvd装置の排気機構
JPH02159018A (ja) 1988-12-12 1990-06-19 Nec Corp 減圧式気相成長装置
US5141714A (en) 1989-08-01 1992-08-25 Kabushiki Kaisha Riken Exhaust gas cleaner
JPH03253571A (ja) 1990-03-02 1991-11-12 Hitachi Ltd 排気装置および化学気相成長装置
EP0454346A1 (en) 1990-04-21 1991-10-30 United Kingdom Atomic Energy Authority Exhaust particulate filter
JPH04136175A (ja) 1990-09-26 1992-05-11 Matsushita Electric Ind Co Ltd 薄膜形成装置
US5451378A (en) 1991-02-21 1995-09-19 The United States Of America As Represented By The Secretary Of The Navy Photon controlled decomposition of nonhydrolyzable ambients
US5211729A (en) 1991-08-30 1993-05-18 Sematech, Inc. Baffle/settling chamber for a chemical vapor deposition equipment
US5279669A (en) 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
JPH05202474A (ja) 1992-01-24 1993-08-10 Hitachi Electron Eng Co Ltd Cvd装置の排気ガスの異物捕獲方法
US5323013A (en) 1992-03-31 1994-06-21 The United States Of America As Represented By The Secretary Of The Navy Method of rapid sample handling for laser processing
US5417826A (en) 1992-06-15 1995-05-23 Micron Technology, Inc. Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors
JP3111663B2 (ja) 1992-07-28 2000-11-27 ソニー株式会社 プラズマ装置
DE4319118A1 (de) 1993-06-09 1994-12-15 Breitbarth Friedrich Wilhelm D Verfahren und Vorrichtung zur Entsorgung von Fluorkohlenstoffen und anderen fluorhaltigen Verbindungen
JP3178947B2 (ja) 1993-08-31 2001-06-25 株式会社東芝 薄膜形成方法
JP3368018B2 (ja) 1993-10-29 2003-01-20 東京エレクトロン株式会社 減圧処理方法および装置
US5453125A (en) 1994-02-17 1995-09-26 Krogh; Ole D. ECR plasma source for gas abatement
US5569810A (en) * 1994-03-18 1996-10-29 Samco International, Inc. Method of and system for processing halogenated hydrocarbons
JPH0910544A (ja) 1995-06-27 1997-01-14 Alpha Tec:Kk 除害装置及び成膜装置及びエッチング装置
US5750823A (en) * 1995-07-10 1998-05-12 R.F. Environmental Systems, Inc. Process and device for destruction of halohydrocarbons
US6194628B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6187072B1 (en) 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6045618A (en) 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US5827370A (en) 1997-01-13 1998-10-27 Mks Instruments, Inc. Method and apparatus for reducing build-up of material on inner surface of tube downstream from a reaction furnace

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013041831A (ja) * 2004-11-08 2013-02-28 Mks Instruments Inc 金属含有フッ素ガスを減少させるための装置
JP2008290022A (ja) * 2007-05-25 2008-12-04 Taiyo Nippon Sanso Corp プラズマ生成装置
KR100876983B1 (ko) * 2008-03-17 2009-01-07 (주)여진 Pcb 제조 공정에서 발생하는 산화 및 악취가스처리시스템
JP2015213171A (ja) * 2014-04-30 2015-11-26 コリア・インスティテュート・オブ・マシナリー・アンド・マテリアルズKorea Institute Of Machinery & Materials 汚染物質除去用プラズマ反応器
US9472381B2 (en) 2014-04-30 2016-10-18 Korea Institute Of Machinery & Materials Plasma reactor for abatement of hazardous material
JP2019503562A (ja) * 2016-01-13 2019-02-07 エムケイエス インストゥルメンツ, インコーポレイテッド ポンピング・ラインでの堆積クリーニングのための方法及び装置

Also Published As

Publication number Publication date
EP0781599A2 (en) 1997-07-02
DE69632275T2 (de) 2005-04-21
EP1145759B1 (en) 2004-04-21
EP0781599A3 (en) 1997-10-29
JP3992315B2 (ja) 2007-10-17
EP1145759A1 (en) 2001-10-17
US6517913B1 (en) 2003-02-11
US6187072B1 (en) 2001-02-13
DE69632275D1 (de) 2004-05-27
DE69623583D1 (de) 2002-10-17
EP0781599B1 (en) 2002-09-11
KR100271694B1 (ko) 2000-12-01
DE69623583T2 (de) 2003-01-09

Similar Documents

Publication Publication Date Title
JP3992315B2 (ja) 基板処理装置排出物からパーフルオロ化合物ガスを低減する装置
JP4146919B2 (ja) 基板処理設備のための現場真空ライン清浄用平行平板装置
KR100503127B1 (ko) 기판처리장치의인-시튜진공라인을세척하기위한마이크로파장치
JP3897382B2 (ja) Cvdシステムの真空ラインのクリーニング方法及び装置
US8343317B2 (en) In situ cleaning of CVD System exhaust
KR101320251B1 (ko) 플라즈마 저감 장치
JPH09186143A (ja) プラズマチャンバ表面から副生成物をクリーニングするための方法及び装置
US20070028943A1 (en) Method of using sulfur fluoride for removing surface deposits
US6708700B2 (en) Cleaning of semiconductor processing chambers
JP2009513331A (ja) ガス流の処理装置

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060710

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060718

A524 Written submission of copy of amendment under section 19 (pct)

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20061012

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070703

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070724

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100803

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110803

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110803

Year of fee payment: 4

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110803

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120803

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130803

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees