DE69623583T2 - Verfahren und Vorrichtung zur Reduzierung von Perfluorverbindungen enthaltenden Abgasen aus Substratbearbeitungsvorrichtungen. - Google Patents
Verfahren und Vorrichtung zur Reduzierung von Perfluorverbindungen enthaltenden Abgasen aus Substratbearbeitungsvorrichtungen.Info
- Publication number
- DE69623583T2 DE69623583T2 DE69623583T DE69623583T DE69623583T2 DE 69623583 T2 DE69623583 T2 DE 69623583T2 DE 69623583 T DE69623583 T DE 69623583T DE 69623583 T DE69623583 T DE 69623583T DE 69623583 T2 DE69623583 T2 DE 69623583T2
- Authority
- DE
- Germany
- Prior art keywords
- chamber
- plasma
- dpa
- gases
- electrodes
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 239000007789 gas Substances 0.000 title claims description 288
- 238000012545 processing Methods 0.000 title claims description 85
- 238000000034 method Methods 0.000 title claims description 77
- 239000000758 substrate Substances 0.000 title claims description 34
- -1 perfluoro compounds Chemical class 0.000 title claims description 9
- 239000002245 particle Substances 0.000 claims description 117
- 238000004140 cleaning Methods 0.000 claims description 107
- 229910052710 silicon Inorganic materials 0.000 claims description 69
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 68
- 239000010703 silicon Substances 0.000 claims description 68
- 239000000463 material Substances 0.000 claims description 49
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 48
- 230000008569 process Effects 0.000 claims description 46
- 239000006227 byproduct Substances 0.000 claims description 27
- 239000007787 solid Substances 0.000 claims description 27
- 239000000047 product Substances 0.000 claims description 25
- 239000013618 particulate matter Substances 0.000 claims description 24
- 238000005229 chemical vapour deposition Methods 0.000 claims description 20
- 239000012530 fluid Substances 0.000 claims description 20
- 229910052760 oxygen Inorganic materials 0.000 claims description 17
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 17
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 16
- 239000001301 oxygen Substances 0.000 claims description 16
- 239000011236 particulate material Substances 0.000 claims description 10
- 239000004065 semiconductor Substances 0.000 claims description 10
- 239000007800 oxidant agent Substances 0.000 claims description 7
- 230000004888 barrier function Effects 0.000 claims description 5
- 238000002955 isolation Methods 0.000 claims description 5
- 239000002210 silicon-based material Substances 0.000 claims description 4
- 238000000151 deposition Methods 0.000 description 85
- 230000008021 deposition Effects 0.000 description 85
- 238000002474 experimental method Methods 0.000 description 44
- 238000006243 chemical reaction Methods 0.000 description 43
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical class FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 38
- 229910052581 Si3N4 Inorganic materials 0.000 description 34
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 31
- 230000009467 reduction Effects 0.000 description 30
- 235000012431 wafers Nutrition 0.000 description 30
- 239000000843 powder Substances 0.000 description 29
- 238000013461 design Methods 0.000 description 27
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 23
- 150000001875 compounds Chemical class 0.000 description 23
- 229910052731 fluorine Inorganic materials 0.000 description 23
- 239000011737 fluorine Substances 0.000 description 23
- 238000005137 deposition process Methods 0.000 description 21
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 20
- 235000012239 silicon dioxide Nutrition 0.000 description 20
- 239000000919 ceramic Substances 0.000 description 18
- 230000007246 mechanism Effects 0.000 description 18
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 16
- 230000015572 biosynthetic process Effects 0.000 description 15
- 238000005755 formation reaction Methods 0.000 description 15
- 230000001965 increasing effect Effects 0.000 description 15
- 239000010408 film Substances 0.000 description 14
- 229910052782 aluminium Inorganic materials 0.000 description 13
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 13
- 239000003518 caustics Substances 0.000 description 13
- 239000010453 quartz Substances 0.000 description 13
- 230000000694 effects Effects 0.000 description 11
- 238000000926 separation method Methods 0.000 description 11
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 10
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 10
- 239000004809 Teflon Substances 0.000 description 10
- 229920006362 Teflon® Polymers 0.000 description 10
- 230000005684 electric field Effects 0.000 description 10
- 238000005530 etching Methods 0.000 description 10
- 239000000203 mixture Substances 0.000 description 10
- 230000007935 neutral effect Effects 0.000 description 10
- 239000000377 silicon dioxide Substances 0.000 description 10
- 229910052751 metal Inorganic materials 0.000 description 9
- 239000002184 metal Substances 0.000 description 9
- 238000012360 testing method Methods 0.000 description 9
- 238000009825 accumulation Methods 0.000 description 8
- 238000009826 distribution Methods 0.000 description 8
- 101100311330 Schizosaccharomyces pombe (strain 972 / ATCC 24843) uap56 gene Proteins 0.000 description 7
- 239000004020 conductor Substances 0.000 description 7
- 238000000354 decomposition reaction Methods 0.000 description 7
- 230000005484 gravity Effects 0.000 description 7
- 239000007788 liquid Substances 0.000 description 7
- 238000010926 purge Methods 0.000 description 7
- 238000000746 purification Methods 0.000 description 7
- 229910000077 silane Inorganic materials 0.000 description 7
- 101150018444 sub2 gene Proteins 0.000 description 7
- 239000000470 constituent Substances 0.000 description 6
- 238000001816 cooling Methods 0.000 description 6
- 230000007423 decrease Effects 0.000 description 6
- 239000011810 insulating material Substances 0.000 description 6
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 6
- 239000000126 substance Substances 0.000 description 6
- 229910052681 coesite Inorganic materials 0.000 description 5
- 229910052906 cristobalite Inorganic materials 0.000 description 5
- 238000010586 diagram Methods 0.000 description 5
- 231100001261 hazardous Toxicity 0.000 description 5
- 238000009413 insulation Methods 0.000 description 5
- 238000001000 micrograph Methods 0.000 description 5
- 229910052759 nickel Inorganic materials 0.000 description 5
- 229910052757 nitrogen Inorganic materials 0.000 description 5
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 5
- 239000000376 reactant Substances 0.000 description 5
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 5
- 229910010271 silicon carbide Inorganic materials 0.000 description 5
- 229910052682 stishovite Inorganic materials 0.000 description 5
- 229910052905 tridymite Inorganic materials 0.000 description 5
- 239000002699 waste material Substances 0.000 description 5
- 229910021529 ammonia Inorganic materials 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- 230000015556 catabolic process Effects 0.000 description 4
- 229910010293 ceramic material Inorganic materials 0.000 description 4
- 230000001939 inductive effect Effects 0.000 description 4
- 239000011856 silicon-based particle Substances 0.000 description 4
- 238000011144 upstream manufacturing Methods 0.000 description 4
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 3
- 101100328843 Dictyostelium discoideum cofB gene Proteins 0.000 description 3
- 229910004541 SiN Inorganic materials 0.000 description 3
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 3
- 238000002441 X-ray diffraction Methods 0.000 description 3
- 238000004833 X-ray photoelectron spectroscopy Methods 0.000 description 3
- 238000004458 analytical method Methods 0.000 description 3
- IYRWEQXVUNLMAY-UHFFFAOYSA-N carbonyl fluoride Chemical compound FC(F)=O IYRWEQXVUNLMAY-UHFFFAOYSA-N 0.000 description 3
- 229910052802 copper Inorganic materials 0.000 description 3
- 239000010949 copper Substances 0.000 description 3
- 230000008878 coupling Effects 0.000 description 3
- 238000010168 coupling process Methods 0.000 description 3
- 238000005859 coupling reaction Methods 0.000 description 3
- 150000002222 fluorine compounds Chemical class 0.000 description 3
- 230000006870 function Effects 0.000 description 3
- 239000011521 glass Substances 0.000 description 3
- 229910052739 hydrogen Inorganic materials 0.000 description 3
- 238000001819 mass spectrum Methods 0.000 description 3
- 238000005259 measurement Methods 0.000 description 3
- 229910003465 moissanite Inorganic materials 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 230000005855 radiation Effects 0.000 description 3
- 230000004044 response Effects 0.000 description 3
- 230000000717 retained effect Effects 0.000 description 3
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- 229910000831 Steel Inorganic materials 0.000 description 2
- 230000004913 activation Effects 0.000 description 2
- 229910021417 amorphous silicon Inorganic materials 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 230000001010 compromised effect Effects 0.000 description 2
- 238000004590 computer program Methods 0.000 description 2
- 239000000356 contaminant Substances 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 238000006731 degradation reaction Methods 0.000 description 2
- 238000001810 electrochemical catalytic reforming Methods 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 230000005281 excited state Effects 0.000 description 2
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 2
- 229910052737 gold Inorganic materials 0.000 description 2
- 239000010931 gold Substances 0.000 description 2
- 230000017525 heat dissipation Effects 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 230000006698 induction Effects 0.000 description 2
- 238000010849 ion bombardment Methods 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 238000011068 loading method Methods 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 238000003913 materials processing Methods 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 231100000989 no adverse effect Toxicity 0.000 description 2
- 230000036961 partial effect Effects 0.000 description 2
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 2
- 230000000284 resting effect Effects 0.000 description 2
- 239000004576 sand Substances 0.000 description 2
- 150000003377 silicon compounds Chemical class 0.000 description 2
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 2
- 239000011343 solid material Substances 0.000 description 2
- 238000001228 spectrum Methods 0.000 description 2
- 239000010959 steel Substances 0.000 description 2
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 2
- 230000007704 transition Effects 0.000 description 2
- 238000005406 washing Methods 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- 229910019975 (NH4)2SiF6 Inorganic materials 0.000 description 1
- QGZKDVFQNNGYKY-UHFFFAOYSA-O Ammonium Chemical compound [NH4+] QGZKDVFQNNGYKY-UHFFFAOYSA-O 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 1
- 229910020177 SiOF Inorganic materials 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 239000000654 additive Substances 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- WYTGDNHDOZPMIW-RCBQFDQVSA-N alstonine Natural products C1=CC2=C3C=CC=CC3=NC2=C2N1C[C@H]1[C@H](C)OC=C(C(=O)OC)[C@H]1C2 WYTGDNHDOZPMIW-RCBQFDQVSA-N 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 230000033228 biological regulation Effects 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 238000012512 characterization method Methods 0.000 description 1
- 239000000306 component Substances 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000005336 cracking Methods 0.000 description 1
- 230000000254 damaging effect Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000010790 dilution Methods 0.000 description 1
- 239000012895 dilution Substances 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- 238000004090 dissolution Methods 0.000 description 1
- 238000004924 electrostatic deposition Methods 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- 239000010795 gaseous waste Substances 0.000 description 1
- 238000000227 grinding Methods 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 230000002452 interceptive effect Effects 0.000 description 1
- 230000009191 jumping Effects 0.000 description 1
- 230000008018 melting Effects 0.000 description 1
- 238000002844 melting Methods 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 230000006911 nucleation Effects 0.000 description 1
- 238000010899 nucleation Methods 0.000 description 1
- LXPCOISGJFXEJE-UHFFFAOYSA-N oxifentorex Chemical compound C=1C=CC=CC=1C[N+](C)([O-])C(C)CC1=CC=CC=C1 LXPCOISGJFXEJE-UHFFFAOYSA-N 0.000 description 1
- 150000002927 oxygen compounds Chemical class 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000005240 physical vapour deposition Methods 0.000 description 1
- 239000004033 plastic Substances 0.000 description 1
- 230000010287 polarization Effects 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 230000035755 proliferation Effects 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 230000035484 reaction time Effects 0.000 description 1
- 238000011084 recovery Methods 0.000 description 1
- 230000002829 reductive effect Effects 0.000 description 1
- 238000005201 scrubbing Methods 0.000 description 1
- KKCBUQHMOMHUOY-UHFFFAOYSA-N sodium oxide Chemical compound [O-2].[Na+].[Na+] KKCBUQHMOMHUOY-UHFFFAOYSA-N 0.000 description 1
- 229910001948 sodium oxide Inorganic materials 0.000 description 1
- 239000002910 solid waste Substances 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 238000000859 sublimation Methods 0.000 description 1
- 230000008022 sublimation Effects 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 230000009466 transformation Effects 0.000 description 1
- 238000001771 vacuum deposition Methods 0.000 description 1
- 238000009834 vaporization Methods 0.000 description 1
- 230000008016 vaporization Effects 0.000 description 1
- 238000011179 visual inspection Methods 0.000 description 1
- 238000010792 warming Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32816—Pressure
- H01J37/32834—Exhausting
- H01J37/32844—Treating effluent gases
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01D—SEPARATION
- B01D45/00—Separating dispersed particles from gases or vapours by gravity, inertia, or centrifugal forces
- B01D45/04—Separating dispersed particles from gases or vapours by gravity, inertia, or centrifugal forces by utilising inertia
- B01D45/06—Separating dispersed particles from gases or vapours by gravity, inertia, or centrifugal forces by utilising inertia by reversal of direction of flow
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01D—SEPARATION
- B01D53/00—Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
- B01D53/32—Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by electrical effects other than those provided for in group B01D61/00
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01D—SEPARATION
- B01D53/00—Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
- B01D53/34—Chemical or biological purification of waste gases
- B01D53/46—Removing components of defined structure
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J19/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J19/08—Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J19/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J19/08—Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
- B01J19/087—Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy
- B01J19/088—Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy giving rise to electric discharges
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J19/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J19/08—Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
- B01J19/12—Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electromagnetic waves
- B01J19/122—Incoherent waves
- B01J19/126—Microwaves
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J19/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J19/24—Stationary reactors without moving elements inside
- B01J19/248—Reactors comprising multiple separated flow channels
- B01J19/249—Plate-type reactors
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4405—Cleaning of reactor or parts inside the reactor by using reactive gases
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4412—Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05H—PLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
- H05H1/00—Generating plasma; Handling plasma
- H05H1/24—Generating plasma
- H05H1/46—Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05H—PLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
- H05H1/00—Generating plasma; Handling plasma
- H05H1/24—Generating plasma
- H05H1/46—Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
- H05H1/461—Microwave discharges
- H05H1/4622—Microwave discharges using waveguides
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01D—SEPARATION
- B01D2257/00—Components to be removed
- B01D2257/20—Halogens or halogen compounds
- B01D2257/206—Organic halogen compounds
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01D—SEPARATION
- B01D2259/00—Type of treatment
- B01D2259/80—Employing electric, magnetic, electromagnetic or wave energy, or particle radiation
- B01D2259/818—Employing electrical discharges or the generation of a plasma
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J2219/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J2219/24—Stationary reactors without moving elements inside
- B01J2219/2401—Reactors comprising multiple separate flow channels
- B01J2219/245—Plate-type reactors
- B01J2219/2451—Geometry of the reactor
- B01J2219/2453—Plates arranged in parallel
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J2219/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J2219/24—Stationary reactors without moving elements inside
- B01J2219/2401—Reactors comprising multiple separate flow channels
- B01J2219/245—Plate-type reactors
- B01J2219/2451—Geometry of the reactor
- B01J2219/2456—Geometry of the plates
- B01J2219/2458—Flat plates, i.e. plates which are not corrugated or otherwise structured, e.g. plates with cylindrical shape
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J2219/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J2219/24—Stationary reactors without moving elements inside
- B01J2219/2401—Reactors comprising multiple separate flow channels
- B01J2219/245—Plate-type reactors
- B01J2219/2461—Heat exchange aspects
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J2219/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J2219/24—Stationary reactors without moving elements inside
- B01J2219/2401—Reactors comprising multiple separate flow channels
- B01J2219/245—Plate-type reactors
- B01J2219/2461—Heat exchange aspects
- B01J2219/2467—Additional heat exchange means, e.g. electric resistance heaters, coils
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J2219/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J2219/24—Stationary reactors without moving elements inside
- B01J2219/2401—Reactors comprising multiple separate flow channels
- B01J2219/245—Plate-type reactors
- B01J2219/2469—Feeding means
- B01J2219/247—Feeding means for the reactants
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J2219/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J2219/24—Stationary reactors without moving elements inside
- B01J2219/2401—Reactors comprising multiple separate flow channels
- B01J2219/245—Plate-type reactors
- B01J2219/2474—Mixing means, e.g. fins or baffles attached to the plates
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J2219/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J2219/24—Stationary reactors without moving elements inside
- B01J2219/2401—Reactors comprising multiple separate flow channels
- B01J2219/245—Plate-type reactors
- B01J2219/2475—Separation means, e.g. membranes inside the reactor
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J2219/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J2219/24—Stationary reactors without moving elements inside
- B01J2219/2401—Reactors comprising multiple separate flow channels
- B01J2219/245—Plate-type reactors
- B01J2219/2476—Construction materials
- B01J2219/2483—Construction materials of the plates
- B01J2219/2487—Ceramics
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J2219/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J2219/24—Stationary reactors without moving elements inside
- B01J2219/2401—Reactors comprising multiple separate flow channels
- B01J2219/245—Plate-type reactors
- B01J2219/2491—Other constructional details
- B01J2219/2492—Assembling means
- B01J2219/2493—Means for assembling plates together, e.g. sealing means, screws, bolts
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J2219/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J2219/24—Stationary reactors without moving elements inside
- B01J2219/2401—Reactors comprising multiple separate flow channels
- B01J2219/245—Plate-type reactors
- B01J2219/2491—Other constructional details
- B01J2219/2492—Assembling means
- B01J2219/2496—Means for assembling modules together, e.g. casings, holders, fluidic connectors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/02—Details
- H01J2237/022—Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05H—PLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
- H05H1/00—Generating plasma; Handling plasma
- H05H1/24—Generating plasma
- H05H1/46—Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
- H05H1/4645—Radiofrequency discharges
- H05H1/4652—Radiofrequency discharges using inductive coupling means, e.g. coils
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05H—PLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
- H05H2245/00—Applications of plasma devices
- H05H2245/10—Treatment of gases
- H05H2245/17—Exhaust gases
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02C—CAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
- Y02C20/00—Capture or disposal of greenhouse gases
- Y02C20/30—Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02P—CLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
- Y02P70/00—Climate change mitigation technologies in the production process for final industrial or consumer products
- Y02P70/50—Manufacturing or production processes characterised by the final manufactured product
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Organic Chemistry (AREA)
- Health & Medical Sciences (AREA)
- General Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- General Health & Medical Sciences (AREA)
- Toxicology (AREA)
- Electromagnetism (AREA)
- Materials Engineering (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Oil, Petroleum & Natural Gas (AREA)
- Biomedical Technology (AREA)
- Environmental & Geological Engineering (AREA)
- Chemical Vapour Deposition (AREA)
- Physical Or Chemical Processes And Apparatus (AREA)
Description
- Die vorliegende Erfindung bezieht sich im allgemeinen auf das Gebiet der Halbleiterbearbeitungsvorrichtungen und im speziellen auf ein Verfahren und eine Vorrichtung zum Eliminieren von Verunreinigungen und Ablagerungen von der Innenseite einer Vakuumabgasleitung, die mit einer Verarbeitungskammer verbunden ist, und auf ein Verfahren und eine Vorrichtung zur Reduzierung von Perfluorverbindungen (PFC) enthaltenden Abgasen aus einer Verarbeitungskammer.
- Während eines chemischen Abscheidungsvorgangs aus der Gasphase (CVD) werden in die Verarbeitungskammer Ablagerungsgase eingeführt, um eine dünne Filmlage auf der Oberfläche von einem zu verarbeitenden Substrat zu bilden. Während solch eines CVD- Prozeßes tritt auch eine unerwünschte Ablagerung wie auf den Wänden der Verarbeitungskammer auf. Da die Verweildauer der einzelnen Moleküle des Ablagerungsgases in der Kammer relativ kurz ist, wird nur ein kleiner Teil der in die Kammer eingeführten Moleküle in dem Ablagerungsprozeß verarbeitet und entweder auf dem Wafer oder den Kammerwänden abgelagert.
- Die nicht verarbeiteten Gasmoleküle werden aus der Kammer mit den teilweise reagierten Verbindungen und den Nebenprodukten der Reaktion über eine Vakuumleitung, die allgemein als "Abgasleitung" bezeichnet wird, abgepumpt. Viele der Verbindungen im Abgas befinden sich noch in einem hoch reaktiven Zustand und/oder enthalten Ablagerungen oder Teilchenmaterial, die unerwünschte Ablagerungen in der Abgasleitung bilden können. Nach einiger Zeit stellt diese Ablagerung, die aus pulverförmigen Ablagerungen und/oder Teilchenmaterial besteht, ein Problem dar. Erstens ist der abgelagerte Stoff häufig eine in Luft entzündliche Substanz, die Probleme bereiten kann, wenn die Vakuumdichtung gebrochen ist und wenn die Abgasleitung während standardmäßigen periodischen Reinigungen den Umgebungsbedingungen ausgesetzt ist. Zweitens kann, wenn sich genügend Ablagerungsmaterial in der Abgasleitung aufgebaut hat, die Abgasleitung und/oder die damit verbundene Vakuumpumpe verstopfen, wenn sie nicht ordentlich gereinigt werden. Sogar wenn regelmäßig gereinigt wird, kann der aufgebaute Ablagerungsstoff den normalen Betrieb der Vakuumpumpe störend beeinflussen und die nutzbare Lebensdauer der Pumpe drastisch verkürzen. Die Feststoffe können sich auch in die Verarbeitungskammer zurückbewegen und die Verarbeitungsschritte verunreinigen, so daß die Waferproduktion nachteilig beeinflußt wird.
- Um diese Probleme zu vermeiden, wird die Innenseite der Abgasleitung regelmäßig gereinigt, um das abgelagerte Material zu beseitigen. Dieser Arbeitsgang wird während einer standardmäßigen Reinigung der Kammer durchgeführt, die eingesetzt wird, um unerwünschte Ablagerungsmaterialien von den Kammerwänden und ähnlichen Flächen der Verarbeitungskammer zu beseitigen. Übliche Techniken zur Reinigung der Kammer umfassen den Einsatz von ätzenden Gasen, sowie Fluor, um abgelagertes Material von den Kammerwänden und anderen Flächen zu beseitigen. Das ätzende Gas wird in die Kammer eingeführt und es wird ein Plasma gebildet, so daß das ätzende Gas mit den abgelagerten Stoffen reagiert und diese von den Kammerwänden entfernt. Solche Arbeitsgänge zur Reinigung werden üblicherweise zwischen den Ablagerungsschritten für jeden Wafer oder nach jedem n-ten Wafer durchgeführt.
- Die Entfernung des Ablagerungsmaterials von den Kammerwänden ist relativ unkompliziert, da das Plasma in der Kammer auf einer Fläche erzeugt wird, die in der Nähe des bereits abgeschiedenen Materials ist. Die Entfernung des Ablagerungsmaterials aus der Abgasleitung ist schwieriger, weil sich die Abgasleitung unterhalb der Verarbeitungskammer befindet. Innerhalb einem festen Zeitintervall kommen mehr Stellen innerhalb der Verarbeitungskammer mit den Atomen des ätzenden Fluorgases in Kontakt als die Stellen innerhalb der Abgasleitung. Folglich kann die Kammer in einer festen Zeiteinheit durch den Arbeitsgang zur Reinigung angemessen gesäubert werden, während Restmaterial und ähnliche Ablagerungen in der Abgasleitung bleiben.
- Um auch die Abgasleitung angemessen zu reinigen, muß die Dauer des Arbeitsganges zur Reinigung erhöht werden. Die Verlängerung der Dauer des Arbeitsganges zur Reinigung ist jedoch nicht erwünscht, weil dieser die Durchflußmenge der Wafer negativ beeinflußt. Solche aufgebauten Ablagerungen können auch nur in dem Ausmaß beseitigt werden, in dem die Reaktionsstoffe des Reinigungsschrittes in einem Zustand in die Abgasleitung ausgestoßen werden, indem sie mit den Ablagerungen in der Abgasleitung reagieren können. Bei manchen Systemen und Anwendungen reicht die Lebenszeit der ausgestoßenen Reaktionsstoffe nicht aus, um das Ende oder die mittleren Abschnitte der Abgasleitung zu erreichen. In diesen Systemen und Anwendungen sind die aufgebauten Ablagerungen von größerer Bedeutung. Entsprechend gibt es einen Bedarf für eine Vorrichtung und ein Verfahren, um die Abgasleitung in einem Halbleiterverarbeitungssystem wirksam und gründlich zu reinigen.
- Ein Versuch, der durchgeführt worden ist, um die Abgasleitung zu reinigen, beruht auf einem Waschsystem, das plasmaunterstützte CVD-Techniken benutzt, um reaktive Komponenten in den Abgasen als Filmabscheidungen auf Elektrodenoberflächen zu extrahieren. Das Waschsystem wurde entworfen, um die Beseitigung von Reaktionsstoffen als ein Festkörperfilm zu maximieren und benutzt spiralförmige Elektroden mit großen Oberflächen. Die spiralförmigen Elektroden befinden sich in einem auswechselbaren Kanister, der sich in der Nähe der Abgasleitung zwischen der Kompressorpumpe und der mechanischen Pumpe befindet. Nachdem sich eine ausreichende Menge von Festkörperabfallprodukten auf den Elektroden aufgebaut hat, können die Kanister zur Beseitigung und zum Austausch ausgewechselt werden.
- Bei dem Verfahren nach dem Stand der Technik gibt es dadurch Probleme, daß das System auf den großen Oberflächen der Elektroden beruht, um eine Fläche bereitzustellen, um ausgeschiedene Festkörperstoffe aufzunehmen. Um die großen Oberflächen der Elektroden unterzubringen, ist das System notwendigerweise groß und voluminös. Darüber hinaus treten beim Betrieb des vorher genannten Waschsystems zusätzliche Kosten auf, weil die austauschbaren Kanister ein Wegwerfprodukt sind, das ersetzt und ordentlich entsorgt werden muß. Das Waschsystem ist auch unterhalb eines Anfangsabschnitts der Vakuumabgasleitung angebracht und stellt folglich die Beseitigung der pulverförmigen Materialien oder Teilchenmaterial, die sich in diesem Abschnitt der Leitung aufgebaut haben, nicht sicher.
- Aus dem oben genannten wird klar, daß ein verbessertes Verfahren und eine verbesserte Vorrichtung zur Reinigung der Abgasleitung wünschenswert ist.
- Ein anderer Punkt der Betrachtung bei CVD- und anderen Vorrichtungen zur Bearbeitung von Substraten bezieht sich auf die Typen von Gasen und Nebenprodukten, die von Verarbeitungskammern durch die Abgasleitung ausgestoßen werden. Weil zum Beispiel die Dissoziation von Gas innerhalb des Reinigungsplasmas nicht vollständig ist (bei manchen Anwendungen werden nur 10% der eingeführten Gasmoleküle dissoziiert) und die Verweildauer in der Kammer der einzelnen Moleküle im Reinigungsgas relativ kurz ist, reagiert nur ein kleiner Anteil der in die Kammer eingeführten Moleküle mit dem abgeschiedenen Material. Die Gasmoleküle, die nicht an einer Ätzreaktion teilnehmen, werden aus der Kammer mit dem weggeätzten Material und den Nebenprodukten der Reaktion durch eine Vakuumleitung, die im allgemeinen als "Abgasleitung" bezeichnet wird, abgepumpt. Die ausgestoßenen Gase sind Abgase der Nebenprodukte des Halbleiterprozesses.
- Viele der Fluor enthaltenden Gase, die in der Halbleiterindustrie als reinigende Ätzgase benutzt werden, werden als Perfluorverbindungen oder abgekürzt "PFC's" bezeichnet. Manche der üblicherweise benutzten PFC's enthalten CF&sub4;, C&sub2;F&sub6;, NF&sub3;, und SF&sub6; oder ähnliche Gase. Von diesen Gasen ist bekannt, daß sie eine lange Lebenszeit haben (bis zu 50.000 Jahren von CF&sub4;), und daß auch davon ausgegangen wird, daß sie zur großen globalen Erwärmung beitragen. Folglich ist ihr Ausstoß in die Atmosphäre schädlich und wird zu einem Gegenstand der Regierung und anderer Vorschriften. Entsprechend ist es wichtig, die PFC's- Emissionen von Einrichtung zur Halbleiterverarbeitung sowie CVD-Reaktionskammern zu reduzieren.
- Die DE 43 19 118 A1 bezieht sich auf ein Verfahren zur Beseitigung von Fluorkohlenstoffen und anderen Fluor enthaltenden Verbindungen, in dem die Fluorverbindungen veranlaßt werden, mit Festkörpermaterial, z. B. Siliziumdioxid und Silizium, zu reagieren. Die Fluorkohlenstoffe oder Fluor enthaltenden Verbindungen werden mittels eines Plasmaprozesses, der zur Aktivierung der Reaktion benutzt wird, mit Festkörpermaterial in Reaktion gebracht. Das Verfahren wird in einer Vorrichtung durchgeführt, die aus einem Temperaturreaktor mit einem Einlaß und einem Auslaß besteht, in dem ein Plasma unterhalten wird. Das Plasma wird durch wenigstens zwei Elektroden unterhalten, wobei die Fluor enthaltenden Verbindungen beseitigt werden und die Additive durch das Plasma fließen.
- Die frühere Anmeldung EP 0 767 254 A1 zeigt eine Vorrichtung, die einen Behälter umfaßt, der einen Flüssigkeitskanal mit einem Einlaß und einem Auslaß definiert, einem Teilchenabscheidersystem, das eine erste und eine zweite Elektrode und Mittel enthält, die beim Betrieb mit den Elektroden verbunden sind, um zwischen den Elektroden eine Spannung anzulegen, um elektrisch geladene spezielle Stoffe auf den Oberflächen der ersten und zweiten Elektrode innerhalb des Flüssigkeitskanals zu sammeln, wenn der Stoff durch den Flüssigkeitskanal ausgestoßen wird. Ein Plasmaerzeugungssystem wird für austretende Gase, die in einem Plasmazustand im Flüssigkeitskanal vorhanden sind, zur Verfügung gestellt.
- Die vorliegende Erfindung löst die oben genannten Probleme des Standes der Technik, indem eine Vorrichtung zur Verfügung gestellt wird, die im wesentlichen Teilchenmaterial und andere Materialien daran hindert, sich in einer Abgasleitung von einer Kammer zur Bearbeitung von Substraten aufzubauen und reduziert die PFC-Emissionen von solch einer Kammer. Unterschiedliche Ausführungsbeispiele der vorliegenden Erfindung können entweder zur Reduzierung der Teichen oder zur Reduzierung der PFC-Emissionen speziell entwickelt und optimiert werden. Es ist auch möglich, ein Ausführungsbeispiel zu entwerfen, das für sowohl die Reduzierung von Teichen als auch die Reduzierung von PFC-Emissionen optimiert ist, um dieses für bestimmte Arbeitsgänge zur Substratbearbeitung zu benutzen.
- Die vorliegende Erfindung erreicht diese Ziele, während sie prozeßtransparent ist. Das heißt, in bevorzugten Ausführungsbeispielen braucht der Betrieb der vorliegenden Erfindung keine zusätzliche Verarbeitungszeit, um entweder spezielle Stoffe in der Abgasleitung davor abzuhalten, sich aufzubauen, oder die PFC-Emissionen wie gefordert zu reduzieren. In einigen bevorzugten Ausführungsbeispielen erfordert die vorliegende Erfindung auch den Gebrauch von zusätzlichen Gasen und/oder Verbrauchsmitteln.
- In dem Ausführungsbeispiel der vorliegenden Erfindung reduziert ein Teilchenabscheidesystem und ein Sammelsystem die aufgebauten Teichen innerhalb einer Abgasleitung, die mit einer Substratverarbeitungskammer verbunden ist, und die eingesammelten Teichen und Ablagerungen stellen die PFC oxidierenden Mittel dar. Das Teilchenabscheidesystem und das Sammelsystem fangen das Silizium ein, das Ablagerungen von einem Abscheideprozeß, der solche Ablagerungen produziert, enthält. Ein Plasmaerzeugungssystem bildet ein Plasma aus dem ausströmenden PFC-Gasen. Die Bestandteile des Plasmas reagieren mit den gesammelten Ablagerungen, um die ausströmenden PFC-Gase zu weniger gefährlichen, nicht PFC- gasförmigen Produkten und Nebenprodukten umzusetzen.
- In einer Version von solch einem Ausführungsbeispiel definiert ein Paar von kapazitiv gekoppelten Elektroden einen labyrintriförmigen Gasdurchlaß. Gleichspannung oder Wechselspannung wird an die Elektroden gelegt, um ein Spannungsfeld im Durchlaß zu erzeugen. Das Spannungsfeld zieht negativ geladene Teilchen, die durch den Durchlaß ausgestoßen werden, auf eine Elektrode und positiv geladene Teilchen auf eine andere Elektrode an. Der definierte Durchlaß umfaßt auch wenigstens eine Fläche (Sammelkammer), auf der Gravitationskräfte wirken, um durch den Durchlaß ausgestoßenes Teilchenmaterial einzufangen. Durch den Durchlaß ausgestoßene PFC-Gase werden einer Hochfrequenzenergie unterworfen, die zwischen den Elektroden angelegt ist, und in einen Plasmazustand erregt. Bestandteile des Plasmas reagieren mit den Ablagerungsteilchen des Siliziums, die in der Sammelkammer eingefangen worden sind, um die PFC-Gase in nicht PFC-gasförmige Nebenprodukte zu konvertieren.
- Diese und andere Ausführungsbeispiele der vorliegenden Erfindung als auch ihre Vorteile und Merkmale werden in Verbindung mit dem folgenden Text und den beigefügten Figuren detaillierter beschrieben.
- Fig. 1 stellt ein Ausführungsbeispiel von einer Vorrichtung für einen Abscheidungsvorgang aus der Gasphase dar, an die die Vorrichtung der vorliegenden Erfindung angeschlossen werden kann;
- Fig. 2 stellt ein Verfahren zur Verbindung der vorliegenden Erfindung mit der Vorrichtung für den chemischen Abscheidevorgang aus der Gasphase dar;
- Fig. 3 stellt ein zweites Verfahren zur Verbindung der vorliegenden Erfindung mit der Vorrichtung für den chemischen Abscheidevorgang aus der Gasphase aus Fig. 1 dar;
- Fig. 4(a) zeigt eine perspektivische Ansicht von einem bevorzugten Ausführungsbeispiel der Vorrichtung der vorliegenden Erfindung, die zur Teichenreduktion (Vakuumrohrreinigung) ohne eine Tür optimiert ist;
- Fig. 4(b) ist eine Vorderansicht der Vorrichtung zur Reinigung der Vakuumleitung, die in Fig. 4(a) ohne eine Tür dargestellt ist;
- Fig. 4(c) zeigt eine perspektivische Schnittansicht von vorne der in Fig. 4(a) gezeigten Vorrichtung zur Reinigung der Vakuumleitung in der Ebene des Zentrums der Vorrichtung;
- Fig. 4(d) ist eine perspektivische Schnittansicht von der Seite der in Fig. 4(a) gezeigten Vorrichtung zur Reinigung der Vakuumleitung, von einer Ebene im Zentrum der Vorrichtung;
- Fig. 4(e) ist eine Schnittansicht der Energieversorgung durch Verbindung zu der in Fig. 4(a) gezeigten Vorrichtung zur Reinigung der Vakuumleitung;
- Fig. 4(f) ist eine perspektivische Ansicht der in Fig. 4(a) gezeigten Vorrichtung zur Reinigung der Vakuumleitung, die eine Tür umfaßt;
- Fig. 5 ist ein Schaltdiagramm der Schaltung, die mit den in Fig. 4(a) gezeigten Elektroden verbunden ist und diese umfaßt;
- Fig. 6 zeigt einen Graphen, der die Wirkung von einem elektrostatischen Kollektor in einem Ausführungsbeispiel der Vorrichtung zur Reinigung der Vakuumleitung der vorliegenden Erfindung auf die Teilchen, die durch einen exemplarischen Siliziumnitridabscheidungsschritt erzeugt wurden, zeigt;
- Fig. 7 ist ein Graph, der die Wirkung von elektrostatischen Kräften, Gravitationskräften und thermophoretischen Kräften verglichen mit der neutralen Widerstandskraft auf Teichen in einem Ausführungsbeispiel der Vorrichtung zur Reinigung der Vakuumleitung der vorliegenden Erfindung zeigt;
- Fig. 8 ist ein Mikrograph, der die Menge der aufgebauten Ablagerung auf einem Siliziumstück in der Vakuumabgasleitung nach einem 15 Sekunden dauernden Siliziumnitridabscheidungsprozeß zeigt;
- Fig. 9 ist ein Mikrograph, der die elementare Korngröße von einem Korn der in Fig. 8 gezeigten Ablagerung zeigt;
- Fig. 10 ist ein Mikrograph, der die Größe von Teilchen, die auf einem Stück Silizium in der Vakuumabgasleitung während eines Experiments abgeschieden worden sind, das vorher durchgeführt worden ist zum Test der vorliegenden Erfindung, zeigt;
- Fig. 11 zeigt eine seitliche Schnittansicht von einem zweiten Ausführungsbeispiel der Vorrichtung zur Reinigung der Vakuumleitung der vorliegenden Erfindung;
- Fig. 12 ist eine seitliche Schnittansicht von einem dritten Ausführungsbeispiel der Vorrichtung zur Reinigung der Vakuumleitung der vorliegenden Erfindung;
- Fig. 13(a) ist eine seitliche Schnittansicht von einem vierten Ausführungsbeispiel der Vorrichtung zur Reinigung der Vakuumleitung der vorliegenden Erfindung;
- die Fig. 13(b) und 13(c) sind Zeichnungen, die die Wirkung der elektrostatischen Abscheidung darstellen, die in der Vorrichtung von Fig. 13(a) benutzt wird, auf ein Teilchen, das in die Vorrichtung von Fig. 13(a) ausgestoßen wird;
- Fig. 14(a) ist eine seitliche Schnittansicht von noch einem anderen Ausführungsbeispiel der Vorrichtung zur Reinigung der Vakuumleitung der vorliegenden Erfindung;
- Fig. 14(b) ist eine Darstellung, welche die Oberflächenverhältnisse von einer Elektrode zu einer anderen in dem Ausführungsbeispiel der Vorrichtung zur Reinigung der Vakuumleitung zeigt, die in Fig. 14(a) dargestellt ist;
- Fig. 15 ist eine Schaltungszeichnung des Ausführungsbeispiels der Vorrichtung zur Reinigung der Vakuumleitung, die in Fig. 14(a) gezeigt ist;
- Fig. 16(a) ist eine seitliche Schnittansicht von einem anderen Ausführungsbeispiel der Vorrichtung zur Reinigung der Vakuumleitung der vorliegenden Erfindung;
- Fig. 16(b) ist eine perspektivische Ansicht der in Fig. 16(a) gezeigten Vorrichtung zur Reinigung der Vakuumleitung;
- Fig. 17(a) ist eine seitliche Schnittansicht von einem Ausführungsbeispiel der vorliegenden Erfindung der Vorrichtung zur Reinigung der Vakuumleitung, die Mikrowellenenergie benutzt, um ein Plasma zu bilden;
- Fig. 17(b) ist eine Hochansicht von vorne der Vorrichtung zur Reinigung der Vakuumleitung von Fig. 17(a);
- die Fig. 18(a), 18(b) und 18(c) sind Graphen, welche die Verläufe der Spannung zeigen, die durch die Zuführung der Mikrowellenenergie in die Vorrichtung von Fig. 17(a) erzeugt wurde;
- Fig. 19(a) ist eine seitliche Schnittansicht von einem zweiten Ausführungsbeispiel der Vorrichtung zur Reinigung der Vakuumleitung der vorliegenden Erfindung, die Mikrowellenenergie benutzt, um ein Plasma zu bilden;
- Fig. 19(b) ist eine Hochansicht von vorne der Vorrichtung zur Reinigung der Vakuumleitung von Fig. 19(a);
- Fig. 20 ist eine seitliche Schnittansicht von einem Prototyp von einem Ausführungsbeispiel der Vorrichtung zur Reinigung der Vakuumleitung der vorliegenden Erfindung, die zur Durchführung von Tests benutzt wurde, um die Wirksamkeit der Erfindung zu bewerten;
- Fig. 21 ist eine seitliche Schnittansicht von einem Ausführungsbeispiel der Vorrichtung der vorliegenden Erfindung, die zur PFC-Reduzierung optimiert ist,
- Fig. 22 ist eine seitliche Schnittansicht von einem zweiten Ausführungsbeispiel der Vorrichtung zur PFC-Reduzierung der vorliegenden Erfindung;
- Fig. 23 ist eine seitliche Schnittansicht von einem dritten Ausführungsbeispiel der Vorrichtung zur PFC-Reduzierung der vorliegenden Erfindung;
- Fig. 24 ist eine seitliche Schnittansicht von einem vierten bevorzugten Ausführungsbeispiel der Vorrichtung zur PFC-Reduzierung der vorliegenden Erfindung;
- Fig. 25 ist eine seitliche Schnittansicht von einem fünften Ausführungsbeispiel der Vorrichtung zur PFC-Reduzierung der vorliegenden Erfindung;
- Fig. 26 ist eine seitliche Schnittansicht von einem sechsten Ausführungsbeispiel der Vorrichtung zur PFC-Reduzierung der vorliegenden Erfindung;
- Fig. 27 ist eine seitliche Schnittansicht von einem siebten Ausführungsbeispiel der Vorrichtung zur PFC-Reduzierung der vorliegenden Erfindung;
- Fig. 28(a) ist eine seitliche perspektivische Schnittdarstellung von einem Gasdurchlaßmodul, das in einem anderen Ausführungsbeispiel der Vorrichtung zur PFC-Reduzierung der vorliegenden Erfindung benutzt wird;
- Fig. 28(b) ist eine seitliche perspektivische Schnittdarstellung von einem Ausführungsbeispiel der Vorrichtung zur PFC-Reduzierung der vorliegenden Erfindung, die die Ausgestaltung des Gasdurchlaßmoduls von Fig. 28(a) benutzt;
- Fig. 29(a) ist ein Graph, der die Daten des Massenspektrums zeigt, die nach einer Plasmabildung von CF&sub4;- und N&sub2;O-Reinigungsgasen erhalten wurde; und
- Fig. 29(b) ist ein Graph, der die Entwicklungsspitzen von spezifischen Gasen zeigt, die während eines Experiments gemessen wurden, das entwickelt worden ist, um ein Ausführungsbeispiel der vorliegenden Erfindung zu testen.
- Die Vorrichtung der vorliegenden Erfindung kann in Verbindung mit einer Vielzahl von unterschiedlichen Halbleiterverarbeitungseinrichtungen benutzt werden. Eine geeignete Einrichtung, eine Maschine für den chemischen Abscheidungsvorgang aus der Gasphase, ist in Fig. 1 gezeigt, die eine Schnittansicht von einem vereinfachten Parallelplattensystem für den chemischen Abscheidungsvorgang aus der Gasphase 10 ist. Das System 10 umfaßt eine Gasverteilerleitung 11 zur Verteilung von Abscheidegasen auf einem Wafer, der nicht gezeigt ist und auf einem Halter 12 in einer Vakuumkammer 15 liegt. Der Halter 12 ist hochgradig thermisch reaktiv. Der Halter 12 (und der Wafer, der auf der oberen Fläche des Halters 12 liegt), kann geregelt zwischen einer unteren Lade-/Abladeposition und einer oberen Verarbeitungsposition 14, die neben der Leitung 11 liegt, bewegt werden.
- Wenn sich der Halter 12 und der Wafer in der Verarbeitungsposition 14 befinden, dann sind sie umgeben von einem Ablenkblech 17, das eine Vielzahl von verteilten Löchern 23 hat, die in eine kreisförmige Vakuumleitung 24 ausstoßen. Während der Verarbeitung ist der Gaseinlaß zur Leitung 11 gleichmäßig kreisförmig über die Oberfläche des Wafers verteilt, wie dies durch die Pfeile 21 angezeigt wird. Das Gas wird dann über die Öffnungen 23 in die kreisförmige Vakuumleitung 24 und durch eine Vakuumabgasleitung 31 über ein Vakuumpumpensystem 32 ausgestoßen. Bevor die Leitung 11 erreicht wird, werden die Abscheide- und Trägergase durch die Gasleitungen 18 in eine Mischkammer 19 zugeführt, wo sie kombiniert und dann zu einer Leitung 11 geschickt werden.
- Ein geregeltes Plasma wird in der Nähe des Wafers durch Hochfrequenzenergie erzeugt, die an die Leitung 11 von der Hochfrequenzenergieversorgung 25 angelegt wird. Die Gasverteilerleitung 11 ist eine Hochfrequenzelektrode, während der Halter 12 geerdet ist. Die Hochfrequenzenergieversorgung 25 kann entweder eine einzelne oder gemischte Frequenzen der Hochfrequenzenergie (oder andere erwünschte Variationen) der Leitung 11 zuführen, um den Abbau der reaktiven Verbindungen, die in die Kammer 15 eingeführt worden sind, zu verbessern.
- Ein externes, kreisförmiges Lampenmodul 26 liefert ein paralleles ringförmiges Lichtmuster 27 durch ein Quarzfenster 28 auf einen ringförmigen äußeren peripheren Abschnitt des Halters 12. Solch eine Wärmeverteilung kompensiert das natürliche Verhalten des Wärmeverlustes des Halters und stellt eine schnelle thermische und gleichmäßige Halter- und Wafererwärmung zur Ausführung der Abscheidung dar.
- Ein nicht dargestellter Motor fährt den Halter 12 zwischen einer Verarbeitungsposition und einer niedrigeren Waferladeposition hin und her. Der Motor, die (nicht dargestellten) Gasversorgungsventile, die mit den Gasleitungen 18 verbunden sind und die Hochfrequenzenergieversorgung 25 werden über einen Prozessor 34 über Kontrolleitungen 36, von denen nur einige dargestellt sind, gesteuert. Der Prozessor 34 wird durch ein Computerprogramm gesteuert, das in einem computerlesbaren Medium, so wie einem Speicher 38, gespeichert ist. Das Computerprogramm gibt den zeitlichen Ablauf, die Mischung der Gase, den Kammerdruck, die Kammertemperatur, die Hochfrequenzenergiepegel, die Halterposition und andere Parameter von einer bestimmten Verarbeitung vor.
- Typischerweise wird irgendein Teil oder alle Teile der Kammerauskleidung, die Gaseinlaßrohre, Stirnplatten, Tragefänger 13 und verschiedene andere Reaktorbauteile aus einem Material sowie eloxiertem Aluminium hergestellt. Ein Beispiel von solch einem PECVD- Vorrichtung wird im US-Patent 5,000,113 beschrieben, das den Titel "Thermischer CVD/PECVS Reaktor und Verwendung für die thermische chemische Vakuumabscheidung von Siliziumdioxid und in-situ vielstufiger Planarusierungsprozess"hat und ebenfalls übertragen ist.
- Die oben genannte Reaktorbeschreibung dient hauptsächlich darstellenden Zwecken, und die vorliegende Erfindung kann mit anderen CVD-Einrichtungen wie Elektroncyclotronresonanz-(ECR-)-Plasma-CVD-Einrichtungen, induktionsgekoppelten Hochfrequenz-Hochdichtigkeitsplasma-CVD-Einrichtungen oder dergleichen benutzt werden. Die vorliegende Erfindung kann auch mit thermischen CVD-Einrichtungen, Plasmaätzeinrichtungen, physikalischen Einrichtungen für den Abscheidevorgang aus der Gasphase und anderen Einrichtungen zur Verarbeitung von Substraten benutzt werden. Die Vorrichtung der vorliegenden Erfindung und das Verfahren zur Vermeidung von Abscheidungen, die sich in der Vakuumleitung aufbauen, wird nicht durch eine besondere Vorrichtung zur Bearbeitung von Halbleitern oder durch einen spezifischen Abscheide- oder Ätzprozeß oder Verfahren begrenzt.
- Während Halbleiterverarbeitungsschritten, so wie chemischen Abscheidevorgängen aus der Gasphase, die von CVD-Reaktoren 10 ausgeführt werden, werden eine Vielzahl von gasförmigen Abfallprodukten und Verunreinigungen von der Vakuumkammer 15 in die Vakuumleitung 31 ausgestoßen. Abhängig von dem durchgeführten Arbeitsschritt, können diese ausgestoßenen Produkte entweder Teichenmaterial wie teilweise reagierte Produkte und Nebenprodukte, die eine Ablagerung oder ähnliche pulverförmige Materialien in der Abgasleitung hinterlassen, wenn diese durch die Abgasleitung ausgestoßen werden, und/oder PFC- Gase enthalten. Die vorliegende Erfindung verhindert die Ablagerung von solchen speziellen Stoffen in der Abgasleitung und reduziert die von der Vakuumkammer 15 emittierten PFC- Gase. Verschiedene Ausführungsbeispiele der vorliegenden Erfindung können speziell entworfen und optimiert werden, um entweder eine solche Ablagerung von Teichen zu verhindern oder um die PFC-Gasemissionen zu reduzieren. Die Ausführungsbeispiele der vorliegenden Erfindung können optimiert werden, um sowohl die Ablagerung der Teichen als auch die PFC-Emissionen zu reduzieren.
- Wie in Fig. 2 gezeigt ist, welche eine Schnittansicht von einer vereinfachten CVD- Vorrichtung von Fig. 1 ist, an die die Vorrichtung der vorliegenden Erfindung montiert ist, ist die Vorrichtung der vorliegenden Erfindung unterhalb der Abgasquelle der Verarbeitungskammer angeordnet. Die Vorrichtung kann entweder einen Teil der Vakuumabgasleitung verbinden oder einen Teil der Vakuumabgasleitung ersetzen. In Fig. 2 ist eine stromabwärts gelegene Vorrichtung zur Plasmareinigung 40 (im folgenden als "die DPA 40" oder "die DPA" benannt) zwischen ein Vakuumpumpensystem 32 und einer Vakuumleitung 24 entlang einem Teil der Vakuumleitung 31 eingebaut. Wegen ihrer Lage fließen die ausgestoßenen Gase von der Vakuumkammer 15 notwendigerweise durch die DPA 40. Die DPA 40 kann irgendwo entlang der Vakuumleitung 31 positioniert werden, aber vorzugsweise wird die DPA 40 so nah wie möglich an der Auspuffleitung 24 positioniert, so daß die von der Kammer 15 ausgestoßenen Gase durch die DPA 40 fließen, bevor sie durch irgendeinen Teil der Vakuumleitung 31 fließen.
- Wie in Fig. 3 gezeigt ist es auch möglich, zwei oder mehrere DPA's mit der Vakuumleitung 31 zu verbinden. Solch eine Konfiguration kann zum Beispiel benutzt werden, um zwei DPA's zu benutzen, die für ein Sammeln von Teichen optimiert sind, um die Vakuumpumpe 32 vor eine weitere Ablagerung von Teichen und Ablagerungen zu schützen. In der in Fig. 3 gezeigten Konfiguration ist eine zweite DPA 42 unterhalb der DPA 40 kurz vor der Pumpe 32 positioniert. Wenn irgendein besonderer Stoff der DPA 40 entkommt, kann der Stoff in der DPA 42 eingefangen und in eine gasförmige Form konvertiert werden. Die DPA's 40 und 42 können beide durch eine einzige Hochfrequenzenergieversorgung 44 betrieben werden, bei der die Energie durch einen Splitter 46 aufgesplittet wird. Optional können die DPA's 40 und 42 jede durch eine getrennte Hochfrequenzenergieversorgung betrieben werden oder beide können von der Haupthochfrequenzenergieversorgung betrieben werden, die mit der Verarbeitungskammer 10 verbunden ist.
- Solch eine Konfiguration aus zwei DPA's kann auch benutzt werden, um zwei DPA's zu verwenden, die optimiert sind bezüglich der Reduzierung von PFC, um weiter die emittierten PFC-Gase zu begrenzen. Oder alternativ kann eine Konfiguration aus zwei DPA's die eine DPA umfassen, die bezüglich der Teilchenreduzierung optimiert ist, und eine andere DPA umfassen, die bezüglich der PFC-Reduzierung optimiert ist. Wenn die DPA's eingesetzt werden, die getrennt optimiert sind bezüglich der PFC-Reduzierung der Teichenaufsammlung, ist es vorzuziehen, die DPA, die zum Teichensammeln oder -abscheiden optimiert ist, in die stromaufwärts gelegene Abgasleitung der PFC-Reduzierungs-DPA zu positionieren. Solch eine Konfiguration hilft besser, die Ablagerung von Teichen in der gesamten Abgasleitung zu verhindern als nur ein späterer Abschnitt und kann auch den potentiell unerwünschten Teichenhaufen in der PFC-Reduzierungs-DPA reduzieren.
- Details von verschiedenen Konfigurationen und Ausführungsbeispielen der DPA 40, so wie diese konfiguriert und optimiert ist, um die Ablagerung von Teichen in der Abgasleitung zu reduzieren und/oder die PFC-Emissionen zu reduzieren, werden nachfolgend diskutiert. Auf keinen Fall soll es so aufgefaßt werden, daß die vorliegende Erfindung durch diese spezifischen Konfigurationen oder Ausführungsbeispiele beschränkt ist.
- Einige der Ausführungsbeispiele der vorliegenden Erfindung sind zur Reduzierung der aufgebauten Teichen- und Ablagerungsansammlungen in der Abgasleitung, so wie diese Teichen aus der Kammer ausgestoßen werden, konfiguriert und optimiert. Als ein Beispiel für solches Teichenmaterial während einem Abscheideprozess von einem Siliciumnitridfilm, unter Verwendung von Silan (SiH&sub4;) und Stickstoff (N&sub2;) und Ammoniak (NH&sub3;) als Vorgase, sind Ablagerungen in der Form von einem braunen Pulver, das zusammengesetzt ist aus SixNyHz, SixHy und Siliciumelementen, in der Abgasleitung festgestellt worden ist. Es wird angenommen, dass diese Ablagerungen von halbreagierten Nebenprodukten aus der Reaktion von SiH&sub4; + N&sub2; + NH&sub3; aufgebaut werden. Soweit dies dem Erfinder bekannt ist, sind silanbasierte Siliciumnitrid CVD Abscheidungsprozesse die Substratverarbeitungsprozesse, welche die meisten Teichen erzeugen. Andere Substratverarbeitungsprozesse können jedoch auch eine Ablagerung von Teichen und sonstige Ablagerungen erzeugen. Zum Beispiel werden ähnliche Ablagerungen auch während der Abscheidung von Siliciumnitridschichten erzeugt, unter Verwendung von anderen Vorgasen oder Flüssigkeiten, sowie Disilan (Si&sub2;H&sub6;) oder organischen Quellen. Aufgebaute Ablagerungen können auch während der Abscheidung von Oxinitridfilmen, Siliciumoxid, Siliciumcarbit und Amorphensiliciumfilmen zwischen anderen Lagen auftreten und können auch während einem Plasmaätzen und anderen Prozessschritten auftreten.
- Die Ausführungsbeispiel der Erfindung zur Reduzierung der Teichen verhindern die Ablagerung von solchen Ablagerungen und Teilchenmaterial, indem das Teilchenmaterial in einer Sammelkammer eingesammelt werden und reaktive Gase, die durch die Vakuumabgasleitung ausgestoßen werden, und die Ablagerungen und das Teichenmaterial in der Sammelkammer in einen Plasmazustand angeregt werden. Das Plasma reagiert mit den Ablagerungen und dem Teichenmaterial, die in der Sammelkammer eingefangen sind, um gasförmige Produkte und Nebenprodukte zu bilden, die durch die DPA und die Vakuumleitung gepumpt werden können, ohne neue Abscheidungen zu bilden oder in der Leitung zu kondensieren.
- Beim Betrieb, wenn Abscheidegase von der Vakuumkammer 15 durch die Vakuumleitung 31 ausgestoßen werden, werden Teichenmaterial und Ablagerungen von den Gasen auf der Innenfläche von einem Gasdurchlaß innerhalb der DPA abgeschieden. Die Beseitigung das Teichenmaterials und der Ablagerungen kann durch die Aktivierung der DPA 40 erreicht werden, um ein Plasma innerhalb der DPA zu bilden. Die DPA wird aktiviert, um solch ein Plasma während einem Reinigungszyklus zu bilden, wenn Ätzgase von der Kammer 15 ausgestoßen werden.
- Wenn die DPA 40 aktiviert wird, dann erzeugt sie ein Spannungsfeld, das ein Plasma von den ausgestoßenen (ätzenden) Gasen bildet, welche durch die DPA in einen Plasmazustand fließen. Das Plasma verbessert den Abbau des Teichenmaterials und der Ablagerungsstoffe innerhalb der DPA 40 in gasförmige Produkte und Nebenprodukte, welche durch die Abgasleitung gepumpt werden können und folglich die Abscheidung von Teichen oder die Ablagerung von Ablagerungen innerhalb der Abgasleitung verhindert. Zum Beispiel wenn die in der DPA 40 aufgebaute Ablagerung von der Form von braunem Pulver ist, das SixNyHz, SixHy und elementares Silicium umfaßt, wie dies oben im Bezug auf die Abscheidung von Siliciumnitrid und dem ätzenden Gas, das während dem Reinigungszyklus eine Mischung aus CF&sub4; und N&sub2;O ist, beschrieben ist, wird angenommen, dass das Plasma, das durch die DPA 40 gebildet wird, die Ablagerungen in gasförmige Komponenten wie SiFx, COF&sub2;, F&sub2;, SiOF&sub2;, CO und CO&sub2;, NO, O und O&sub2; aufbricht.
- In manchen Anwendungen enthält die DPA 40 tatsächlich das Plasma, das in der Substratverarbeitungskammer gebildet worden ist, anstatt ein Plasma aus den in die DPA ausgestoßenen ätzenden Gasen zu erzeugen. Das heißt, dass in diesen Anwendungen ein Teil oder das ganze Plasma, das in der Kammer gebildet worden ist, noch unterhalb der Kammer aktiv sein kann. Dies kann zum Beispiel während einer Reinigungsoperation einer Kammer auftreten, wenn ein Plasma von hoch reaktiven fluorierten Verbindungen gebildet wird. Die Bestandteile des Plasmas können von der Kammer in die Abgasleitung und die DPA ausgestoßen werden, während diese noch in einem erregten oder in einem Plasmazustand sind. Folglich kann das Spannungsfeld in der DPA 40 bei diesen Ausführungsbeispielen tatsächlich ein Plasma aufrecht erhalten, anstatt ein Neues zu bilden. Weder die Ausgestaltung noch der Betrieb der DPA muss abhängig davon ob, ein Plasma unterhalten wird oder innerhalb der DPAs erzeugt wird, modifiziert werden.
- Während die DPA 40 aktiviert wird, um ein Plasma in den meisten Ausführungsbeispielen nur während den Reinigungszyklen zu bilden und/oder zu unterhalten ist es in anderen Ausführungsbeispielen möglich, ein Plasma während sowohl Ablagerungs- als auch Reinigungszyklen aufrecht zu erhalten, um die CVD Gase in anderen Ausführungsbeispielen weiter reagieren zu lassen. Bei solch einer Konfiguration, kann ein zusätzliches ätzendes Gas stromaufwärts von der DPA oder direkt in der DPA während dem Ablagerungsprozess eingeführt werden, wie dies detailliert unten beschrieben wird.
- Zusätzlich zur Aufnahme der Ablagerungen durch normale Abscheidung in der DPA 40 sind verschiedenartige bevorzugte Ausführungsbeispiele der DPA 40 speziell entworfen worden, um von der Kammer 1 S ausgestoßenes Teilchenmaterial innerhalb der DPA einzufangen, so dass die Stoffe nicht unterhalb der DPA abgeschieden werden können. Das Einfangen geschieht durch den Gebrauch von mechanischen, elektrostatischen und/oder termophoretischen Sammelmechanismen, so wie dies weiter unten im Detail beschrieben wird. Einmal eingefangen, bleibt das Teilchenmaterial in der DPA 40, bis er während des Reinigungsprozesses mit den aktiven Verbindungen im Plasma reagiert, um gasförmige Nebenprodukte zu bilden, die dann durch die Vakuumleitung 31 gepumpt werden.
- In diesen Ausführungsbeispielen ist es möglich, die Ablagerung der Teilchen wirksam zu reduzieren ohne ein Spannungsfeld anzuwenden, das ein Plasma innerhalb der DPA bildet oder unterhält. Dies ist zum Beispiel möglich wenn die Ionisation des Ätzmittels (zum Beispiel Fluor) während der Kammerreinigung ausreichend hoch ist, so dass die im sauberen Plasma erzeugten freien Radikale eine Lebenszeit haben, die lang genug ist, um noch im erregten Zustand zu sein, wenn sie in die DPA ausgestoßen werden. In solch einem erregten Zustand können die freien Radikale mit dem eingefangenen Teilchenmaterial reagieren und konvertieren die Stoffe wie oben beschrieben in gasförmige Produkte.
- Ein Plasma kann innerhalb der DPA 40 durch den Gebrauch von einer Vielzahl von bekannten Technologien sowie die Anwendung von HF oder RF Energie auf kapazitiv gekoppelte Elektroden oder induktiv gekoppelte Spulen oder durch Mikrowellen oder ECR Technologien erzeugt werden. Spezielle Ausführungsbeispiele von einigen von diesen Verfahren werden weiter unten im Detail beschrieben. In jedem unten beschriebenen Ausführungsbeispiel ist es aus Gründen der Kosteneffizienz vorzuziehen, dass die beschriebene DPA so entworfen wird, dass er Prozess transparent ist. Das heißt, die DPA 40 wird vorzugsweise so entworfen, um die Ablagerung von Teilchen innerhalb der Abgasleitung zu verhindern ohne den Einsatz von zusätzlichem Reinigungsgas oder zusätzlicher Reinigungszeit zu erfordern. Es ist auch vorzuziehen, dass die DPA keine negative Auswirkung auf die Eigenschaften des Filmes hat, so wie Einheitlichkeit, Teilchenverunreinigung, Belastung und andere Faktoren.
- Die Fig. 4(a)-4(f) sind verschiedene perspektivische und Schnittansichten des bevorzugten Ausführungsbeispiels der DPA 40, die für die Verminderung der Ablagerungen und Teilchenansammlungen konfiguriert und optimiert ist. Fig. 4(a) ist eine perspektivische Vorderansicht der DPA 40, bei der die Tür entfernt ist; Fig. 4(b) ist eine Vorderansicht der DPA (bei dem die Tür entfernt ist); Fig. 4(c) ist eine perspektivische Schnittzeichnung von vorne entlang einer Ebene im Zentrum der DPA; Fig. 4(d) ist eine seitliche perspektivische Schnittzeichnung entlang einer Ebene im Zentrum der DPA; Fig. 4(e) ist eine Schnittansicht von einer Energiezuführung durch die Verbindung zu der DPA 40; und Fig. 4(f) ist eine perspektivische Ansicht der DPA 40 mit befestigten Griffen und einer Tür.
- Wie in den Fig. 4(a) bis 4(f) gezeigt ist, umfaßt die DPA 40 eine Einlaßmündung 50 und eine Auslassmündung 52 (siehe Fig. 4(c)). Zwischen der Einlaßmündung 50 und der Auslassmündung 52 befindet sich eine Strömungsmittelleitung 54 (Gasdruchlaß), die durch ein paar von gegenüberliegenden Aluminiumelektroden definiert ist: Kathode 56 und Anode 58 (siehe Fig. 4(a)). die DPA 40 ist durch die Kopplungsmechanismen 64 und 66 ( Fig. 4(a)) mit der Abgasleitung oder direkt mit der Verarbeitungskammer verbunden) verbunden. Zum Beispiel ist in einem Ausführungsbeispiel die DPA 40 direkt mit den Kammerausstoßanschlüssen durch den Kopplungsmechanismus verbunden, und der Beginn der Abgasleitung ist mit der DPA über den Koppelmechanismus 66 verbunden. Gase und Teilchenmaterial, die von der Substratverarbeitungskammer in die Abgasleitung ausgestoßen werden gelangen über den Einlaß 50 in die DPA 40 und verlassen diese über den Ausgang 52.
- Eine abnehmbare Aluminiumtür 63 (Fig. 4(d)) umschließt einen Gasdurchlass 54 mit einer Rückplatte 65 (Fig. 4(d)). Die Aluminiumtür 63 und die Rückplatte 65 sind elektrisch mit der Elektrode (Anode) 58 gekoppelt. Die Elektroden 56 und 58, die Tür 63 und die Rückplatte 66 bilden eine geschlossene Vakuumkammer (Strömungsmittelkanal 54), die in die DPA 40 ausgestoßenen Gase am Entweichen hindert. Die Tür 63 und die Rückplatte 64 umfassen jeweils eine keramische Isolationsplatte 71 (Fig. 4(d)), welche die Elektroden 56 und 58 kontaktiert, um eine Dichtung zu bilden, die durch die DPA ausgestoßenen Gase daran hindert sich außerhalb eines durch die Pfeile 60 angezeigten Gasdurchflußpfades (Fig. 4(b)) zu bewegen. In einem bevorzugten Ausführungsbeispiel ist ein Teflonpuffer 73 (Fig. 4(d)) in der Tür 63 zwischen der Aluminiumtür und der keramischen Isolierlage 71 enthalten. Der Teflonpuffer 73 hat eine höhere thermische Ausdehnung als die keramische Isolierlage 71 und ist relativ weich, was ihr ermöglicht, sich auszudehnen ohne zu brechen oder zu reißen. Wenn die DPA 40 zur Bildung eines Plasmas aktiviert wird, dann wird Wärme erzeugt, welche die Teflonlage 73 dazu führt, dass sie sich ausdehnt und die keramische Isolationslage 71 gegen die Elektroden 56 und 58 drückt. Dies hilft, eine adäquate Dichtung an der Tür 63 sicher zu stellen, so dass die Gase aus der DPA nicht entweichen.
- Die Tür 63 ist durch Schrauben 59 (Fig. 4(f)) an der DPA 40 befestigt und kann durch Entfernen der Schrauben mit den Griffen 67 (Fig. 4(f)) entfernt werden. Wenn die Tür 63 einmal entfernt ist, kann der Innenraum der DPA 40 gereinigt oder per Hand mit einer feuchten Lösung, wie Alkohol, herausgewischt und/oder ausgesaugt werden, um die aufgebauten Teichen oder Ablagerungen zu entfernen, die nach intensiver Benutzung oder aus anderen Gründen auftreten können. In einem bevorzugten Ausführungsbeispiel, sind die Handgriffe 67 aus einem schlecht wärmeleitenden Material, so wie Plastik, hergestellt.
- Die Elektroden 56 und 58 sind voneinander durch vier isolierende Stifte (in einem bevorzugten Ausführungsbeispiel aus Keramik hergestellt) 61 (Fig. 4(a)) elektrisch getrennt, die auch als Kathodenbefestigungsbauteile bezeichnet werden. Wie in den Figuren gezeigt ist, haben die Elektroden 56 und 58 eingefräste Nuten, um einen Teil der Kathodenbefestigungsmittel aufzunehmen. Zwei Kathodenbefestiger 61 sind in den Zeichnungen auf der Vorderseite der DPA gezeigt, und die zwei anderen befinden sich an einer ähnlichen Stelle auf der Rückseite der DPA. In einem Ausführungsbeispiel sind die Kathodenbefestiger 61 jeweils ungefähr 1 cm dick. Folglich erstrecken sich die Kathodenbefestiger 61 nicht über die gesamte Breite des Gasdurchlasses 54 und blockieren nicht den Gasfluß durch den Durchlaß.
- In der DPA folgt der Gasfluß dem Strömungsmittelkanal 54, wie dies durch die Pfeile 60 (Fig. 4(b)) angezeigt wird. Der Strömungsmittelkanal 54 umfaßt zwei spiegelbildliche Gasdurchflußpfade. Ein vorstehender Abschnitt der Kathode 56 (Flußteiler 57, siehe Fig. 4(b)) leitet das ausströmende Gas in einen von zwei Flußpfaden. Ungefähr die Hälfte des Gasflusses wird auf den Durchlaß auf der linken Seite der DPA 40 umgeleitet, während die andere Hälfte auf die rechte Seite der Einrichtung umgeleitet wird.
- Der Flüssigkeitskanal 54 ist ein labyrintriförmiger/serpentinenförmiger Durchgang, der Teilchenabscheideflächen 62 (Fig. 4(a)) umfaßt, die auf Gravitationskräften beruhen, um Teilchen zu sammeln und einzufangen, die im ausströmenden Gasstrom vorhanden sind, z. B. Teilchen, die während einer Substratabscheidung oder anderen Typen von Prozeßschritten erzeugt wurden. Jede Teilchenabscheidefläche 62 ist ein U-förmiges Segment des Gasdurchlasses, das so angebracht ist, daß die Teilchen gesammelt und gehalten werden innerhalb der Bodenfläche des U-förmigen Abschnitts durch Gravitationskräfte ungeachtet einem ausströmenden Gasdurchflußpfad, der versucht, die Teilchen aus der DPA zu ziehen. Der Gasfluß ist durch jeden "U"-Abschnitt durch einen Ausstoßfinger 79 von entweder der Kathode 56 oder der Anode 58, wie in Fig. 4 (c) gerichtet. Diese Teichensammelflächen 62 werden gesammelt bezeichnet als eine Gravitations- oder mechanische Fangstelle und werden weiter unter im Detail diskutiert.
- Die Elektroden 56 und 58 bilden sowohl ein Parallelplattenplasmaerzeugungssystem als auch einen elektrostatischen Teichensammler. Als ein Teil der elektrostatischen Teicheneinfangstelle wird eine Gleichspannung an die Elektrode 56 angelegt, während die Elektrode 58 mit Masse verbunden ist, um elektrisch geladene spezielle Stoffe anzuziehen, die ausgestoßen werden. Die angelegte Gleichspannung erzeugt ein Spannungsfeld, das positiv geladene Teilchen, die durch die DPA ausgestoßen werden, auf eine Elektrode und negativ geladene Teilchen auf eine andere Elektrode anzieht. Wenn die Elektrode 58 geerdet ist, wirkt sie auch als Faradayischer Käfig zur Hochfrequenzabschirmung. Als ein Teil des Plasmaerzeugungssystems wird Hochfrequenzenergie an die Elektrode 56 angelegt. Die angelegte Hochfrequenzenergie bildet ein Plasma aus ausströmenden Gasen, die durch die DPA strömen, und ätzt Teichen und Ablagerungen, die sich entweder in den Gravitationsfangflächen 62 oder entlang der Oberflächen der Elektroden 56 und 58 angesammelt haben, weg.
- Fig. 5 ist ein Diagramm, das die elektronische Schaltung zeigt, welche die Elektroden 56 und 58 umfaßt. Wie in Fig. 5 gezeigt, ist die Elektrode 56 sowohl mit einem Gleichspannungsgenerator 100 als auch einem Hochfrequenzgenerator 102 verbunden, während die Elektrode 58 mit Masse verbunden ist. Der Gleichspannungsgenerator 100 liefert die Gleichspannung, die für die elektrostatischen Einfangstellen benötigt wird, und der Hochfrequenzgenerator liefert die Hochfrequenzenergie, um ein Plasma zu bilden. Eine Hochfrequenzanpaßschaltung 104 paßt die Generatorausgangsimpedanz an 50 Q an, um die reflektierte Leistung zu minimieren, und ein Gleichspannungshochfrequenzfilter (ein Tiefpaß RC-Filter) 106 isoliert die Gleichspannungsenergieversorgung 100 vor Hochfrequenzsignalinterferenzen. Der Hochfrequenzgenerator 102 kann dieselbe Energieversorgung sein wie die Hochfrequenzenergieversorgung 25, die in Fig. 2 gezeigt ist, oder kann eine eigene Hochfrequenzenergieversorgung sein, die nur die DPA 40 versorgt. Zusätzlich ist es möglich, wenn angenommen wird, daß mehrere Verarbeitungskammern in einem Reinraum vorhanden sind, daß die Vielzahl der DPAs, die mit den Kammern verbunden sind, alle durch eine getrennte, eigene DPA- Hochfrequenzenergieversorgung versorgt werden können, welche mit einer geeigneten Zahl von Hochfrequenzleistungssplittern verbunden ist.
- Um eine vollständige Reaktion des Materials sicherzustellen, das durch eine DPA 40 durchläuft und/oder innerhalb der DPA 40 abgeschieden wird, muß die DPA von einer Hochfrequenzenergieversorgung angetrieben werden (z. B. ein Hochfrequenzgenerator 102) bei einem Pegel, der ausreicht, um ein Plasma zu bilden und/oder zu unterhalten. Allgemein kann eine Leistung zwischen 50 und 2000 Watt oder mehr benutzt werden, abhängig von der Oberflächenfläche der Kathode und der gewünschten Plasmaintensität. In einem Ausführungsbeispiel, bei dem die Oberflächenfläche der Kathode 58 ungefähr 120 inch² umfaßt, wird vorzugsweise eine Leistung zwischen 750 bis 1000 Watt (zwischen 6,31 und 8,42 W/inch²) benutzt. Der wirklich ausgewählte Leistungswert sollte durch Abwägung des Wunsches, eine hohe Leistung zu benutzen, um ein intensives Plasma zu bilden mit dem Wunsch, einen niedrigen Energiepegel zu benutzen, um Energiekosten zu sparen und die Nutzung von kleineren, weniger teuren Energieversorgungen zu benutzen, bestimmt werden.
- Die Energieversorgung, welche die DPA 40 antreibt, wird in einem Frequenzbereich von ungefähr 50 kHz bis ungefähr 200 MHz oder mehr betrieben, und wird vorzugsweise im Bereich von ungefähr 50 kHz bis 60 MHz betrieben. Im allgemeinen sind Energieversorgungen mit niedriger Frequenz billiger im Erwerb und Betrieb als Versorgungen mit höherer Frequenz. Entsprechend ist in den meisten bevorzugten Ausführungsbeispielen die Energieversorgung, die die DPA 40 antreibt, so entworfen, daß sie eine Hochfrequenz von 325 kHz oder weniger bereitstellt. Die Hochfrequenzenergieversorgung kann entweder von einer Hochfrequenzquelle mit einer Frequenz oder einer Hochfrequenzquelle mit einer gemischten Frequenz zur Verfügung gestellt werden. Die optimale Leistungsabgabe und Betriebsfrequenz der Versorgung hängt von der Anwendung ab, für die die DPA benutzt wird und vom Volumen des in der DPA 40 zu behandelnden Gases unter Berücksichtigung der Kosten.
- Elektrische Verbindungen zum der DPA 40 werden durch eine Energieversorgung durch das Stück (PFD) 68 (Fig. 4(a)) hergestellt. PFD 68 ist im Detail in Fig. 4 (e) dargestellt, das eine vergrößerte seitliche Hochansicht des PFD 68 ist. PFD 68 verbindet den Gleichspans nungsgenerator 100 und den Hochspannungsgenerator 102 über einen Verbinder 70 mit der Kathode 56. In einem bevorzugten Ausführungsbeispiel ist der Verbinder 70 eine Schraube mit Gewinde, die direkt in die Kathode 56 geschraubt ist.
- Um die Korrosion an der Hochfrequenzverbindung zu reduzieren und um eine adäquate elektrische Verbindung zwischen der Schraube 70 und der Kathode 56 zu unterhalten, sollte die Verbindung bei atmosphärischem Druck ausgeführt werden. Diese Fläche des atmosphärischen Drucks ist als Fläche 76 dargestellt und schließt die Fläche der Schraube 70, die im Kontakt mit der Kathode 56 steht, ein. Ein O-Ring 78 bildet eine Dichtung zwischen der Kathode 56 und der Fläche 76. Um den O-Ring 78 vor dem Schmelzen durch die intensive Wärme, die während eines Betriebs der DPA erzeugt werden kann, zu schützen, ist eine speziell dafür entworfene Fläche vorhanden, um die Wärmeleitung vom Hauptteil der Kathode 56 (als Fläche 56A gezeigt) zu der Fläche der Kathode 56 (als Fläche 56B gezeigt), in der ein O- Ring 78 eingesetzt ist, zu reduzieren. Diese speziell dafür entworfene Fläche umfaßt Vakuumflächen 80 und einen dünnen Abschnitt 82 der Kathode 56. Die zu der Fläche 56A der Kathode 56 erzeugte und/oder transferierte Wärme, wird nicht leicht auf die Fläche 56B übertragen, weil die Vakuumflächen 80 die Kathodenfläche 56B von der Kathodenfläche 56A im wesentlichen isolieren. Der kleine Abschnitt der Kathode 56 (Abschnitt 80), der die Hochfrequenz und Gleichspannungssignale von der Fläche 56B zu der Fläche 56A überträgt, ist ausreichend dünn, um die von der Fläche 56A auf die Fläche 56B übertragene Wärme deutlich zu reduzieren.
- Die Leistungsversorgung durch die Verbindung ist in einem Aluminiumgehäuse 72 untergebracht und ist vom Gehäuse 72 und der Tür 63 durch eine Teflonplatte 73 und Teflonringe 74, 75 und 81 isoliert. Das Gehäuse 72 ist elektrisch mit der Anode 58 und der Türe 63 verbunden. Ein Aufbau, bestehend aus einer flachen Scheibe 84, einem Befestigungsring 85 und einer Mutter 86, ermöglicht die Befestigung des Teflonringes 75 und der Teflonauskleidung 73 an der Fläche 56B von Kathode 56. Diese Spannkraft drückt den O-Ring 68 zusammen, um eine adäquate Dichtung aufrecht zu erhalten. Ein zweiter O-Ring 77 bildet eine Dichtung zwischen der Teflonauskleidung 73 und der Türe 63, um es den Gasen nicht zu ermöglichen, durch die Leistungszuführung durch Verbindung 68 zu entweichen.
- Beim Standardbetrieb wird während der Substratverarbeitungsschritte eine Gleichspannungsenergie an die Elektrode 56 gegeben, so wie dies der Fall ist bei einem CVD- Schritt, um die Fähigkeiten zur Abscheidung der Teichen von der DPA 40 zu verbessern. Die an die Elektrode 56 angelegte Spannung variiert abhängig von der Anwendung. Typischerweise erzeugt die Anwendung zwischen 100 bis 3000 Volt einen wirksamen Teilchenabscheidemechanismus. Solch eine Gleichspannung kann zu allen Zeiten während des Kammerbetriebs (Prozessierungs- und Reinigungsschritten) angelegt werden oder kann während des Reinigungsbetriebs, wenn die DPA 40 aktiviert ist, unterbrochen werden. Bei einem Betrieb zur Verarbeitung eines Substrats, wo Siliziumnitrid aus einem Prozeßgas aus SiH&sub4;, N&sub2; und NH&sub3; abgeschieden worden ist, konnte durch Experimente festgestellt werden, daß ungefähr 60% ± 10% der erzeugten Teilchen positiv geladen waren, während ungefähr 40% ± 10% der erzeugten Teilchen negativ geladen waren. Wie dies in Fig. 6 dargestellt ist, konnte durch Experimente festgestellt werden, daß die Erzeugung von einem Gleichspannungsfeld von ungefähr 500 V/cm innerhalb der DPA 40 einen optimalen elektrostatischen Sammler für den Gebrauch bei diesem Betrieb zur Verarbeitung von Substrat darstellt.
- In Fig. 6 stellt die Kurve 110 die gesamte Ansammlung von negativ geladenen Teilchen dar, die sich an der positiv geladenen Elektrode aus der Erzeugung eines elektrischen Feldes von 200 bis 1200 V/em zwischen den Elektroden angesammelt haben, und die Kurve 112 stellt die gesamte Menge der positiv geladenen Teichen dar, die an der Elektrode versammelt sind, welche mit Masse verbunden ist. Die Kurve 114 stellt die gesamte Ansammlung von eingefangenen Teilchen dar. Bei Spannungsfeldern, die niedriger als 500 V sind, können größere Teilchen durch den elektrostatischen Sammler nicht mehr wirksam eingefangen werden, während die Erzeugung von höheren Spannungsfeldern ein partielles Plasma erzeugt. Solche Plasmaformationen verändern die Charakteristiken der erzeugten elektrischen Felder und verschlechtern die Wirksamkeit des Einfangs von Teilchen.
- Der elektrostatische Sammler und die mechanische (Gravitations)-Sammelkombination bieten einen besonders effektiven Mechanismus, um die Ablagerung von Abscheidungen in der Vakuumleitung 31 zu verhindern. Die Vorrichtung zum Einfangen durch Gravitation ist besonders wirksam beim Einfangen von relativ großen Teilchen, die im ausströmenden Gasstrom vorhanden sind, weil es bei diesen Teilchen wahrscheinlich ist, daß sie im äußeren Rohr 62 durch Gravitationskräfte festgehalten werden. Die elektrostatische Einrichtung zum Einfangen der Teilchen ist andererseits besonders wirksam beim Abscheiden und Einfangen von kleineren Teilchen im ausströmenden Gasstrom, die andererseits nur durch den Sammelmechanismus aufgrund der Gravitation nicht eingesammelt würden.
- Zum Beispiel bei der Abscheidung von Silizimnitrid, so wie dies oben beschrieben ist, ist beobachtet worden, daß sich der Durchmesser der Teilchen im Bereich von 1 um bis zu 1 mm bewegt. Wenn diese Teilchen in der Abgasleitung sind, dann wirken zwei bedeutende Kräfte auf diese Teilchen: eine Gravitationsanziehungskraft (Fg) und eine neutrale Anziehungskraft (Fnd), die von der Gasbewegung herrührt. Für große spezielle Stoffe, so wie Teilchen, die größer als 100 um im Durchmesser sind, ist die größte Beeinflussung die Gravitationskraft, so daß die mechanische Einrichtung zum Einfangen der Teilchen besonders wirksam ist. Bei kleineren Teilchen kann jedoch die Ziehkraft des Gases höher sein als die Gravitationskraft. Folglich übt das elektrische Feld, das zwischen den zwei Elektroden der elektrostatischen Sammeleinrichtung ausgebildet ist, eine zusätzliche Kraft (Feiec) im rechten Winkel auf die Bahn der Teilchen aus. Diese Kraft kann zwei- oder mehrmals größer sein als die Gravitations- und die Zugkraft für jedes kleine Makroteilchen zusammen, für solche, die kleiner als 10 um im Durchmesser besitzen, was zu einer sehr hohen Einfangwirksamkeit führt.
- Fig. 7 zeigt einen Graphen, der die Wirkung der elektrostatischen und Gravitationskräfte verglichen mit der neutralen Zugkraft auf Teichen entsprechend einem Ausführungsbeispiel der vorliegenden Erfindung zeigt. Kurve 122 zeigt die Gravitationskraft, Kurve 124 zeigt die elektrostatische Kraft und Kurve 126 zeigt die neutrale Zugkraft auf die Teichen. Wie für kleinere Teichen gezeigt, ist die elektrostatische Kraft 124 größer als die Gravitationskraft 122. Für größere Teichen dominiert die Gravitationskraft 122 über der elektrostatischen Kraft 124. In diesem Ausführungsbeispiel sind die Kräfte so, daß die Teichen bis zu einem Durchmesser von 30 Mikrometern hauptsächlich durch den elektrostatischen Sammler eingesammelt werden, während Teichen mit einem Durchmesser größer als 30 Mikrometer hauptsächlich durch die Einrichtung für den mechanischen Einfang eingesammelt werden. Ohne Beachtung, ob die elektrostatische oder Gravitationskraft für irgendein gegebenes Teichen dominiert, ist der Kern von Fig. 7, daß die DPA 40 vorzugsweise so entworfen wird, daß wenigstens entweder die elektrostatischen Kraft 124 oder die Gravitationskraft 122 größer sein soll als die neutrale Zugkraft 126 für ein Teichen von irgendeiner gegebenen Größe. In solch einem Fall stellt die Kombination von elektrostatischen und mechanischen Sammeleinrichtungen sicher, daß Teichen von verschiedener Größe wirksam eingesammelt werden.
- Eine vierte Kraft, die thermophoretische Kraft (Fth), wirkt auch auf die Teichen innerhalb der DPA 40. Die thermophoretische Kraft wird in Folge von Temperaturgradienten innerhalb der DPA erzeugt. Solche Temperaturgradienten können erzeugt werden, zum Beispiel durch die Formierung von einem Plasma während dem plasmaunterstützten Reinigungsprozeß. Während der Plasmaformierung wird die Kathode 56 heißer als die Anode 58, aufgrund der Jonenbombardierung und dem Joules-Effekt während der Plasmaformierung. Bei einem Ausführungsbeispiel ist der Temperaturgradient zwischen der Kathode 56 und der Anode 58 bei einer Gastemperatur von 150ºC 200ºC/cm. Die thermophoretische Kraft in diesem Ausführungsbeispiel ist in Fig. 7 durch die Linie 128 gezeigt. Während die thermophoretische Kraft 128 in diesem Ausführungsbeispiel nicht stark genug sein kann, um Teichen zwischen 0,1 bis 100 um einzufangen, kann es zum Einfangen von sowohl geladenen als auch ungeladenen Teichen beitragen. In anderen Ausführungsbeispielen wird der Fachmann auch verstehen, wie größere Temperaturgradienten erzeugt werden, so daß eine größere thermophoretische Kraft erzeugt wird, um effektiver das Einfangen der Teilchen und Ablagerungen zu unterstützen.
- Wie bereits früher erwähnt, wird während des Betriebs zur Reinigung der Kammer Hochfrequenzenergie an die Elektrode 56 gelegt, um ein Plasma aus den ausströmenden ätzenden Gasen, die in die DPA ausgestoßen werden, zu erzeugen und/oder zu unterhalten. Die Bestandteile des Plasmas reagieren mit den Teichen und den Ablagerungen, die in die DPA von einem oder mehreren vorhergehenden Verarbeitungsschritten für ein Substrat eingefangen wurden. Vorzugsweise wird die Anwendung der Hochfrequenzenergie, um dieses Plasma zu erzeugen, während den Zeiten, wenn ätzende Gase nicht durch die DPA ausgestoßen werden (in solch einer Konfiguration wird die DPA 40 als eine aktive anstatt als ein passive Einrichtung bezeichnet), nicht fortgesetzt. Die Steuerung der zeitlichen Aspekte der DPA 40 (zum Beispiel das EIN- und AUS-Schalten der Hochfrequenzenergieversorgung 102 und/oder der Gleichspannungsenergieversorgung 100), wenn die DPA 40 als eine aktive Einrichtung konfiguriert ist, wird allgemein durch den Prozessor 34 durchgeführt, durch Anwendung der Steuersignale, die über die Steuerleitungen 36 gesendet werden, die in Fig. 1 dargestellt sind. Obwohl sie in Fig. 12 nicht gezeigt sind, sind solche Steuerleitungen in solch einer Konfiguration mit der DPA 40 verbunden.
- In einem alternativen Ausführungsbeispiel ist es möglich, eine Gasversorgungsleitung direkt zur Einführung von einem ätzenden Gas an die DPA 40 getrennt von und zusätzlich zu dem ätzenden Gas, das von der Kammer 15 während eines Reinigungsbetriebs ausgestoßen wird, anzuschließen. Solche eine zusätzliche Gasversorgungsleitung kann an der DPA zum Beispiel in der Nähe oder direkt am Einlaß 50 angeschlossen werden. Es kann auch direkt an die Abgasleitung, an einer Position, die stromaufwärts von der DPA liegt, angeschlossen werden. Wenn solch eine getrennte Gasleitung bereitgestellt wird, kann die zusätzliche Versorgung mit ätzendem Gas der DPA nur während einer Reinigungssequenz zugeführt werden, nur während eines Ablagerungs- oder eines anderen Verarbeitungsschritts für das Substrat, oder es kann kontinuierlich während sowohl dem Ablagerungsszklus als auch dem Reinigungsszyklus zugeführt werden. In einem Ausführungsbeispiel, wo das ätzende Gas der DPA während eines Verarbeitungsschrittes des Substrates zugeführt wird, wird Hochfrequenzenergie während des Verarbeitungsschrittes des Substrates an die Elektrode 56 gelegt, um ein Plasma zu bilden und weiter das abgeschiedene Material innerhalb der DPA wegzuätzen.
- Die Wirksamkeit der DPA 40 beim Einfangen von Teichen und bei der Reduzierung von aufgebauten Abscheidungen hängt von einer Zahl von Faktoren ab, die unter anderem die folgenden Faktoren einschließlich der Menge der erzeugten und ausgestoßenen Teichen von der Kammer 15, der Rate des ausströmenden Gasflusses durch die DPA 40, dem Spannungsfeld, das zwischen den Elektroden 56 und 58 erzeugt wird, der Oberflächenfläche der Elektroden 56 und 58 und der Intensität des Plasmas, das während der Reinigungsphase erzeugt wird, einschließt.
- Eine Zahl von anderen Ausgestaltungen verstärken auch die Wirksamkeit der DPA 40. Zum Beispiel in den bevorzugten Ausführungsbeispielen ist die obere Oberfläche des Flußteilers 57 (Fig. 4(a)) zu einer einzelnen Kante, die spitz zuläuft, ausgeformt. Experimente zeigten, daß die Ablagerung von Ablagerungen sich sehr rasch an Stellen ansammelt, wo der Gasfluß direkt Hindernisse oder Oberflächen innerhalb der DPA berührt. Die abgewinkelte Oberfläche des Flußteilers 57 kombiniert mit der Einführung des ausströmenden Gases durch den Einlaß 50, direkt darüber und im rechten Winkel zu der einzelnen Kante des Flußteilers 57, bietet eine kleine Kontaktfläche für den ausströmenden Gasstrom, der in der DPA 40 durch den Einlaß hinein strömt und folglich die Ablagerung auf der oberen Oberfläche des Flußteilers 57 minimiert. Bei Experimenten, die ohne solch eine abgewinkelte Oberfläche (z. B. eine abgerundete Oberfläche) durchgeführt wurden, sammelte sich ein Teichenhaufen oder eine Teichenansammlung auf der oberen Oberfläche des Teilers 57 an. Abhängig von der Menge von solch einem Teichenhaufen, ist es möglich, daß der Haufen abbricht und in eine der Sammelflächen 62 fällt. Wenn der Teichenhaufen ausreichend groß ist, kann er nicht durch das Plasma, das während einem normalen Reinigungszyklus erzeugt wurde, aufgelöst werden. Dies könnte zu einer Verstopfung des Gasdurchlasses führen. Auch wenn das aufgebaute Material aus dielektrischem Material besteht (z. B. aufgebautes Material von einem Abscheidungsprozeß mit Siliziumnitrid) wirkt sich das aufgebaute Material störend auf die Plasmaerzeugung aus und verringert die Stärke des erzeugten Plasmas. Dies führt zu einem geringeren Ätzen des abgeschiedenen Materials und einer gesteigerten Chance, daß der Durchlaß blockiert wird. Vorzugsweise treffen sich die Seitenflächen des Flußteilers 57 bei einem Winkel von 30º oder weniger, um solch eine Ansammlung zu verhindern. Es ist sogar vorzuziehen, daß der ausgebildete Winkel ungefähr 10º oder weniger beträgt.
- Ein anderes Ausführungsmerkmal, das den Teichenhaufen in irgendeiner speziellen Fläche der DPA 40 verringert, ist die Kontur der Wende der Abschnitte der Gasdurchlaßwege 54 zwischen dem Einlaß 50 und dem Punkt, an dem der Gasfluß aufgeteilt wird in einen linken und rechten Strom. Ein weicher Übergang (oder konische Erweiterung) am Einlaß 50, im Gegensatz zu einem mit scharfen Winkeln in den Gasdurchlaß hilft, eine gleichmäßige Verteilung des Gasflusses in den Durchlaß sicherzustellen. Dieser so gesteuerte Übergang vom Einlaß 50 in den Strömungsmittelkanal 54 wird als profilierte Leitung bezeichnet.
- Ein gleichmäßiger Gasfluß durch die profilierte Leitung hilft, eine gleichmäßige Verteilung des Gasflusses in jedem der linken und rechten Abschnitte des Gasdurchlasses 54 sicherzustellen, und dadurch eine größere Ansammlung von Teichen in einem Abschnitt des Durchlasses, verglichen mit anderen, zu verhindern. Die profilierte Leitung stellt auch eine gleichmäßige Gasverteilung über die ganze Breite der Elektroden sicher. Die Gestalt von einer bevorzugten Version der profilierten Leitung wird im Detail in den Fig. 4(c) und 4(d) als Gasdurchlaßoberflächenform 55 gezeigt.
- Die Ausbildung von einem einheitlichen Plasma hilft, die vollständige Beseitigung der Makroteilchen und der Ablagerungen, die sich innerhalb der DPA 40 angesammelt haben, sicherzustellen. Deshalb ist es nützlich für die Oberflächenfläche der Elektrode 56, von ungefähr dergleichen Oberflächenfläche von Elektrode 58 zu sein. Experimente, die in einer DPA durchgeführt wurden, die einen Unterschied in den Oberflächenflächen zwischen den Elektroden von sowohl 3 : 1 als auch 1,3 : 1 an verschiedenen Stellen aufweist, zeigen, daß es möglich ist, ein Plasma mit ungleichen Oberflächenflächen der Elektroden zu bilden, und daß solch ein Plasma adäquat das in der DPA angesammelte Material beseitigen kann. In diesen Experimenten wurde jedoch die Ansammlung von Teichen und Ablagerungen in dem Bereich der DPA wirksam beseitigt, wo das Elektrodenoberflächenverhältnis näher bei 1,3 : 1 anstatt bei 3 : 1 war. In weiteren Experimenten, bei denen die Oberfläche der Kathode 56 95% der Oberfläche der Anode 58 hatte 766,4 cm² mal 795,5 cm²(118,79 inch² mal 123,31 inch²), war die Plasmabildung intensiver und die Beseitigung der Teichen sogar noch effektiver. In anderen Ausführungsbeispielen ist die Oberfläche der Kathode im wesentlichen gleich zu der der Anode.
- Ein weiterer Punkt bezüglich der Einheitlichkeit des Plasmas bezieht sich auf die räumliche Anordnung der Elektrode 56 relativ zu der Elektrode 58. Diese Zuordnung sollte grundsätzlich konstant über dem Gasdurchlaß der DPA 40 bleiben mit der folgenden Ausnahme. Die Durchbruchspannung des Plasmas ist eine Funktion des Druckes und des Abstandes zwischen den Elektroden (P · D). Für den ausströmenden Gasstrom, um durch die DPA zu fließen, ist es notwendig, daß der Druck in der Nähe des Einlasses 50 etwas höher ist als der Druck in der Nähe des Auslasses 52. Um die Durchbruchspannung in diesem bevorzugten Ausführungsbeispiel konstant zu halten, wird mehr Raum zwischen die Elektroden im unteren Abschnitt der DPA 40 als zwischen den Elektroden im oberen Abschnitt eingefügt. Diese Variation der räumlichen Zuordnung kann zum Beispiel durch die Herstellung der überstehenden Finger von einer oder beiden Elektroden 56 und/oder Elektrode 58 im oberen Bereich der DPA wie in Fig. 4(b) gezeigt, dicker herzustellen. In der Fig. 4(b) haben die Finger der Kathode 56 und der Anode 58 im oberen Bereich der DPA 40 eine Dicke von a und b. Während die entsprechenden Teile im unteren Abschnitt der DPA 40 eine Dicke von c und d haben, wobei a > c und b > d.
- Der Druck innerhalb der DPA bewirkt auch eine Plasmavermehrung. Allgemein kann gesagt werden, daß ein höherer Druck zu einem effizienteren Plasmaätzen führt. Folglich erlaubt der Betrieb der DPA bei einem höheren Druck geringere Leistungsanforderungen als bei einem niedrigeren Druck, was zu einer Einsparung der Betriebskosten führt. Ein höherer DPA-Druck kann erreicht werden durch Positionierung eines Drosselventiles in die Abgasleitung nach der DPA. In solch einer Konfiguration ist es möglich, ein einziges Drosselventil unterhalb der DPA oder vorzugsweise zwei Drosselventile zu benutzen: eines oberhalb der DPA, um den Kammerdruck zu steuern, und eines unterhalb, um den DPA-Druck unabhängig vom Druck innerhalb der Verarbeitungskammer zu steuern.
- Ohne ein Drosselventil unterhalb der DPA ist der Druck innerhalb der DPA im allgemeinen gleich dem Druck der Abgasleitung (zwischen etwa 106,6 Pa-333,3 Pa(0,8-2,5 torr)) in manchen PECVD-Verarbeitungsanlagen, die bei ungefähr 600 Pa-800 Pa (4,5-6 torr) betrieben werden. Mit einem Drosselventil unterhalb der DPA, kann jedoch der Druck innerhalb der DPA in einem größeren Bereich gesteuert werden. Natürlich muß der Druck in der DPA geringer sein als der Druck innerhalb der Kammer, um den ausströmenden Gasstrom von der Kammer aufrecht zu erhalten. Die Erhöhung des Drucks innerhalb der DPA hat auch den unerwünschten Nebeneffekt der Erhöhung der neutralen Zugkraft der Teichen, die in die DPA ausgestoßen werden, welche dann wieder die Wirksamkeit der Teilchenabscheidung durch Gravitation reduzieren. Folglich sollte der tatsächlich in der DPA eingestellte Druck die Plasmawirksamkeitsbetrachtungen mit den Teichenabscheidungsbetrachtungen ausgleichen und wird von der jeweiligen Anwendung, bei der die DPA eingesetzt wird, abhängen.
- Ein druckempfindlicher Schalter 53 (Fig. 4(d)) kann benutzt werden, um den Druck innerhalb der DPA 40 zu überwachen. Bei einem Ereignis, bei dem sich ein Druck innerhalb der DPA auf einen unerwünschten Wert aufbaut, sendet der Schalter 53 ein Signal an den Prozessor 34, um sowohl die DPA als auch die Substratverarbeitungskammer 10 abzuschalten. In einem bevorzugten Ausführungsbeispiel ist der Schalter 53 ein Halb-Atmosphärenschalter, der den Abschaltvorgang auslöst, wenn sich der Druck innerhalb der DPA 40 über einen Druck von einer halben Atmosphäre (47988 Pa (360 torr)) ansteigt.
- Abhängig von der innerhalb der DPA eingesetzten Hochfrequenzenergie, um ein Plasma zu bilden, der Größe der Kathode, der Zeitperiode, während die DPA aktiviert ist und anderen Faktoren, kann die DPA 40 eine beachtliche Wärmemenge erzeugen. Um die Wärme abzuleiten, kann die DPA 40 Wärmeableiungstrippen 69, wie in Fig. 4(e) gezeigt ist, umfassen. Die Wärmeableiungstrippen sind an der Anode 58 befestigt.
- Die Wärme wird während der Plasmaerzeugung an der Kathode 56 durch Jonenbombardierung und den Joules'schen Effekt erzeugt. Entsprechend ist die Anode 58 kälter als die Kathode 56. Zusätzlich ist die Anode 58 von der Kathode 56 durch das keramische Kathodenbefestigungsmittel 61, die keramische Auskleidungsplatte 71 (an der Rückseite und an der Tür) und den Isolierringen aus Teflon in der PFD 68 thermisch isoliert. Die Rippen 69 helfen des weiteren, die Anode zu kühlen. Die Rippen 69 sind aus einem wärmeleitenden Material, so wie Aluminium, hergestellt und sind das bevorzugte Mittel der Wahl zur Kühlung der DPA 40, weil sie eine passive Kühlungseinrichtung sind. Aus Sicherheitsgründen ist es vorzuziehen, die Rippen 69 so zu entwerfen, daß das äußere der DPA 40 auf wenigstens 75ºC oder niedriger gekühlt wird.
- In einem bevorzugten Ausführungsbeispiel, bei der DPA für eine DCVD-Kammer in einem P5000-Reaktorsystem ausgestattet ist, das von der Firma Applied Materials hergestellt wird, befinden sich die Rippen auf drei Seiten der DPA, aber nicht auf einer vierten Seite. Die vierte Seite (die Rückseite) der DPA ist anstatt direkt gegen einen Teil der Substratverarbeitungskammer plaziert. Der Grad der Kühlung, der durch die Rippen 69 bereitgestellt wird, hängt von der Größe der Rippen ab. In einem Ausführungsbeispiel, bei dem sich die Temperatur der Kathode zwischen 250 bis 300ºC bewegt, sind die Rippen 69 ausreichend groß, um das Äußere der DPA auf ungefähr 75ºC zu kühlen.
- Es ist auch möglich, andere Verfahren zur Kühlung der DPA 40 zu benutzen. Zum Beispiel kann ein Kühlsystem benutzt werden, bei dem Wasser um die DPA 40 zirkuliert, um die Wärme von der DPA weg zu transportieren. Solch ein Kühlsystem ist ein aktiver Kühlungsmechanismus.
- Um die Wirksamkeit der vorliegenden Erfindung bei der Reduzierung der Ablagerung von Teichen zu beweisen, wurden Experimente durchgeführt, bei denen eine DPA 40 entsprechend der oben beschriebenen Erfindung gebaut wurde und mit einer Präzisions-5000- Kammer verbunden wurde, welche für 15,24 cm (6 inch) Wafer ausgestattet ist und für die CVD-Abscheidung von Siliziumnitrid entworfen ist. Die Präzisions-5000-Kammer wird von der Firma Applied Materials hergestellt, die auch der Anmelder der vorliegenden Erfindung ist.
- Bevor Experimente zur Prüfung der Wirksamkeit der DPA durchgeführt wurden, wurden Experimente durchgeführt, um die Zusammensetzung der Ablagerungen zu bestimmen, die in der Verarbeitungskammer durch einen Siliziumnitridabscheidungsschritt gefolgt von einem ReinigungsFluorschritt abgeschieden worden sind. Die Zusammensetzung der Ablagerungen wurde für zwei unterschiedliche Siliziumnitridabscheidungs-/Fluorreinigungsbetriebsverarbeitungsreihenfolgen bestimmt. In jeder Verarbeitungsreihenfolge war der Siliziumnitridabscheidungsschritt identisch, während der Reinigungsschritt bei der ersten Reihenfolge auf einer CF&sub4;-Chemie basierte und bei einer zweiten Reihenfolge auf einer NF&sub3;-Chemie basierte.
- Der Siliziumnitridfilm wurde auf einem Wafer durch Aussetzen des Wafers an ein Plasma aus Silan (SiH&sub4;), Stickstoff (N&sub2;) und Ammoniakgasen (NH&sub3;), abgeschieden. SiH&sub4; wurde in die Kammer bei einer Flußrate von 275 sccm eingeführt, N&sub2; wurde in die Kammer bei einer Rate von 3700 sccm, und NH&sub3; wurde in die Kammer bei einer Rate von 100 sccm eingeführt. Das Plasma wurde bei einem Druck von 600 Pa (4,5 torr), bei einer Temperatur von 400ºC, unter Benutzung von einer Hochfrequenzenergieversorgung bei einer Frequenz von 13,56 MHz bei einer Leistung von 720 Watt erzeugt. Die Abscheidung des Siliziumnitridabscheidungsprozesses dauerte ungefähr 75 Sekunden, was ausreichend war, um einen Film von ungefähr 10,000 Å auf dem Wafer abzuscheiden.
- Bei der ersten Probe wurde, nachdem der Siliziumnitrid-Abscheideschritt vollständig durchgeführt war und der Wafer aus der Kammer entfernt war, die Kammer für 120 Sekunden mit einem CF&sub4; und N&sub2;O-Plasma gereinigt. Das Verhältnis von CF&sub4; zu N&sub2;O war 3 : 1, wobei CF&sub4; mit einer Rate von 1500 sccm eingeführt wurde und N&sub2;O mit einer Rate vom 500 sccm eingeführt wurde. Während des Reinigungsschrittes wurde in der Kammer eine Temperatur von 400ºC und ein Druck von 666,5 Pa (5 torr) aufrecht erhalten. Das Plasma wurde mit einer Energiequelle von 13,56 MHz bei einer Leistung von 1000 Watt erzeugt.
- Bei der zweiten Probe wurde die Kammer mit einem Plasma gereinigt, das aus einem NF&sub3;- und N&sub2;O- und N&sub2;-Vorgasen erzeugt wurde. Das Verhältnis von NF&sub3; zu N&sub2;O zu N&sub2; war ungefähr 5 : 2 : 10, wobei NF&sub3; mit einer Rate von 500 sccm eingeführt wurde, N&sub2;O bei einer Rate von 200 sccm eingeführt wurde und N&sub2; bei einer Rate 1000 sccm eingeführt wurde. In der Kammer wurde während des Reinigungsschrittes, der ungefähr 95 Sekunden dauerte, eine Temperatur von 400ºC und ein Druck von 666,5 Pa (5 torr) aufrecht erhalten. Das Plasma wurde bei einer Frequenz von 13,56 MHz Energieversorgung mit einer Leistung von 1000 Watt erzeugt.
- Es wurde festgestellt, daß die Farbe der Ablagerung der CF&sub4;-Reinigung bräunlich war, während die Farbe der Ablagerungsprobe für NF&sub3; gelbweiß war. Es wurde festgestellt, daß Ablagerungen, die von nur einem Si&sub3;N&sub4;-Ablagerungsschritt erzeugt wurden, braun sind, folglich wird davon ausgegangen, daß diese Ergebnisse eine vollständigere Umsetzung des ursprünglich braunen Pulvers in ein gelbweißes Pulver von der NF&sub3;-Reinigung anzeigt. Es wird davon ausgegangen, daß dies in Folge der zusätzlichen freien Fluorradikale, die in dem NF&sub3;- Plasma erzeugt werden, so ist.
- In einer anderen Reihe von Experimenten wurden drei verschiedene Ablagerungsproben gesammelt: Es wurde ein Pulver in der Abgasleitung ungefähr 0,5 m unterhalb der Verarbeitungskammer direkt nach einem oben beschriebenen Si&sub3;N&sub4;-Ablagerungsschritt (Probe A) gesammelt; an derselben Stelle wie bei Probe A wurde ein Pulver gesammelt, aber erst nach einem NF&sub3;/N&sub2;O/N&sub2;-Reinigungsplasmadurchlauf, wie dies oben beschrieben ist (Probe B); und es wurde ein Pulver am Einlaß bei einer Trockenvakuumpumpe ungefähr 12 m unterhalb von der Kammer nach einigen Tagen von kontinuierlichen Ablagerungs-/Reinigungsreihen gesammelt (Pulver C). Die Zusammensetzung der Pulverproben wurde durch die Wasserstoffvorwärtsauflösung (HFS), Röntgenfotoelektronspektroskopie (XPS) und Röntgenbeugungsanalyse (XRD) gefolgert. Die Zusammensetzung der jeweiligen Pulver ist in Tabelle 1 dargestellt. Tabelle 1 Erzeugung von Siliziumnitridablagerung/Fluorreinigunsprozeß
- Probe A ist das direkte Festkörpernebenprodukt der Si&sub3;N&sub4;-Ablagerungschemie. Das Pulver gibt die Zusammensetzung der Teilchen wieder, die innerhalb des Hochfrequenzplasmas erzeugt werden. Das Pulver setzt sich hauptsächlich aus Si, N, H und Sauerstoff zusammen. Der Sauerstoff wird wahrscheinlich von der Luft während dem Einsammeln der Probe absorbiert. Der Sauerstoff kann keine ursprüngliche Komponente des Pulvers sein, weil kein Sauerstoff enthaltendes Gas während der Ablagerung benutzt wird. Die Ablagerungsteilchen, die im Plasma erzeugt werden, sind höchstwahrscheinlich mit Wasserstoff hoch angereicherte Siliziumnitride SiXNyHz. Dieses Pulver ist hoch reaktiv. Die XPS-Messungen bestätigen die HFS-Ergebnisse und zeigen, daß nach einer Aussetzung an die Luft, Silizium als Element zu 18%, Stickstoff zu 24% und Oxid zu 58% vorhanden sind. Stickstoff ist im Vergleich zum Nitridmit 93% und im Vergleich zu Ammonium mit 7% vorhanden. Die XRD-Analyse zeigt, daß das Pulver amorph ist.
- Probe B ist das Ergebnis der Umsetzung des Pulvers A nach einem Reinigungsprozeß unter Benutzung von einem NF&sub3;/N&sub2;O/N&sub2;-Plasma. Der Reinigungsprozeß verdampft vollständig die im Innenraum der Verarbeitungskammer angesammelten Ablagerungen, allerdings findet die Umsetzung nicht vollständig in der Abgasleitung infolge der begrenzten Lebenszeit der F* freien Radikale statt. Diese Lebenszeit ist jedoch lang genug, so daß eine teilweise Umsetzung auf den ersten Metern der Abgasleitung geschehen kann. Dieses weiße Pulver stellt einen hohen F-Anteil dar, entsprechend der Transformation von SixNyHz in (NH&sub4;)&sub2;SiF&sub6; (Ammoniumhexafluorsilikat, das durch den Gebrauch der Röntgenbeugungscharakterisierung bekannt worden ist). Das polykristalline weiße Pulver zeigt eine Sublimationstemperatur von 250ºC an.
- Die Menge des angesammelten Pulvers bei der Probe B steigt mit dem Zunehmen des Abstandes von der Prozeßkammer an, was nahelegt, daß die Verdampfung des Festkörpergases geringer wird und die Wirksamkeit davon geringer wird als bei Gasen, die sich entlang der Abgasleitung bewegen. Dies ist wahrscheinlich infolge der Verdünnung der erregten Verbindungen, so wie F*, CFX, O*, unter anderem während der Bewegung von der Kammer weg. In der Nähe der Pumpe existiert eine Mischung aus Pulver A und B. Diese Ablagerung (Pulver C) ist, sowie sich der Abstand von der Kammer erhöht, gelblich bis braun.
- Chemische Analysen zeigen, daß das Pulver C eine nicht vollständige Umsetzung des Pulvers A ist. Von Interesse ist die Bildung eines Polymers -(-CF&sub2;-C&sub2;F&sub4;-O-)x-, welches die ursprünglichen braunen Ablagerungen umhüllen kann, die eine schützende Hülle bilden und weitere Umsetzung des eingesammelten Pulvers während der Ablagerung verhindern. In der Abgasleitung von einem herkömmlichen PECVD-Siliziumnitridsystem kann die Ansammlung von Pulver C nach einem Monat von kontinuierlichen Ablagerungs-/Reinigungsreihen mehr als 500 g betragen.
- Nachdem die Zusammensetzung der in der Kammer aufgebauten Ablagerungen bestimmt war, wurde ein Experiment durchgeführt, um die Korngröße des Ablagerungspulvers zu bestimmen. Für dieses Experiment wurde ein Siliziumstück in der Abgasleitung positioniert, um dort das Material zu sammeln, das dort abgeschieden wurde von dem Abscheidungsprozeß. Es wurde beobachtet, daß sogar nach einem Abscheidungsprozeß von 15 Sekunden ein Aufbau von Ablagerungen in der Form von einem braunen Pulver normalerweise in der Vakuumleitung 3 auftritt. Ein Mikrograph, der diese aufgebaute Ablagerung zeigt, ist in Fig. 8 gezeigt. Das braune Pulver war aus SixNyHz, SixHy, SiOx und elementaren Siliziumablagerungen aufgebaut. Die elementaren Körner der Ablagerung stellen eine granulare und poröse Morphologie mit einer Dichte von 2,3 g/cm³ dar. Die kugelförmige Symmetrie der Körner ist in Fig. 9 dargestellt und zeigt an, daß das Wachstum durch gleichmäßige Kristallkernbildung ausgeprägt sein kann. Fig. 10 ist ein Mikrograph, der die Bildung von vier oder fünf elementaren Körnern (15 bis 20 um jeweils im Durchmesser) in ein typisches Ablagerungsaggregatteilchen, das ungefähr 50 um im Durchmesser hat, zeigt. Weitere Experimente zeigten, daß die Korngröße des Pulvers mit der Abscheidungszeit angestiegen ist, um für einen 90 Sekunden lang dauernden Abscheidungsschritt Aggregate von 1 mm oder größer im Durchmesser zu bilden.
- Dann wurde ein Prototyp der DPA benutzt, um die Wirksamkeit der vorliegenden Erfindung zur Reduzierung der Ansammlung von Teichen, so wie von einem auf Silan basierten Siliziumnitrid-Abscheidungsbetrieb, zu beweisen. Der Prototyp der DPA wurde entsprechend dem Ausführungsbeispiel, das in den Fig. 4 (a)-(f) gezeigt ist, aufgebaut und wurde mit dem Abgasanschluß von einer P5000-CVD-Kammer kurz vor der Abgasleitung verbunden. Im Experiment wurde die Kammer entsprechend einer allgemein üblichen Ablagerungs- /Reinigungsreihenfolge für Siliziumnitrid betrieben, die drei aufeinanderfolgende 1,0-Mikron- Ablagerungsschritte (für drei getrennte Wafer), die von einem CF&sub4;/N&sub2;O-Reinigungsschritt gefolgt wurden, umfaßte. Die Ablagerungs-/Reinigungszyklen wurden für einen Durchlauftest von 5000 Wafern kontinuierlich wiederholt.
- Für den Siliziumnitridablagerungsschritt wurde der Kammerdruck auf 600 Pa (4,5 torr) eingestellt und gehalten, während die Kammertemperatur auf 400ºC eingestellt wurde und der Halter wurde 1,5 cm (600 mils) von der Gasverteilungsleitung entfernt aufgestellt. Die Abscheidungsgase umfassen SiH&sub4;, welches bei einer Rate von 190 sccm eingeführt wurde, N&sub2;, welches bei einer Rate von 1500 sccm eingeführt wurde, und NH&sub3;, welches bei einer Rate von 60 sccm eingeführt wurde. Eine Hochfrequenzleistung bei einer einzelnen Frequenz von 13,56 MHz wurde bei einer Leistung von 455 Watt zugeführt, um ein Plasma zu bilden und die Siliziumnitridlagen bei einer Rate von ungefähr Å/Minute abzuscheiden. Die Gesamtabscheidezeit für jede 1,0 Mikron-Lage waren ungefähr 80 Sekunden.
- Für den Reinigungsschritt der Kammer wurde der Kammerdruck auf 613,2 Pa (4,6 torr) eingestellt und gehalten, die Kammertemperatur wurde auf 400ºC eingestellt und der Halter (ohne einen Wafer) wurde in einem Abstand von 1,5 cm (600 mils) von der Gasverteilungsleitung aufgestellt. Das Reinigungsgas enthielt CF&sub4;, das bei einer Rate von 1500 sccm eingeführt wurde, und N&sub2;O, das bei einer Rate von 500 sccm eingeführt wurde. Hochfrequenzenergie wurde zugeführt, um ein Ätzplasma zu bilden, das das abgeschiedene Material innerhalb der Kammer wegätzt. Die Frequenzenergieversorgung wurde bei einer Frequenz von 13,56 MHz und bei einer Leistung von 1000 Watt betrieben. Die gesamte Reinigungszeit, die benutzt worden ist, um die Kammer nach drei 1,0 Mikron-Siliziumnitrid- Schichtabscheidungsschritten zu reinigen, waren 110 Sekunden für die ersten 3000 Wafer. Ein Endpunktdetektor wurde dann benutzt, um die Reinigungszeit für die letzten 2000 Wafer zu optimieren.
- Der Prototyp der DPA hatte ungefähr eine Länge von 35 cm bei einem Durchmesser von 14 cm. Die Elektroden 56 und 58 wiesen eine Gesamtoberfläche von 1562 cm² (242,1 inch²) auf und wurden aus Aluminium hergestellt. Die Kathode hatte eine Breite von 3,00 inch und einen Umfang von 100,6 cm (39,5966 inch).
- Eine Gleichspannung von 500 Volt wurde zwischen die Elektroden 56 und 58 erzeugt, um elektrisch geladene Teichen während sowohl dem Siliziumnitridabscheidungsschritt als auch dem CF&sub4;-Reinigungsschritt, wie oben beschrieben, einzufangen. Das Spannungsfeld wurde erzeugt durch ein Anlegen von 500 Volt an die Elektrode 56 und durch ein Anschließen der Masse an die Elektrode 58. Zur Plasmaerzeugung wurde die DPA-Einrichtung als eine aktive Einrichtung betrieben (d. h. Hochfrequenzenergie wurde an die DPA geliefert, um ein Plasma während nur den Reinigungszyklen zu erzeugen - Hochfrequenzenergie wurde während den Abscheidungsschritten nicht angelegt). Die Plasmabildung wurde erzeugt durch eine Hochfrequenzwellenform bei einer Frequenz von 325 kHz bei einer Leistung von 1000 Watt. Der gemessene Druck innerhalb der DPA waren 106,6 Pa (0,8 torr).
- Durch ein weiteres Testen wurde gezeigt, daß der Prototyp der DPA zu 100% die Ablagerung von Teilchen innerhalb der Abgasleitung während einem Testlauf mit 20 000 Wafern, unter Benutzung der oben beschriebenen Siliziumnitridabscheidung/CF&sub4;-Reinigungsreihenfolge, während es zur Verarbeitung transparent bleibt, wirksam verhindert. Der Einsatz des Prototyps der DPA erforderte keine zusätzlichen Reinigungsgase oder keine zusätzliche Reinigungszeit, um von der Kammer während des Experiments ausgestoßene Festkörperstoffe einzufangen und zu beseitigen. Messungen der Filmcharakteristiken, so wie Dicke, Einheitlichkeit, Belastung und Brechungsindex der während des Experiments abgeschiedenen Siliziumnitridfilme zeigten, daß es keine bemerkenswerte oder deutliche Veränderung bei keiner dieser Charakteristiken zwischen dem ersten und dem 5 000. Wafer (oder bei irgendeinem Wafer dazwischen) gab. Zusätzlich zeigten Messungen, welche die Teichenzahl innerhalb der Kammer während des Experimentes messen, daß es keinen Anstieg bei Teichen mit einem Durchmesser von 0,16 Mikron oder größer während dem Waferdurchlauf gab.
- Andere Ausführungsbeispiele der DPA 40, die andere Plasmabildungsstrukturen beutzen, sind auch möglich. Zum Beispiel wird in manchen Ausführungsbeispielen das Plasma durch Anlegen eines Hochfrequenzsignals an eine induktive Spule, so wie eine spiralförmige Resonatorspule, erzeugt. Eine spiralförmige Spule ist in der Größe kompakt und hat die Möglichkeit, ein Plasma mit einer relativ hohen Plasmadichte zu erzeugen. Solche Spulen sind für den Fachmann wohlbekannt und können entsprechend den Kriterien, wie sie in zahlreichen wohlbekannten Büchern beschrieben werden, so wie Michael A. Lieberman und Allan J. Lichtenberg, "Principles of Plasma Discharges and Materials Processing", Seiten 404-410, John Wiley & Sons (1994), entworfen werden.
- Die spiralförmige Resonatorspule kann aus einem hochleitfähigen Metalltyp, so wie Kupfer, Nickel oder Gold oder ähnlich leitenden Materialien, hergestellt werden. Um die Spule ordentlich in eine Resonanz zu versetzen, ist es wichtig, daß die Spulenlänge ungefähr oder etwas länger ist als ein Viertel der Wellenlänge des angelegten Hochfrequenzsignales.
- Fig. 11 ist eine Schnittzeichnung von einem Ausführungsbeispiel der DPA 40, das eine Spule einschließt. In Fig. 11 umfaßt die DPA 40 ein Rohr 150, durch das die Ausstoßgase von der Verarbeitungskammer 15 fließen, wenn sie durch die DPA fließen. Das Rohr 150 ist ein zylinderförmiges Rohr, das aus einem isolierenden Material, so wie Keramik, Glas oder Quarz, hergestellt ist. In einem bevorzugten Ausführungsbeispiel ist das Rohr 150 aus einem keramischen Material hergestellt, das nicht mit ätzenden Gasen, so wie Fluor, das für Reinigungsschritte benutzt wird, reagiert. Das Rohr 150 hat auch ungefähr den gleichen Innendurchmesser wie die Vakuumleitung 31. In einem anderen Ausführungsbeispiel muß das Rohr 150 nicht notwendigerweise von einer zylinderförmigen Form sein und kann statt dessen eine kreisförmige, ebene oder elliptische oder ähnlich geformte innere Oberflächen haben. In diesen und anderen Ausführungsbeispielen kann der innere Durchmesser des Rohres 150 entweder größer oder kleiner als der Innendurchmesser der Vakuumleitung 31 sein.
- Eine Spule 152 ist um die Außenseite des Rohres 150 gewickelt und auf einer Seite mit einer Hochfrequenzenergieversorgung am Punkt 156 verbunden und mit einem Massepotential am Punkt 155 am anderen Ende verbunden. Die durch das Rohr ausgestoßenen Gase werden durch das Anlegen einer Spannung von der Hochfrequenzenergieversorgung an die Spule 152 in ein Plasma erregt. Im Plasmazustand reagieren die Bestandteile des Plasmas mit den abgeschiedenen Stoffen innerhalb des Rohres, um gasförmige Produkte zu bilden, die aus der DPA 40 und die Vakuumleitung 31 durch das Pumpensystem 32, wie oben beschrieben, abgepumpt werden können. Spule 152 ist eine standardmäßige spiralförmige Resonatorspule, wie dies bereits früher diskutiert wurde, und kann, anstatt um die Außenseite des Rohres 150 gewickelt zu werden, an der Innenseite des Rohres 150 entlang aufgewickelt werden.
- Ein äußerer Behälter 154 umgibt das Rohr 150. Der Container 154 dient für wenigstens zwei Zwecke. Erstens wirkt er als ein Faradayscher Käfig, der die Strahlungsemissionen, die von der Spule 152 erzeugt werden, abschirmt. Zweitens, wenn das keramische Rohr 150 eingebrochen oder eingerissen ist oder wenn die Vakuumdichtung des Rohres 150 aus einem anderen Grund beschädigt ist, stellt der Behälter 154 eine zweite Dichtung dar, die die ausgestoßenen Gase am Entweichen hindert. Der Container 154 kann aus einer Vielzahl von Metallen, so wie Aluminium oder Stahl oder anderen Verbindungen, hergestellt werden und ist aufgrund der abschirmenden Wirkung mit Masse verbunden. Der obere und der untere Flansch 157 und 158 verbinden die DPA 40 mit der Vakuumleitung 24 und der Vakuumleitung 31, während sie eine Vakuumdichtung aufrecht erhalten.
- Standard-Hochfrequenzenergieversorgungen sind so entworfen, daß sie an einer Impedanz von 50 Ω als Last arbeiten. Entsprechend sollte der Kontaktpunkt der Hochfrequenzenergieversorgung zur Spule 152 (Punkt 156) so ausgewählt werden, daß die Spule 152 eine Impedanz von 50 Ω hat. Wenn die Energieversorgung einen anderen Impedanzwert benötigt, kann der Punkt 156 entsprechend ausgewählt werden.
- Die Spule 152 wird von der Hochfrequenzenergieversorgung bei einer Leistung von 50 Watt oder mehr betrieben und wird vorzugsweise bei einem Wert von 500 Watt oder größer betrieben. Unter solchen Bedingungen erfolgt die Plasmaerzeugung bei einem Maximum und bei einer Einheitlichkeit ohne Bedenken. Die tatsächlich durch die Spule 152 erzeugte Spannung hängt von einer Vielzahl von Faktoren ab, so wie der Leistung, welche von der Hochfrequenzenergieversorgung benutzt wird, der Länge und der räumlichen Anordnung der Windungen der Spule 152 und dem Widerstand der Spule. Da die Spannung gleichmäßig entlang der Spule verteilt ist, kann die Bestimmung des Spannungspegels für die gesamte Spule durchgeführt werden durch die Bestimmung des Wertes zwischen den Punkten, bei denen die Spule mit Masse und der Hochfrequenzenergieversorgung (die Punkte 155 und 156) verbunden ist. Wenn zum Beispiel eine bestimmte Spule viermal so lang ist wie der Abschnitt der Spule zwischen den Punkten 155 und 156, dann wird die gesamte Spannung der Spule viermal so groß sein wie die Spannung zwischen den Punkten 155 und 156.
- Die Spule, der Energiewert und die angelegte Hochfrequenzenergie sollten so ausgewählt werden, daß ein starkes, intensives Plasma innerhalb der Röhre 150 gebildet wird, aber auch um sicherzustellen, daß die durch die Spule 152 erzeugte Spannung nicht einen Wert übersteigt, bei dem der Strom von der Spule auf den Container 154 überspringen wird. Es ist möglich, ein isolierendes Material zwischen den Container 154 und die Spule 152 zu bringen, wenn das Überspringen ein Problem für eine besondere DPA ist. Für die Einfachheit des Designs ist es jedoch vorzuziehen, den Raum zwischen dem Behälter 154 und der Spule 152 mit Luft gefüllt zu haben.
- Die Länge und die Größe der DPA kann variieren. In manchen Anwendungen kann die DPA 40 nur 10,2 bis 15,2 cm (4 bis 6 inch) lang oder sogar kürzer sein, während bei anderen Anwendungsbeispielen die DPA 40 von der gesamten Länge der Vakuumleitung 31 im Bereich von 132 bis 165 cm (4 bis 5 Fuß oder länger) oder länger sein kann und folglich die Leitung ersetzt. Eine längere DPA wird folglich in der Lage sein, mehr Festkörperstoffe zu sammeln und zu entfernen als eine kürzere identisch aufgebaute DPA. Beim Design einer DPA muß zwischen räumlichen Betrachtungen und der Wirksamkeit beim Einsammeln der Ablagerungen abgewogen werden. Kürzere DPAs, die einen fortgeschrittenen Sammelmechanismus einschließen, sind jedoch in der Lage, 99,9% der von der Verarbeitungskammer ausgestoßenen Festkörperteilchen zu sammeln und einzufangen, was die Länge zu einem weniger bedeutenden Faktor macht. Weil die Länge der Spule etwas länger als ein Viertel der Hochfrequenzwellenlänge sein soll, gibt es einen direkten Zusammenhang zwischen der Spulenlänge und der benutzten HF-Frequenz. Längere Spulen benötigen Hochfrequenzenergiesignale mit einer niedrigeren Frequenz.
- Während es früher so beschrieben wurde, daß die DPA 40 vorzugsweise während spezifischer Perioden der Verarbeitungsprozeduren ein- und ausgeschaltet wird, kann die DPA auch als eine passive Einrichtung konfiguriert werden. Als eine passive Einrichtung wird die DPA 40 kontinuierlich mit einem ausreichenden Hochfrequenzenergiesignal versorgt, so daß keine speziellen Steuersignale oder Prozessorzeit, um die DPA ein- und auszuschalten, geopfert werden muß.
- Fig. 12 ist eine Schnittansicht von einem anderen Ausführungsbeispiel der DPA 40. Das in Fig. 12 gezeigte Ausführungsbeispiel der DPA 40 umfaßt eine erste innere keramische Röhre 160 und eine zweite äußere keramische Röhre 162. Das Ende von Rohr 160 befindet sich im zylinderförmigen Raum der Röhre 162, so daß der Gasfluß durch die DPA 40 so ist, wie dies durch die Pfeile 164 angedeutet ist.
- Eine spiralförmige Resonatorspule 166 ist um die Außenfläche der Röhre 162 herumgewickelt und mit einer Hochfrequenzenergieversorgung 168, wie in Bezug auf das Ausführungsbeispiel von Fig. 11 beschrieben, verbunden. Die Spule 166 könnte sich auch entlang der Innenseite von Rohr 162 oder um die Außenfläche oder entlang der Innenfläche von Rohr 160 entlang winden.
- Ein Gehäuse 168, ähnlich dem oben genannten Behälter 150, umfaßt sowohl die innere als auch die äußere Röhre 160 und 162. Das äußere Rohr 162 kann mittels Befestigungen zu entweder dem inneren Rohr 160 oder dem Gehäuse 168 befestigt werden. Auf jeden Fall ist es wichtig, daß eine Befestigungsstruktur für das äußere Rohr 162 dem ausströmenden Gas ermöglicht, durch die DPA 40 zu strömen. Aus diesem Grund kann die Befestigungsstruktur aus einer Ebene aus keramischem Material zwischen den Rohren 160 und 162 mit einer Vielzahl von perforierten Löchern sein, die aus nur drei von vier dünnen Befestigungen oder sich zwischen den Rohren 160 und 162 erstreckenden Fingern bestehen, oder kann in zahlreichen anderen äquivalenten Ausführungen entworfen werden. Eine Struktur, die perforierte Löcher umfaßt, kann helfen, Festkörperstoffe innerhalb einer unten beschriebenen Sammelfläche 170 zu sammeln und einzufangen. Die Struktur sollte jedoch so entworfen werden, daß die Löcher groß genug sind, um nicht die Durchflußrate des durch die DPA 40 gepumpten Gases zu reduzieren.
- Die Ausgestaltung von diesem Ausführungsbeispiel der DPA 40 verbessert den Festkörperstoffeinfang und verbessert deshalb den Abbau der Festkörperstoffe. Die Ausgestaltung umfaßt eine Sammelfläche 170 des Rohres 162, das als eine mechanische Sammeleinrichtung arbeitet, welche Teichen im Abgasstrom sammelt und festhält, auf eine ähnliche Weise zu den Sammeleinrichtungen 62 von Fig. 4(a), so daß sie nicht durch den Rest der DPA in die Vakuumleitung 31 strömen können. Die Teichen werden in der Sammeleinrichtung gehalten und dem Plasma unterworfen, bis sie sich unter dem Einfluß des ausgebildeten Plasmas auflösen oder aufgebrochen werden.
- Der Betrieb des Sammelabschnittes von diesem Ausführungsbeispiel der DPA 40 beruht teilweise auf den Gravitationskräften, welche die Festkörperstoffe innerhalb der Sammeleinrichtung halten, ungeachtet einem ausströmenden Gasfluß, der versucht, die Teilchen durch die DPA-Einrichtung in die Vakuumleitung zu spülen. Folglich hängt die Wirksamkeit der DPA 40 teilweise von der Fähigkeit des äußeren Rohres 162 ab, die Teilchen am Verlassen des Rohres 162 zu hindern, bis sie in gasförmige Produkte reagiert sind. Aus diesem Grund ist es wichtig, daß die DPA 40 so positioniert wird, daß die Einsammelfläche 170 unterhalb des Einlasses der DPA ist, und daß die Länge des äußeren Rohres ausreichend ist, um diese Sammeleinrichtung in Verbindung mit den Gravitationskräften zu bilden.
- Die Vergrößerung der Querschnittsfläche des Gasdurchlasses entlang einer Ebene 176 innerhalb der DPA 40 hilft weiter, die Festkörperstoffe einzufangen. Die Flußrate für einen ausfließenden Gasstrom in einem jeden gegebenen Ablagerungsprozeß ist allgemein konstant. Folglich verringert die Vergrößerung der Querschnittsfläche von einem oder mehreren Durchlässen die Geschwindigkeit der Teichen im Gasstrom, was entsprechend die neutrale Zugkraft auf die Teichen reduziert. Ein vorhandenes Teilchen wird durch Gravitationskräfte innerhalb der Gravitationseinfangeinrichtung der DPA 40 eingefangen, wenn die Gravitationskräfte auf das Teilchen die neutrale Zugkraft überwinden.
- Um die Wirksamkeit der mechanischen Sammeleinrichtung weiter zu verbessern, kann ein elektrostatischer Sammler 172 in der Nähe der Sammelfläche 170 positioniert werden. Der elektrostatische Sammler 172 kann eine kleine Elektrode sein, die mit einer Gleichspannungs- oder Wechselspannungsenergieversorgung verbunden ist. Die Polarität und die Ladungsmenge, die an den elektrostatischen Sammler 172 angelegt werden, ist von der Anwendung abhängig, und hängt vom Polarisationstyp und vom typischen Ladungspegel der ausgestoßenen Festkörpermaterialien in einer eigenen Anwendung ab.
- Eine Vielzahl von unterschiedlichen elektrostatischen Sammeleinrichtungen können in der vorliegenden Erfindung eingesetzt werden. Details von anderen Ausführungsbeispielen von solch einem elektrostatischen Sammler werden in Bezug auf die Fig. 13(a), 13(b) und 13(c) unten im Detail diskutiert werden.
- Fig. 13(a) ist eine Schnittansicht von einem anderen Ausführungsbeispiel der DPA 40. Das Ausführungsbeispiel von Fig. 13(a) benutzt ein Design für die mechanische Sammeleinrichtung, das ähnlich zu dem Ausführungsbeispiel von Fig. 12 ist, und benutzt auch -eine modifizierte elektrostatische Sammeleinrichtung. Ausströmendes Gas wird auch durch einen seitlichen Flansch 180 ausgestoßen, der eher neben dem oberen Flansch 181 als gegenüber dem oberen Flansch angebracht ist. Der Flansch 180 ist so positioniert, daß er eher eine Vakuumdichtung mit dem äußeren Gehäuse 184 bildet als mit dem äußeren Rohr 186. Das Gehäuse 184 ist aus einem Metall oder einem ähnlichen Material hergestellt, während das Rohr 186 aus einem isolierenden Material, so wie Keramik, hergestellt ist.
- Die DPA von diesem Ausführungsbeispiel wird mit Hochfrequenzenergie durch eine äußere Spule 187 versorgt, die so entworfen ist, daß sie eine Impedanz von 50 Ω zwischen dem Verbindungspunkt 188 der Hochfrequenzenergieversorgung und dem Punkt 189 (Masse) hat. Wie oben bereits beschrieben, sollte die Spule 187 so entworfen werden, daß sie eine Impedanz von 50 Ω hat, so daß die Spule von einer standardmäßigen Hochfrequenzenergieversorgung betrieben werden kann. Eine innere Spule 190 ist entlang der Innenseite der inneren Röhre 185 gewunden. Die innere Spule 190 empfängt durch Induktion das Hochfrequenzsignal, das von einer äußeren Spule 187 zur Verfügung gestellt wird, und erzeugt das notwendige Spannungsfeld, um die Plasmareaktion anzutreiben.
- Ein zentraler Draht 192 läuft durch das Zentrum des inneren Rohres 185, und ein Spannungspotential wird zwischen dem zentralen Draht 192 und der inneren Spule 190 erzeugt, um die durch die DPA fließenden Festkörperstoffe elektrostatisch einzufangen. Das Spannungspotential kann durch den Gebrauch von zahlreichen verschiedenen Verfahren erzeugt werden. Bei jedem Verfahren wirken der zentrale Draht 192 und die Spule 190 als Elektroden. Bei einem Ausführungsbeispiel ist der zentrale Draht 192 mit Masse verbunden, und eine positive Gleich- oder Wechselspannung ist an die Spule 190 angelegt. Wie in Fig. 13(b) gezeigt ist, werden im Fall von negativ geladenen ausgestoßenen Teilchen 194 die Teilchen von dem Spannungsfeld (Felec) angezogen, das durch den Draht 192 und die Spule 190 erzeugt wird, und sammeln sich an der Position 195 an der positiv geladenen Spule an. Ein ähnliches Ergebnis kann erzielt werden, wenn die Spule 190 mit Masse verbunden ist und eine negative Spannung an den zentralen Draht 192 gelegt wird. In diesem Fall stößt die Spule 190 die negativ geladenen Teilchen auf den Draht 192 ab.
- In einem anderen Ausführungsbeispiel sind weder der Draht 192 noch die Spule 190 mit Masse verbunden und sind statt dessen mit Spannungsquellen verbunden, die ein positives oder negatives Spannungspotential zwischen dem Draht 192 relativ zur Spule 190 erzeugen. In dem Fall, wo positiv geladenen Festkörperstoffe vorhanden sind, können diese Stoffe natürlich auf der Elektrode gesammelt werden, die sich gegenüber der Elektrode befindet, auf der sich negativ geladene Stoffe angesammelt haben.
- Es können auch Teilchen durch elektrostatische Kräfte eingesammelt werden, in Fällen, wo Festkörperstoffe sowohl positiv als auch negativ geladene Teilchen enthalten. In solch einem Fall werden die positiv geladenen Teilchen von der Elektrode angezogen, die ein niedrigeres Potential hat, und die negativ geladenen Teilchen werden von der Elektrode angezogen, die ein höheres Potential hat. In solch einem Fall ist es auch möglich, eine Wechselspannung an den zentralen Draht 192 anzulegen. Wenn eine Wechselspannung an den zentralen Draht 192 angelegt wird und die Spule 190 mit Masse verbunden wird, dann werden positiv geladene Festkörperstoffe von dem Draht in Richtung der Spule 90 während der positiven Halbwelle abgestoßen. Während der negativen Halbwelle wird jedoch der negativ geladene Festkörperstoff von dem Draht abgestoßen und von der Spule 190 eingesammelt. In solch einem Fall sollte die Periodendauer der Wechselspannung größer sein als die Reaktionszeit der Teilchen.
- In jedem der oben beschriebenen Fälle kann das elektrische Feld zwischen den zwei Elektroden eine Größe von 50 bis 5000 Volt/cm haben. Das elektrische Feld zwischen den Elektroden hat vorzugsweise eine Größe zwischen 500 Volt/cm bei Gleichspannung bis 1000 Volt/cm bei Wechselspannung. Ob nun Teilchen vom zentralen Draht 192 abgestoßen werden, um sich an der Spule 190 anzusammeln oder umgekehrt, hängt von der Polarität der Teilchen und der an die Spule 190 und den Draht 192 angelegten Ladungen ab.
- Weil dieses Design auf der Spannungspotentialdifferenz, die zwischen der Spule 190 und dem zentralen Draht 192 erzeugt wird, beruht, sollte die Spule 190 an der Innenseite der Röhre 185 positioniert werden, um eine maximale Teichenansammlung zu erreichen, um nicht vom Draht 192 durch das isolierende Material des Rohres getrennt zu werden. Befinden sich die Spule 190 und der zentrale Draht 192 innerhalb des Rohres 185, so kommen beide mit einer Vielzahl von hoch reaktiven Verbindungen, so wie Fluor, in Kontakt. Entsprechend ist es wichtig, daß die Spule 190 und der Draht 192 aus einem geeigneten leitenden Material, so wie Nickel, hergestellt werden, das nicht mit solchen Verbindungen reagiert. Es ist wichtig zu beachten, daß die Spule 190 sowohl ein Spannungspotential, um Teilchen anzuziehen oder abzustoßen, als auch ein Hochfrequenzenergiesignal in diesem Ausführungsbeispiel trägt.
- Fig. 14 (a) ist eine Schnittansicht von einer anderen DPA 40, die mechanische und elektrostatische Sammeleinrichtungen umfaßt. Das Ausführungsbeispiel von Fig. 14 ist ähnlich dem in den Fig. 4 (a)-(c) gezeigten Ausführungsbeispiel, in dem es das Plasma durch Hochfrequenzenergie bildet, die an ein Paar von kapazitivgekoppelten Elektroden angelegt ist. Die Elektroden in Fig. 14(a) sind jedoch eher vom Umfang her zylinderförmige Elektroden 402 und 404 als parallele Plattenelektroden von wesentlichen gleicher Oberfläche, wie dies auch im Ausführungsbeispiel von den Fig. 4(a)-(f) gezeigt ist. Wie in Fig. 14(b) gezeigt ist, ist aufgrund ihrer zylinderförmigen Natur das Verhältnis der Oberfläche von Elektrode 402 zur Elektrode 404 in unterschiedlichen Abschnitten der DPA unterschiedlich. Z. B. ist bei einem Ausführungsbeispiel das Verhältnis der Oberfläche von Elektrode 402 zu 404 ungefähr 3 : 1 beim inneren Durchlaß 405a. Bei diesem Ausführungsbeispiel ist das Verhältnis der Oberfläche von Elektrode 402 zu 404 ungefähr 1,3 : 1 beim äußeren Durchlaß 405b.
- Die Elektroden 402 und 404 definieren einen Gasdurchlaßweg 405, durch den rausgestoßene Abgase von der Verarbeitungskammer 15 strömen. Die Elektrode 402 ist mit Masse verbunden, während die Elektrode 404 mit Hochfrequenz und Gleichspannungsenergie verbunden ist. Die Hochfrequenz und Gleichspannungsenergie werden der Elektrode 404 durch PFD 406 geliefert. PFD 406 ist von der mit Masse verbundenen Elektrode 402 durch einen Teflonisolator 408 isoliert.
- Der Durchlassweg 405 umfaßt eine "U"-förmige Gravitationseinfangfläche 410, welche aufgrund der konzentrischen Natur der Elektroden wie die Bodenhälfte von einem kreisförmigen Flussverstärker geformt ist. Ausströmende Gase strömen vom Einlass 401 in den das Durchlassweg 405 und verlassen diesen durch den Auslass 403.
- Wenn es möglich ist, kann ein Gleichspannungsfilter 412 zwischen der DPA 40 und der Verarbeitungskammer 15 positioniert werden, so dass die Spannung, die an die DPA angelegte wird, beiträgt, die elektrisch geladene Stoffe in dem ausströmenden Gasdom einzufangen und nicht den in der Kammer ablaufenden Prozess der Substratverarbeitung zu stören.
- Fig. 15 zeigt ein Diagramm, das eine elektronische Schaltung darstellt, welche die Elektroden 402 und 404 umfaßt. Wie in Fig. 15 gezeigt ist, ist die Elektrode 404 sowohl mit einem Gleichspannungsgenerator 420 als auch mit einem Hochfrequenzgenerator 422 verbunden, während die Elektrode 402 mit Masse verbunden ist. Der Gleichspannungsgenerator 420 liefert die von den elektrostatischen Sammeleinrichtungen geforderte Gleichspannung und der Hochfrequenzgenerator 422 liefert die Hochfrequenzenergie um ein Plasma zu bilden. Eine Hochfrequenzanpassschaltung 424 passt die Generatorausgangsimpetanz auf 50 Ω an, um die reflektierte Leistung zu minimieren und ein Gleichspannungs/Hochfrequenzfilter (in einem bevorzugten Ausführungsbeispiel ein Widerstand mit einem Milli Ω) 426 isoliert die Gleichspannungsenergieversorgung 420 von der Hochfrequenzenergieversorgung.
- Fig. 16(a) ist eine Schnittansicht von einem anderen Ausführungsbeispiel einer DPA 40, die mechanische und elektrostatische Sammeleinrichtungen umfaßt. Das Ausführungsbeispiel von Fig. 16(a) umfaßt auch gleichmäßig verteilte parallele Elektroden 430 und 432, die ein kapazitiv gekoppeltes Plasma von in die DPA ausgestoßenen Gasen bildet. Die Elektrode 430 ist mit einer Hochfrequenz und Gleichspannungsenergieversorgung ähnlich dem Ausführungsbeispiel von Fig. 14(a) verbunden und die Elektrode 432 ist mit Masse verbunden.
- Jede Elektrode wird aus Blech hergestellt und wird gebogen, um einen Gasdurchlaßweg 435 zu bilden. Von der Kammer 15 ausströmende Gase strömen durch einen Einlaß 434 in den Gasdurchlaß ein und verlassen diesen durch einen Auslaß 436. Der Gasdurchlaßweg 435 umfaßt zwei Gasdurchflußpfad, Pfad 435(a) und Pfad 435(b), die nebeneinander liegen und die durch einen Teil der Elektrode 430 am Anfang getrennt werden. Das Aufteilen des Gasflußweges in zwei getrennte Durchlasswege in dieser Weise erhöht die Oberfläche der Elektroden 430 und 432 innerhalb einer begrenzten Fläche. Die Elektroden 430 und 432 sollten ausreichend dick sein, so dass sie nicht schmelzen und/oder sich unter der, durch die Hochfrequenz Plasmaformierung innerhalb der DPA erzeugten Wärmen verbiegen. In anderen Ausführungsbeispielen können die Elektroden 430 und 432 maschinell aus Aluminium hergestellt werden.
- Fig. 16(b) ist eine perspektivische Ansicht der in Fig. 16(a) dargestellten DPA. In Fig. 16(b) ist die DPA 40 von einem Aluminiumgehäuse 440 ähnlich zu anderen bereits beschriebenen Ausführungsbeispielen der DPA umhüllt. Das Gehäuse 440 umfaßt eine Tür 441, die durch Schrauben 442 an der DPA befestigt ist. Die DPA 40 kann durch Entfernen von dieser Türe gereinigt werden. Auch Hochfrequenz und Gleichspannungsenergie wird an die Elektrode 430 durch eine PFD-Verbindung 438 geliefert.
- Fig. 17(a) ist eine seitliche Schnittansicht von einem anderen Ausführungsbeispiel der DPA 40 und Fig. 17(b) ist eine vordere Hochansicht von dem in Fig. 17(a) dargestellten Ausführungsbeispiel. Das Ausführungsbeispiel von Fig. 17(a) und (b) benutzt Mikrowellenquellen, um ein Plasma zu erzeugen und innerhalb der DPA angesammelte Festkörperstoffe und Ablagerungen zu beseitigen. Während eine Vielzahl von unterschiedlichen Mikrowellenquellen erhältlich sind, wird aus Erwerbs- und Kostengründen ein Paar von alternativ gepulsten Magnetronen 450 (z. B. der Typ von Magnetron, der in einigen Mikrowellenöfen eingesetzt wird) vorzugsweise benutzt. Solche Magnetrone können um zwei oder mehr Größenordnungen im Preis niedriger sein als ein Mikrowellengenerator für eine ungedämpfte Welle oder ein Hochfrequenzgenerator.
- Wie in Fig. 18(a) gezeigt ist, erzeugt jeder Magnetron 450 ein abwechselnd gepulstes (60 Hz) elektrisches Feld (2,45 GHz). Durch ein Verzögern des Pulses von einem Magnetron durch eine Phasendifferenz von 180º in bezug auf das andere Magnetron, wie dies in Fig. 18(b) gezeigt ist, können die zwei Magnetronquellen, wie in Fig. 18(c) gezeigt ist, konstant bei einer Frequenz von 120 Hz gepulst werden. In Fig. 18(c) wird ein erster Zyklus der Wellenform (M1) durch eines der Magnetrone erzeugt und ein zweiter Zyklus (M2) wird durch ein anderes Magnetron erzeugt. Die durch die Magnetrone erzeugte Energie kann eine Ionisationswirksamkeit von bis zu 90% mit einer hohen Plasmadichte erzeugen. Folglich führt so eine Energiequelle zu einer höheren sauberen Wirksamkeit, als kapazitivgekoppelte Elektroden, die typischer Weise eine Ionisationswirksamkeit zwischen 10 bis 20% erzeugen.
- Ein weitere Vorteil der Mikrowellenquellen ist die Reduzierung der Erwärmung durch den Jouleseffekt. Weil weniger Wärme erzeugt wird, können die Elektroden 452 und 454 (Fig. 17(a)), welche einen Gasflußdurchgangsweg 456 definieren, ohne weiteres aus einem relativ dünnen Blech hergestellt werden. Der Gasflußdurchgangsweg 456 beginnt bei einem Einlaß 458 und endet bei einem Auslass 460. Der Durchlaßweg wird aufgeteilt und folgt zwei Pfaden ähnlich dem Gasdurchgangsweg 435 im Ausführungsbeispiel von Fig. 16(a). Auch der Einlaß 458 ist beim Beginn des Gasdurchlaßweges 456 in die DPA 40 erweitert, wie dies durch das Profil 464 in Fig. 17(b) gezeigt wird.
- Die Magnetrons 450 sind auf gegenüberliegenden Seiten der DPA 40 positioniert. Die Mikrowellenenergie wird an den Reaktor durch eine geeignete Wellenführung 462 (Fig. 17(b)) gekoppelt. Die Magnetrons und die Wellenführung sind kombiniert, um Mikrowellen über die Breite des Gasdurchlaßweges 456 zu erzeugen, so dass sich ein Plasma über den ganzen Gasdurchlaßweg bilden kann. Der Abstand zwischen den Blechelektroden kann entsprechend der Wellenlänge der Mikrowelle eingestellt werden, so dass jede Node (Punkt der Nullintensität) des elektrischen Feldes sich an der Elektrodenoberfläche befindet (d. h. der Abstand zwischen den Elektrodenplatten soll ein vielfaches der Hälfte der Periodenlänge von einer Mikrowellenlänge sein). Wegen der Positionierung der Magnetrons 450 und der Wellenführung 462 wird ein Plasma in allen Bereichen des Gasdurchlaßweges 456 gebildet. Eine keramische Tür 466 (Fig. 17(b)) trennt die Magnetrone und die Wellenführung von den Elektroden 452 und 454 und ein äußeres Gehäuse 468 schließt die DPA ein und bildet eine zweite Dichtung.
- Eine (nicht dargestellte) Gleichspannungsenergiequelle ist mit der Elektrode 452 verbunden, um einen elektrostatischen Kollektor bereitzustellen, so wie dies früher während einem Ablagerungs oder einem anderen Substratverarbeitungsschritt beschrieben worden ist. Die Gleichspannungsenergie für die Elektrode 452 wird durch einen nicht dargestellten Schalter ausgeschaltet und die Elektrode wird mit Masse verbunden, jedoch nur, wenn die Magnetrone 450 während eines Reinigungsbetriebes aktiviert werden. Die Verbindung der Elektrode 452 mit Masse während dieser Zeit ist notwendig, um Funkenbildung zu verhindern, die sonst auftreten könnte.
- Fig. 19(a) ist eine seitliche Schnittansicht von einem anderen Ausführungsbeispiel der DPA 40 und Fig. 19(b) ist eine Vorderhochansicht von dem Ausführungsbeispiel, dass in Fig. 19(a) dargestellt ist. Das Ausführungsbeispiel gemäß Fig. 19(a) und (b) ist dem Ausführungsbeispiel gemäß Fig. 17(a) und (b) insofern ähnlich, als ein Magnetron 450 verwendet wird, um das DPA-Plasma zu erzeugen.
- Wie in Fig. 19(a) gezeigt ist, umfaßt jedoch das Ausführungsbeispiel der DPA 40 ein Anfangsmodul 472, dass genau unterhalb von einem Einlaß 474 angebracht ist. Das Modul 472 ist der Plasmaerzeugung während eines Reinigungszyklus gewidmet, so dass ätzende Radikale (z. B. CF&sub2; und freie F&sub4; wenn CF als ein ätzendes Gas verwendet wird) mit erhöhter Ionisierungswirkung erzeugt werden können. So erzeugte Radikale haben eine relative lange Lebensdauer und bleiben aktiv, wenn sie in ein zweites Modul 475 der DPA gepumpt werden, um mit abgelagertem und angesammeltem Material zu reagieren.
- Ein zweites Modul 475 umfaßt einen Gasdurchlaßweg 470, der durch gegenüberliegende Elektroden 476 und 480 definiert wird, die in einem bevorzugtem Ausführungsbeispiel aus Blech konstruiert sind. Der Gasdurchlaßweg 470 ist ähnlich gestaltet dem Gasdurchlaßweg 456 im Ausführungsbeispiel der Fig. 17(a) und (b). Er umfaßt zwei Durchgänge 470(a) und 470(b) und endet am Auslas 478.
- Eine Wellenführung 482 ist zu den Magnetrons 450 gekoppelt. Die Wellenführung und die Magnetrons sind so positioniert, dass die Mikrowellenbildung das Plasma im Modul 472 erzeugt. Eine innere Wand der Anode 476 hindert die Mikrowellen daran, andere Abschnitte des Gasdurchlaßweges 470 außerhalb des Moduls 472 zu erreichen. Die Elektrode 480 ist mit einer Gleichspannungsenergieversorgung (nicht dargestellt) verbunden, um einen elektrostatischen Sammler, ähnlich dem vorher beschriebenen, bereitzustellen. Bei diesem Ausführungsbeispiel muss die Gleichspannungsenergie für die Elektrode 480 nicht während der Reinigungszyklen abgeschaltet werden. Weil kein Plasma im zweiten Modul 475 erzeugt wird, gibt es kein Verätzungsproblem.
- In einem anderen Experiment zur Demonstration der Wirksamkeit der vorliegenden Erfindung, wurde ein zweiter Prototyp der DPA 40 an eine Präzision 5000 Kammer, die für 20,3 cm (8 inch) Wellen ausgerüstet ist, angefügt. Der zweite Prototyp der DPA war ähnlich zu der in Fig. 11 gezeigten DPA 40 bis auf die Ausgestaltung des unteren Flansches, der benutzt wurde, um die DPA mit der Abfallleitung zu verbinden. Eine Schnittansicht von diesem zweiten Prototyp der DPA und eines unteren Flansches ist in Fig. 20 gezeigt. Wie in Fig. 20 dargestellt, leitete ein niedriger Flansch 200 die ausgestoßenen Gase, die durch die DPA fließen bei einem Winkel von ungefähr 90% in die Abfallleitung zurück. Der Flansch war auch mit einem Quarzfenster ausgestattet, das sich gegenüber der Abgasleitungsverbindung befindet, so daß sich das Abscheidungsmaterial, das sich auf dem Boden Abschnitt 204 des Flansches aufbaut, beobachten läßt. Wie erwähnt, hatte diese Ausgestaltung des unteren Flansches im Prototyp der DPA den Vorteil, Festkörperstoffe in der Fläche 204 auf eine Art und Weise einzufangen, die ähnlich aber nicht so effektiv ist, wie der "U"förmige Durchlaß oder die mechanische Auffangkonstruktionen in den Ausführungsbeispielen der DPA 40, die in den Fig. 4(a)-(f), 12 und 13(a) dargestellt sind.
- Die zweite Prototypeinrichtung umfaßt ein Quarzrohr 206 mit einer Spule 208, die aus 0,95 cm (3/8 inch) Kupferrohr, dass um die Außenseite des Quarzrohres gewickelt wurde, hergestellt wurde. Die Gesamtlänge der Spule 208 betrug ungefähr 8,25 cm (25 Fuß) und eine 13, 56 MHz Energieversorgung wurde bei verschiedenen Energiewellen betrieben, wie in der Beschreibung der Experimente unten erklärt wird. Das Quarzrohr 206 und die Spule 208 wurden innerhalb eines Aluminiumcontainer 210 abgedichtet. Die Gesamtlänge der Ablagerung war ungefähr 35,6 cm (14 inch) und die Breite der Ablagerung ungefähr 11,4 cm (4,5 inch).
- Die Wirksamkeit des zweiten Prototyps der DPA's wurde in drei getrennten Experimenten untersucht. Bei jedem Experiment wurden 100 Wafers in einer Silizium Nitridabscheidungs/CF&sub4; Flourreinigungs Betriebsreihenfolge bearbeitet in einer Präzision 5000 Kammer, mit einem zweiten Prototyp der DPA's, der sich zwischen der Vakuumanschlußleitung und der Abgasleitung befand. Der zweite Prototyp der DPA's wurde während der Abscheidungssequenz eines jeden Experiments abgeschaltet und während der Flourreinigungssequenz eingeschaltet und durch eine 13,56 Hochfrequenzenergieversorgung betrieben. Solange der zweite Prototyp der DPA's während des Abscheidungsprozesses ausgeschaltet war, sammelten sich die Teilchen entlang des Innenraums der Röhre 206 an, wie in Fig. 20 an den Flächen 212 gezeigt wird. Diese Teilchen wurden dann von dem Rohr 206 entfernt, wenn die DPA während der Reinigungsfrequenz aktiviert wurde. Die Bedingungen für jedes der drei Experimente sind in Tabelle 2 zusammengefasst. Tabelle 2
- Im ersten Experiment war die Flourreinigungsequenz 135 Sekunden lang und die DPA wurde mit einer Leistung von 200 Watt betrieben. CF&sub4; wurde in die Verarbeitungskammer bei einer Rate von 1500 sccm eingeführt und mit N&sub2;O vermischt, das bei einer Rate von 500 sccm (ein Verhältnis von 3 : 1) in die Kammer eingeführt wurde. Nach 100 Ablagerungs/Reinigungssequenzen wurde die DPA begutachtet und für frei von allen Ablagerungen und Abscheidungen befunden. In dem ringförmigen Flansch am Boden der DPA's, hatte sich eine kleine Menge von aufgebauten Ablagerungen angesammelt. Die atomare Konzentration von dieser aufgebauten Ablagerungen wurde gemessen und ist in Tabelle 3 zusammengefasst. Der größte Teil des Silizium in der Ablagerung war in Form von Siliziumoxid enthalten und ungefähr die eine Hälfte des Stickstoffs war in einem Silizium Nitridfilm und die andere Hälfte in der Form von Ammoniak enthalten.
- Beim zweiten Experiment wurde die Flourreinigungssequenz auf 120 Sekunden verkürzt und die Betriebsspannung der DPA wurde auf 500 Watt erhöht. CF&sub4; wurde in die Verarbeitung bei einer Rate von 2000 sccm eingeführt und mit N&sub2;O vermischt, das in die Kammer bei einer Rate von 500 sccm (einem Verhältnis von 4 : 1) eingeführt wurde. Nach 100 Abscheidungs/Einigungssequenzen, wurde die DPA bewertet und für frei von allen Abscheidungen und Ablagerungen befunden. Eine kleine Menge von Ablagerungen hatte sich in dem ringförmigen Flansch angesammelt. Bei der Sichtprüfung konnte jedoch bereits beurteilt werden, dass die Menge der aufgebauten Ablagerungen ungefähr 80% weniger war als die Menge des aufgebauten Materials beim ersten Experiment.
- Die atomare Konzentration dieser aufgebauten Ablagerungen wurde gemessen und ist auch in Tabelle 3 unten zusammengefasst. Wie in der Tabelle klar erkennbar ist, enthielten die Ablagerungen von diesem Experiment eine deutlich höhere Konzentration von Fluor, als die Ablagerungen vom ersten Experiment. Die Ablagerungen bei denen sich Fluor konzentrierte, stellt mehr Fluorverbindungen für das Plasma zur Verfügung und macht es folglich einfacher, die Ablagerung während weiterer DPA Aktivierung zu reinigen. Es sollte auch beachtet werden, dass die überwältigende Mehrheit von Silizium in den Ablagerungen von diesem Experiment in der Form von Siliziumoxid enthalten war und die überwältigende Mehrheit von Stickstoff in der Form von Ammoniak vorhanden war.
- Das dritte Experiment bewies, dass die Ablagerungen vollständig von sowohl der DPA als auch dem ringförmigen Flansch vollständig eliminiert werden können, wo Ablagerungen sich während dem ersten und den zweiten Experiment vorwiegend ansammelten. In diesen dritten Experiment, war die Fluorreinigungsequenz 120 Sekunden lang und die Betriebsspannung der DPA lag bei 500 Watt. Die Rate bei der CF&sub4; in die Verarbeitungskammer eingeführt wurde, wurde auf 2500 sccm erhöht und mit N&sub2;O vermischt, das bei einer Rate von 500 sccm (einem Verhältnis von 5 : 1) eingeführt wurde. Nach 100 Abscheidungs/Einigungssequenzen wurde die DPA und der ringförmige Flansch bewertet und beide wurden als frei von allen Ablagerungen und Abscheidungen befunden.
- Die Ergebnisse von diesen Experimenten zur Darstellung der Ablagerungen und der Zusammensetzung von Ablagerungen ist in Tabelle 3 unten zusammengefasst. Tabelle 3
- Einige Ausführungsbeispiel der vorliegende Erfindung sind entworfen und optimiert zur Reduzierung von PFC Gasen, die von irgendeinem Prozeß emittiert werden, der solche Gase emittiert, dafür. Eine so konfigurierte DPA kann als PFC Reduktionsreaktor (im folgenden "PR²") bezeichnet werden. Zur Erleichterung wird eine DPA 40, die als PR² konfiguriert und optimiert ist, im verbleibenden Teil der Anmeldung als PR²240 bezeichnet. Es ist selbstverständlich, dass die PR²240 ebenso mit einer Kammer verbunden werden kann wie die DPA 40 (siehe Fig. 3).
- Eine Siliziumoxidfilm Abscheidungs/Reinigungssequenz wird als Vorgangsbeispiel benutzt, für dass die vorliegende Erfindung zur Reduzierung von PFC Emissionen eingesetzt werden kann. Es ist jedoch selbstverständlich, dass die vorliegende Erfindung nicht darauf beschränkt ist, PFC Emissionen in der folgenden exemplarischen Prozeßsequenz zu reduzieren, sondern auf jeden Prozeß anwendbar ist, der ein PFC Gas in die Kammer 15 einführt und ebenfalls auf jeden Prozeß anwendbar ist, wo ein PFC Gas ein Nebenprodukt der Verarbeitungsoperation ist, die in der Kammer 15 durchgeführt wird. Zusätzlich kann die vorliegende Erfindung benutzt werden, um Emissionen von anderen Substanzen sowie Fluorkohlenwasserstoffen (HFCs) oder ähnlichen Gasen zu reduzieren.
- In der beispielhaften Abscheidungs/Einigungsprozeßfolge wird ein Siliziumoxidfilm über einem Substrat von einem Prozessgas, dass Silane (SiH&sub4;) und Natriumoxid (N&sub2;O) Vorgase enthält, abgeschieden. Nachdem die Abscheidung vollständig durchgeführt worden ist, wird das Substrat aus der Kammer entfernt und es wird eine Kammerreinigungsoperation durchgeführt, um eine unerwünschte Siliziumoxidablagerung von den Kammerwänden zu ätzen und zu entfernen. Die Reinigungsoperation besteht aus einem wirksamen Plasma aus CF&sub4; und N&sub2;O.
- Wie oben beschrieben ist, reagiert nur ein kleiner Teil des während der Reinigungsoperation in die Kammer eingeführten CF&sub4; tatsächlich mit dem auf den Kammerwänden abgelagerten Material. Das verbleibende unreagierte CF&sub4; wird aus der Kammer durch die Abgasleitung ausgestoßen zusammen mit den anderen gasförmigen Komponenten, Produkten und Nebenprodukten der Reaktion.
- In diesen Beispiel bildet eine PR² der vorliegenden Erfindung ein Plasma aus dem ausgestoßenen CF4. Die Bestandteile des Plasmas reagieren mit einer Quelle aus Silizium, sowie festem Siliziumoxid innerhalb der PR², um das CF&sub4; Gas in weniger gefährliche gasförmige Produkte und Nebenprodukte zu konvertieren, die nicht die potentiell schädigende Wirkung von PFCs haben. Einige der Reaktionen, die innerhalb der PR² stattfinden, sind im Folgenden aufgelistet:
- CFx + SiO&sub2; → SiFx + CO&sub2;
- CF&sub4; + O&sub2; → CO&sub2; + 2F&sub2;
- 2CF&sub4; + O&sub2; → 2COF&sub2; + 2F&sub2;
- C + SiO&sub2; → CO + SiO
- SiO + F&sub2; → SiOF&sub2;
- Natürlich sind die exakten Reaktionen und Reaktionseinfolgen viel komplizierter, mit elementaren Reaktionen wie dem Elektronenabsonderungseinfluß von Verbindungen und der stattfindenden Wiederherstellung von Gasphasenradikalen, Keines der oben aufgelisteten Produkte oder Nebenprodukte, von den bekannt ist, dass sie von der PR² ausgestoßen werden, sind PFCs. In der Tat ist jedes der oben aufgelisteten Produkte und Nebenprodukte wasserlöslich. Folglich sind alle ausströmenden Gase, die von der PR² der vorliegenden Erfindung ausgestoßen werden PFC-freie Gase, wenn die PFC Umsetzungsreaktion zur 100% wirksam ist.
- Während die oben bestehende Beschreibung nur für exemplarischen Zwecken dient, wenn PFC Gase oder andere als CF4 Gase in die PR² der vorliegenden Erfindung eingeführt werden und Plasma gebildet wird, reagiert das Plasma von diesen PFC Gasen auch mit der Siliziumoxidquelle, um gasförmige Produkte, die keine PFCs sind und harmloser als PFCs sind, zu erzeugen.
- Während des Prozesses, wenn PFC Gase von der Vakuum-Kammer 15 in die Vakuumumleitung 31 ausgestoßen werden, fließen sie durch die PR² 40. Innerhalb der PR² 40 werden die ausgestoßenen Gase einem elektrischen Feld unterworfen, das ein Plasma erzeugt und/oder aufrechte hält. Die Bestandteile des Plasma reagieren mit einem PFC oxidierenden Mittel wie einer Silizium und/oder Sauerstoffverbindung innerhalb der PR² 40, um die ausströmenden PFCs in weniger gefährliche gasförmige Produkte und Nebenprodukte zu verwandeln, die keine PFCs sind und die durch die Abfallleitung abgepumpt werden können. Im allgemeinen wird die Plasmabildung nur während der Reinigungsoperation von einer Absonderungs/Reinigungssequenz durchgeführt (die PR² 40 ist aktiviert), da während dieser Periode ausströmende PFC Gase im Abgasstrom vorhanden sind. Folglich wird während der Abscheidungssequenz allgemein kein Plasma innerhalb der PR² 40 erzeugt. Wenn jedoch PFC Gase während einem Absonderungs- oder einem anderen Verarbeitungsschritt von einem bestimmten Prozess abgegeben werden (z. B. einem Ätzschritt, indem ein Fluorgas mit einem kohlenstoffenthaltenden Fotolack reagiert, um ein CF&sub4; Nebenprodukt zu bilden) kann die PR²240 aktiviert werden, um die PFC Emissionen während dieser Zeit zu reduzieren.
- Das Silizium und der Sauerstoff innerhalb der PR²240, mit dem das PFC Plasma reagiert, kann aus einer Vielzahl von unterschiedlichen Quellen kommen. In einigen Ausführungsbeispielen der vorliegenden Erfindung enthält ein speziell dafür entwickelter Filter eine feste Form einer Siliziumoxidverbindung wie Sand oder Quarz für die Plasmareaktion. Der Siliziumfilter ist innerhalb der Fläche der PR²240 plaziert, wo das Plasma gebildet wird. In dem Ausführungsbeispiel der vorliegenden Erfindung, werden Ablagerungen, die von einer Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, Siliziumcarbid oder ähnlichen Ablagerungsphasen ausgestoßen werden von einem Ablagerungs/Reinigungsprozess Reihenfolge innerhalb der PR²240 eingefangen und gesammelt, auf eine Weise, die ähnlich der ist, die in Bezug auf die DPA 40 beschrieben worden ist. Typische Ablagerungsprodukte, die während solchen Abscheidungsprozessen eingefangen werden können, umfassen SiO&sub2;, SiN, SiON, SiC und ähnliche Verbindungen. Natürlich hängt die tatsächlich eingesammelte Ablagerung von dem, während der Abscheidung oder anderer Prozeßschritte eingeführten Gas ab. Die angesammelten Ablagerungen wirken als eine Siliziumquelle für die Plasmareaktion. Das Einfangen von Teilchen wird unter Verwendung von mechanischen und/oder elektrostatischen Sammel mechanismen in Verbindung mit thermophoretischen Kräften, wie oben in Verbindung mit Fig. 7 beschrieben, durchgeführt, und wie dies auch weiter unten detaillierter mit Bezug auf die verschiedenen Ausführungsbeispiele der vorliegenden Erfindung beschrieben ist. Einmal eingefangen, bleiben die Siliziumablagerung oder andere Festkörperstoffe in der PR²240 bis sie mit aktiven Verbindungen in dem PFC Plasma reagieren, um gasförmige Nebenprodukte zu bilden, die dann durch die Vakuumumleitung 31 abgepumpt werden. In noch einem anderen Ausführungsbeispiel der vorliegenden Erfindung werden silizium- und/oder sauerstoffenthaltende Gase in die PR²240 eingeführt, um speziell die Zersetzung der ausströmenden PFC Gase zu verbessern. Die Einführung von diesen silizium und/oder sauerstoffenthaltenden Gasen kann zusätzlich oder parallel zum Gebrauch von einem Siliziumfilter durchgeführt werden.
- Das im Inneren der PR²240 erzeugte elektrische Feld zur Erzeugung des Plasmas kann unter Verwendung einer Vielzahl von bekannten Verfahren erzeugt werden, wie zum Beispiel dem Anlegen von Hochfrequenzenergie (in einigen bevorzugten Ausführungsbeispielen wird Hochfrequenzenergie (< 350 KHz) anstatt RF Energie um die Größe der Anlagen und die Betriebskosten zu minimieren) an kapazitiv verkuppelte Elektroden, an ein hohlen Katodenreaktor oder an induktiv verkuppelte Spulen, Mikrowelleneinrichtungen oder ECR Techniken. Die PFC Umsetzung ist direkt mit der Dichte des erzeugen Plasmas verbunden, jedoch sind Einrichtungen, die ein Plasma mit einer hohen Dichte erzeugen wie eine induktive Spule oder ein hohler Katodenreaktor in einigen Ausführungsbeispielen bevorzugt. Die PFC Umsetzung ist direkt proportional zu der Energie, mit der das Plasma erzeugt wird und ist umgekehrt proportional zu der Zeit, die das PFC Gases in der PR² Einrichtung verbleibt. Folglich wird die tatsächliche Energieabgabe der Versorgung unter anderen von Faktoren wie der Anwendung, für die die PR² benutzt wird, von der Dichte des Plasmas, von dem Volumen des zu verarbeitenden PFC Gases in der PR²240 und von der Zeit, die die PFC Gase in der PR² verbringen, abhängen. Idealerweise erzeugt die PR²240 ein Plasma, das ausreicht, um tatsächlich alle PFC Gase, welche durch die PR² fließen, in andere Gase zu konvertieren.
- Die PR²240 sollte auch so entworfen werden, dass sein Gebrauch während des laufenden Prozesses transparent ist. D. h., wenn die PR²240 während einer Reinigungssequenz betrieben wird, dann sollte sie so gestaltet werden, dass sie im Wesentlichen alle PFC Gase von dem ausgestoßenen Abgasstrom während der Reinigungssequenz in nicht-PFC Gase konvertiert, ohne die Dauer der Reinigungssequenz zu verlängern. In solch einem Fall hat die PR²240 keinen nachteiligen Einfluß auf die Durchflußrate der Wafer.
- Hochfrequenzenergie kann von der Hochfrequenzenergieversorgung 25 abgeleitet werden, oder kann durch eine getrennte Hochfrequenzenergieversorgung zur Verfügung gestellt werden, die nur die PR²240 betreibt. In den meisten Ausführungsbeispiel, Mikrowellen ECR's sind eine bemerkenswerte Ausnahme, es ist deshalb vorzuziehen niederfrequente Hochfrequenzenergie zu benutzen, um die PR²240 zu betreiben. Der Gebrauch von niederfrequenter Hochfrequenzenergieversorgung, die Hochfrequenzenergie bei einer Frequenz von ungefähr 50 KHz bis 2 MHz liefert, ist bedeutend kostengünstiger im Betrieb als höhere HF Frequenzen wie 13,56 MHz. Vorausgesetzt es sind mehrere Verarbeitungskammer in einem Reinraum vorhanden, können die vielen, mit den Kammern verbundenen PR²'s alle durch eine getrennte eigene PR² Hochfrequenzenergieversorgung betrieben werden, die mit einer geeigneten Zahl von Hochfequenzenergieverteilern verbunden ist.
- Die Länge und die Größe der PR²240 kann variieren. In machen Anwendungen kann die PR²240 nur 4 bis 6 inch lang oder noch kürzer sein, während in anderen Anwendung die PR²240 die Länge der gesamten Vakuumleitung 31 (4 bis 5 Fuß oder länger) einnimmt und folglich die Leitung ersetzt. Allgemein gilt, dass die Verweildauer der einzelnen Moleküle ansteigt mit einem Anstieg der Länge und des Volumens der PR². Das Design der PR² muss zwischen den räumlichen Vorgaben und der Wirksamkeit beim Einsammeln der Ablagerungen abwägen. Kürzere oder weniger voluminöse PR²'s, die angepasste Mechanismen zum Einfangen von Teilchen oder Filter umfassen, können jedoch in der Lage sein, tatsächlich alle PFC Gase, die von der Verarbeitungskammer ausgestossen werden, in weniger gefährliche Gase zu konvertieren, was die Länge und das Volumen zu weniger bedeutenden Faktoren macht.
- Einen Vielzahl von unterschiedlichen Ausführungsbeispielen der Vorrichtung der vorliegenden Erfindung können konstruiert werden. Einige solche Ausführungsbeispiele sind unten für exemplarische Zwecke dargestellt. Es sollte in keinem so aufgefasst werden, dass phasen ausgestoßen werden von einem Ablagerungs/Reinigungsprozess Reihenfolge innerhalb der PR²240 eingefangen und gesammelt, auf eine Weise, die ähnlich der ist, die in Bezug auf die DPA 40 beschrieben worden ist. Typische Ablagerungsprodukte, die während solchen Abscheidungsprozessen eingefangen werden können, umfassen SiO&sub2;, SiN, SiON, SiC und ähnliche Verbindungen. Natürlich hängt die tatsächlich eingesammelte Ablagerung von dem, während der Abscheidung oder anderer Prozeßschritte eingeführten Gas ab. Die angesammelten Ablagerungen wirken als eine Siliziumquelle für die Plasmareaktion. Das Einfangen von Teilchen wird unter Verwendung von mechanischen und/oder elektrostatischen Sammel mechanismen in Verbindung mit thermophoretischen Kräften, wie oben in Verbindung mit Fig. 7 beschrieben, durchgeführt, und wie dies auch weiter unten detaillierter mit Bezug auf die verschiedenen Ausführungsbeispiele der vorliegenden Erfindung beschrieben ist. Einmal eingefangen, bleiben die Siliziumablagerung oder andere Festkörperstoffe in der PR²240 bis sie mit aktiven Verbindungen in dem PFC Plasma reagieren, um gasförmige Nebenprodukte zu bilden, die dann durch die Vakuumumleitung 31 abgepumpt werden. In noch einem anderen Ausführungsbeispiel der vorliegenden Erfindung werden silizium- und/oder sauerstoffenthaltende Gase in die PR²240 eingeführt, um speziell die Zersetzung der ausströmenden PFC Gase zu verbessern. Die Einführung von diesen silizium und/oder sauerstoffenthaltenden Gasen kann zusätzlich oder parallel zum Gebrauch von einem Siliziumfilter durchgeführt werden.
- Das im Inneren der PR²240 erzeugte elektrische Feld zur Erzeugung des Plasmas kann unter Verwendung einer Vielzahl von bekannten Verfahren erzeugt werden, wie zum Beispiel dem Anlegen von Hochfrequenzenergie (in einigen bevorzugten Ausführungsbeispielen wird Hochfrequenzenergie (< 350 KHz) anstatt RF Energie um die Größe der Anlagen und die Betriebskosten zu minimieren) an kapazitiv verkuppelte Elektroden, an ein hohlen Katodenreaktor oder an induktiv verkuppelte Spulen, Mikrowelleneinrichtungen oder ECR Techniken. Die PFC Umsetzung ist direkt mit der Dichte des erzeugen Plasmas verbunden, jedoch sind Einrichtungen, die ein Plasma mit einer hohen Dichte erzeugen wie eine induktive Spule oder ein hohler Katodenreaktor in einigen Ausführungsbeispielen bevorzugt. Die PFC Umsetzung ist direkt proportional zu der Energie, mit der das Plasma erzeugt wird und ist umgekehrt proportional zu der Zeit, die das PFC Gases in der PR² Einrichtung verbleibt. Folglich wird die tatsächliche Energieabgabe der Versorgung unter anderen von Faktoren wie der Anwendung, für die die PR² benutzt wird, von der Dichte des Plasmas, von dem Volumen des zu verarbeitenden PFC Gases in der PR²240 und von der Zeit, die die PFC Gase in der PR² verbringen, abhängen. Idealerweise erzeugt die PR²240 ein Plasma, das ausreicht, um tatsächlich alle PFC Gase, welche durch die PR² fließen, in andere Gase zu konvertieren.
- Die PR²240 sollte auch so entworfen werden, dass sein Gebrauch während des laufenden Prozesses transparent ist. D. h., wenn die PR²240 während einer Reinigungssequenz betrieben wird, dann sollte sie so gestaltet werden, dass sie im Wesentlichen alle PFC Gase von dem ausgestoßenen Abgasstrom während der Reinigungssequenz in nicht-PFC Gase konvertiert, ohne die Dauer der Reinigungssequenz zu verlängern. In solch einem Fall hat die PR²240 keinen nachteiligen Einfluß auf die Durchflußrate der Wafer.
- Hochfrequenzenergie kann von der Hochfrequenzenergieversorgung 25 abgeleitet werden, oder kann durch eine getrennte Hochfrequenzenergieversorgung zur Verfügung gestellt werden, die nur die PR²240 betreibt. In den meisten Ausführungsbeispiel, Mikrowellen ECR's sind eine bemerkenswerte Ausnahme, es ist deshalb vorzuziehen niederfrequente Hochfrequenzenergie zu benutzen, um die PR²240 zu betreiben. Der Gebrauch von niederfrequenter Hochfrequenzenergieversorgung, die Hochfrequenzenergie bei einer Frequenz von ungefähr 50 KHz bis 2 MHz liefert, ist bedeutend kostengünstiger im Betrieb als höhere HF Frequenzen wie 13,56 MHz. Vorausgesetzt es sind mehrere Verarbeitungskammer in einem Reinraum vorhanden, können die vielen, mit den Kammern verbundenen PR²'s alle durch eine getrennte eigene PR² Hochfrequenzenergieversorgung betrieben werden, die mit einer geeigneten Zahl von Hochfrequenzenergieverteilern verbunden ist.
- Die Länge und die Größe der PR²240 kann variieren. In machen Anwendungen kann die PR²240 nur 4 bis 6 inch lang oder noch kürzer sein, während in anderen Anwendung die PR²240 die Länge der gesamten Vakuumleitung 31 (4 bis 5 Fuß oder länger) einnimmt und folglich die Leitung ersetzt. Allgemein gilt, dass die Verweildauer der einzelnen Moleküle ansteigt mit einem Anstieg der Länge und des Volumens der PR². Das Design der PR² muss zwischen den räumlichen Vorgaben und der Wirksamkeit beim Einsammeln der Ablagerungen abwägen. Kürzere oder weniger voluminöse PR²'s, die angepasste Mechanismen zum Einfangen von Teilchen oder Filter umfassen, können jedoch in der Lage sein, tatsächlich alle PFC Gase, die von der Verarbeitungskammer ausgestossen werden, in weniger gefährliche Gase zu konvertieren, was die Länge und das Volumen zu weniger bedeutenden Faktoren macht.
- Einen Vielzahl von unterschiedlichen Ausführungsbeispielen der Vorrichtung der vorliegenden Erfindung können konstruiert werden. Einige solche Ausführungsbeispiele sind unten für exemplarische Zwecke dargestellt. Es sollte in keinem so aufgefasst werden, dass die vorliegende Erfindung auf diese spezifischen Ausführungsbeispiele begrenzt ist.
- Fig. 21 ist eine Schnittansicht von einem ersten Ausführungsbeispiel der PR² 240. In Fig. 21 umfaßt die PR²240 ein Rohr 250, durch das Abgase von der Verarbeitungskammer 15 fließen, wenn sie durch die PR²240 fließen. Das Rohr 250 ist ein zylinderförmiges Rohr, das aus isolierendem Material wie Keramik, Glas oder Quarz, herstellt ist. In einem bevorzugtem Ausführungsbeispiel ist das Rohr 250 aus einem keramischen Material hergestellt, dass nicht mit ätzenden Gasen wie Fluor reagiert, die in den Reinigungsschritten benutzt werden. Auch hat das Rohr 250 annähernd den gleichen Innendurchmesser wie die Vakuumleitung 31. In anderen Ausführungsbeispielen muss das Rohr 250 nicht unbedingt eine zylindrische Form haben und kann statt dessen eine ringförmigen, plane, eliptische oder ähnlich geformte innere Oberfläche haben. In diesen und anderen Ausführungsbeispielen kann der Innendurchmesser des Rohres 250 auch größer oder kleiner sein als der Innendurchmesser der Vakuumleitung 231.
- Ein Filter 251 befinden sich im Rohr 250. Der Filter 251 ist ein poräser Filter, der eine Quelle von Festkörper-Silizium enthält, die in der Lage ist mit PFC Gasen unter Plasmabedingungen zu reagieren, um diese Gase in nicht-PFC Gase zu konvertieren. Der Filter 251 kann ein handelsübliches Teil sein, das in das Rohr 250 eingesetzt wird und das ersetzt wird, wenn die Siliziumverbindung aufgebraucht ist. Die Siliziumquelle innerhalb des Filter 251 kann irgendeine sein aus einer Anzahl von Quellen mit Silizium enthaltenden Materialien. Vorzugsweise ist die Siliziumquelle ein Siliziumoxidmaterial wie Sand oder Glas, Quarz, Flint oder Onyx. Vorzugsweise ist der Filter groß genug, um nicht die Pumpengeschwindigkeit oder die Leitfähigkeit der Abgasleitung zu beeinträchtigen.
- Die Benutzung von Siliziumoxidmaterial stellt sowohl Silizium als auch Sauerstoff zur Verfügung, mit denen das PFC Plasma reagieren kann. In einem bevorzugtem Ausführungsbeispiel wird zermahlener Quarz als die Siliziumquelle benutzt. Das Zermahlen des Quarzes vergrößert die gesamte Oberfläche, so daß mehr Silizium für die Reaktion zur Verfügung steht. Zudem wirkt das zermahlene Quarz als mechanischer Filter für Festkörperablagerungen, die während des Ablagerungsprozesses erzeugt werden, und kann folglich das zusätzliche Siliziummaterial für die Reaktion einfangen, wenn das Material von der Kammer 15, die im Betrieb solche Siliziumablagerungen erzeugt, ausgestoßen wird.
- Eine Spule 252 ist um die Außenseite des Rohres 250 gewickelt und am Punkt 256 mit einer Hochfrequenzenergieversorgung und am Punkt 257 mit einem Massepotential verbunden. Die durch das Rohr 250 fließenden PFC Abgase werden durch das Anlegen einer Spannung von der Hochfrequenzenergieversorgung an die Spule 252 in einen Plasmazustand versetzt. Im Plasmazustand reagieren die Bestandteil des ausgestoßenen Materials mit den festen Siliziumoxid Reaktionsstoffen im Filter 251, um gasförmige Produkte zu bilden, die keine PFC's sind, welche dann durch das Pumpensystem 32, wie oben beschrieben, aus der PR²240 und der Vakuumleitung 31 abgepumpt werden.
- Eine Gasversorgungsleitung 253 kann zusätzliche Gase liefern, welche eine Quelle für Sauerstoff und/oder Silizium sind, um die PFC Umsetzungsreaktion zu verbessern. Gase, die beispielsweise benutzt werden können sind O&sub2;, O&sub3;, N&sub2;O, SiH&sub4;, oder dergleichen. Natürlich können auch flüssige Quellen wie Tetraethylorthosilicate (TEOS) auch verdampft und durch die Leitung 53 eingeführt werden. Die Rate. mit der das zusätzlich die Reaktion verbessernde Gas in die PR² 40 eingeführt wird, wird durch den Prozessor 34 festgelegt, der ein Ventil 255 steuert. Der Prozessor 34 ist kommunikativ durch eine nicht dargestellte Steuerleitung mit dem Ventil 255 verkoppelt.
- Die Spule 252 ist eine induktive Spule wie eine spiralförmige Resonatorspule. Solche Spulen sind für den Fachmann wohlbekannt und können entsprechend den Kriterien entworfen werden, die in einer Vielzahl von bekannten Büchern ausgeführt werden, wie z. B. bei Michael A. Lieberman und Allan J. Lichtenberg, "Principles of Plasma Discharges and Materials Processing," Seiten 404-410, John Wiley & Sons (1994),. Die spiralförmige Resonatorspule kann aus einem hochleitenden Metalltyp wie Kupfer, Nickel oder Gold oder ähnlich leitenden Materialien hergestellt werden. Um die Spule in eine ordentliche Resonanz zu bringen, ist es wichtig, dass die Spulenlänge ungefähr oder etwas länger als ¹/&sub4; der Wellenlänge des angelegten Hochfrequenzsignales ist. Eine Spule von dieser Länge erzeugt ein stärkeres und intensiveres Spannungsfeld, daß die Zersetzung der PFC Gase weiter verbessert. Die Spule 252 sollte vielmehr auf der Innenseite des Rohres 250 entlang gewunden sein als auf der Außenseite des Rohres.
- Ein äußerer Behälter 254 umgibt das Rohr 250. Der Container 254 dient mindestens zwei Aufgaben. Erstens wirkt er als Faradayscher Käfig und schirmt die CVD- Arbeitsvorrichtung 10 und andere Einrichtung von der Strahlung ab, die durch die Spule 252 erzeugt wird. Zweitens, wenn das keramische Rohr 250 einbricht oder einreißt, oder wenn die Vakuumdichtung im Rohr 250 auf eine andere Art und Weise beschädigt ist, dann funktioniert der Container 254 als zweite Dichtung, welche die ausgestoßenen Gase daran hindert zu entweichen. Der Behälter 254 kann aus einer Vielzahl von Metallen wie z. B. Aluminium oder Stahl oder anderen Verbindungen hergestellt werden und ist vorzugsweise aufgrund des abschirmenden Effektes mit Masse verbunden. Obere und untere Flansche 259 und 258 verbinden die PR²240 mit der Vakuumumleitung 24 und der Vakuumleitung 31, während sie eine Vakuumdichtung aufrecht erhalten.
- Standard-Hochfrequenzenergieversorgungen sind für eine Ausgangsimpedanz von 50 Ohm entworfen. Demgemäß sollte der Kontaktpunkt der Hochfrequenzenergieversorgung zur Spule 252 (Punkt 256) so gewählt werden, daß die Spule 252 eine Impedanz von 50 Ohm hat. Wenn die Energieversorgung ein anderes Impedanzniveau erfordert, sollte Punkt 256 entsprechend anders gewählt werden.
- Die Spule 252 wird von der Hochfrequenzenergieversorgung bei einem Leistungswert von 50 Watt oder mehr betrieben. Die tatsächlich durch die Spule 252 erzeugte Spannung hängt von einer Vielzahl von Faktoren ab, wie u. a. der durch die Hochfrequenzenergieversorgung verbrauchten Energie, der Länge und dem Raum, der durch die Windungen der Spule 252 beansprucht wird, dem Widerstand der Spule. Weil die Spannung gleichmäßig entlang der Spule verteilt ist, kann die Bestimmung des Spannungswertes für die gesamte Spule durchgeführt werden durch die Bestimmung des Wertes zwischen den Punkten, an denen die Spulen mit Masse und mit der Hochfrequenzenergieversorgung (Punkte 255 und 256) verbunden ist. Wenn z. B. eine bestimmte Spule viermal solange ist wie der Teil der Spule zwischen den Punkten 255 und 256, dann wird die gesamte Spannung der Spule viermal so groß sein, wie der Spannungwert zwischen den Punkten 255 und 256.
- Die Spule, der Leistungswert und die angelegte HF Frequenz sollten so ausgewählt werden, sodass ein starkes, intensives Plasma innerhalb des Rohres 250 erzeugt wird, aber auch so, dass die Spannung, die durch die Spule 252 erzeugt wird, nicht einen Wert übersteigt, bei dem der Strom von der Spule auf den Behälter 254 überspringt. Es ist möglich, ein isolierendes Material zwischen den Behälter 254 und die Spule 252 zu geben, wenn ein Funkenübersprung ein Problem für eine besondere PR² ist. Für die Einfachheit des Designs ist es jedoch vorzuziehen, dass der Raum zwischen den Behälter 254 und der Spule 252 mit Luft ausgefüllt ist.
- Fig. 22 ist eine Schnittansicht von einem zweiten Ausführungsbeispiel der PR² 240. Das in Fig. 22 dargestellte Ausführungsbeispiel der PR²240 umfaßt viele der selben Elemente des Ausführungsbeispiels, dass in Fig. 21 dargestellt ist. Entsprechend sind das Gründen der Bequemlichkeit in Fig. 22 und in den verbleibenden Figur dieser Anwendung gleiche Referenzzahlen benutz um auf gleiche Elemente sich zu beziehen. Auch aus Grund der Bequemlichkeit sind nur neue Elemente der Fig. 22 und der anderen Figuren unten in größere Detail beschrieben, wenn dies geeignet ist.
- In Fig. 22 werden ein Mikrowellengenerator 260 und eine Wellenführung 262 benutz um ein hochdichtes Plasma aus ausströmenden PFC Gasen zu erzeugen, die in die PR²240 einfließen. Magnete 264 sind um das Äußere des Rohres 250 angeordnet wie in Elektron- Zyklotron-Resonanz (ECR) Einrichtungen, um den gasförmigen Molekülen innerhalb des Rohres 250 weiter Energie zuzuführen und die Bildung des Plasmas zu verbessern. Bestandteile des Plasmas reagieren mit dem Silizium-Oxid-Material im Filter 251, um PFC-Gase in nicht PFC-Gase zu konvertieren. Wie bei dem oben beschriebenen Ausführungsbeispiel können zusätzliche Gase von der Gasleitung 253 in den PR²240 hinzugefügt werden, um den Umsetzungsprozeß zu verbessern.
- Obwohl nicht dargestellt, ist das Ausführungsbeispiel des PR²240, das in Fig. 22 dargestellt ist, vorzugsweise in einem äußeren Gehäuse wie dem Behälter 254 untergebracht. Das äußere Gehäuse sollte eine zweite Dichtung bilden, so daß PFC oder andere Gase, die durch das Rohr 250 fließen, im Falle eines Lecks oder eines anderen Defektes in Rohr 250 nicht aus dem PR²240 entweichen können.
- Fig. 23 ist eine Schnittansicht von einem dritten Ausführungsbeispiel des PR²240. In Fig. 23 befindet sich eine spiralförmige Resonatorspule 266 innerhalb eines zylindrischen Metallrohres 268, um ein Ausführungsbeispiel eines hohlen Katodenreaktors mit einer spiralförmigen Spule des PR²240 zu bilden. Die Spule 266 ist an eine HF- oder eine RF- Energiequelle 269 gekoppelt, während das Rohr 268 mit Masse verbunden ist. Die übrige Struktur von diesem Ausführungsbeispiel von PR²240 ist in Fig. 23 nicht dargestellt. Solch eine Struktur umfaßt beispielsweise die Gasleitung 253, das Ventil 255, die Flansche 258 und 259, den Behälter 254, etc. und ist ähnlich der in Fig. 17 gezeigten Struktur des PR²240.
- Wenn HF oder RF-Energie an die Spule 266 angelegt wird, dann wird ein induktiv gekoppeltes Plasma in der Spule von der an die Spule angelegten Hochfrequenzenergie erzeugt und ein kapazitiv gekoppeltes Plasma wird zwischen der Spule und dem Rohr 268 erzeugt. Weil die Spule 266 und das Rohr 268 den hochreaktiven Fluorverbindungen des Plasma unterworfen sind, soll sie aus einem geeigneten leitenden Material hergestellt werden wie Nickel, das nicht mit solchen Verbindungen reagiert. Ein nicht dargestellter Siliziumfilter kann innerhalb und/oder die Spule 266 umgebend angebracht werden, um Silizium und Sauerstoffmaterial für das PFC-Plasma zur Verfügung zu stellen, das damit reagiert. Zusätzlich können Silizium und/oder Sauerstoff enthaltende Gase dem Plasma von der Gasleitung 253 zugeführt werden.
- Fig. 24 ist eine Schnittdarstellung von einem vierten, mehr bevorzugten Ausführungsbeispiel des PR²240. In Fig. 24 wird ein zylindrischer Gasdurchlassweg von zylindrisch geformten Anoden 272, Katoden 274 und Isolationsbarrieren 275 gebildet. Die Katoden 274 sind mit einer HF- oder RF-Energieversorgung 269 verbunden, während die Anoden 272 mit Masse verbunden sind. Die Isolationsbarrieren 272 isolieren die Anoden 272 von den Katoden 274. Diese abwechselnde Elektroden/Katoden-Konfiguration erzeugt einen mehrstufigen hohlen Katodenreaktor, in dem ein hochdichtes Plasma (in der Größenordnung von 10¹² Ionen pro cm³) erzeugt werden kann. Jede Stufe (Anoden/Katoden-Paar) des Reaktors erzeugt ein Plasma mit hoher Dichte innerhalb dem Durchlassweg 270 in einen Bereich in der Nähe der Katode, wie dies durch die Regionen 276 dargestellt wird.
- Um sowohl die Betriebsbedingungen der hohlen Katoden und die hohe Dichte des Plasmas aufrechtzuerhalten, als auch die Verweildauer der Moleküle in diesem Ausführungsbeispiel des PR²240, zu erhöhen, kann der Druck in dem Durchlassweg 270 durch ein eigenes nicht dargestelltes Drosselventil gesteuert werden, das in der Abfallleitung gleich nach dem PR²240 eingebaut ist. Der kontrollierte Druck kann sich bewegen von 13,3 Pa bis 66,7 Pa (100 bis 500 millitorr) (Basisdruck in der Abfallleitung) bis zum Druck innerhalb der Verarbeitungskammer von 533 Pa bis 2.666 Pa (4-20 torr) im Fall eines PECVD-Prozesses und bis zu 93.310 Pa (700 torr) oder mehr bei SAVCD- oder APCVD-Prozessen mit dem aktuell eingestellten Druck, um die PFC-Umsetzung zu maximieren.
- Gleichspannungsenergie kann in anderen Ausführungsbeispielen des mehrstufigen, hohlen Katodenreaktorentwurfs eher als HF oder RF-Energie den Katoden 274 zugeführt werden. In dem bevorzugten Ausführungsbeispiel wird jedoch HF oder RF-Energie zugeführt, weil der gerichtete Gleichspannungsstrom von einer Gleichspannungsenergiequelle die Elektroden verätzen kann. wenn eine HF oder RF-Versorgung genutzt wird, wird dieser material- abtragende Ätzeffekt entweder deutlich vermindert oder ist überhaupt nicht vorhanden. HF- Energie werden in den meisten bevorzugten Ausführungsbeispielen benutzt, um Anlagen- und Betriebskosten zu reduzieren.
- Wie in der Ausgestaltung des hohlen Katodenreaktors in Fig. 23 können ein nicht dargestellter Siliziumfilter oder Silizium und/oder Sauerstoff enthaltende Gase, die von der Gasleitung 253 zugeführt werden, genutzt werden, um den PFC-Umsetzungsprozeß zweckgemäß zu verbessern. Auch die Struktur wie die Gasleitung 253, das Ventil 255, die Flansche 258 und 259, der Behälter 254, etc., ähnlich der in PR²240 in Fig. 17 gezeigten, ist in Fig. 20 nicht dargestellt.
- Wenn der Ablagerungs-, Ätz- oder ein anderer Prozeß in der Kammer 15 stattfindet, bevor eine PFC-Reinigungssequenz Silizium enthaltende Ablagerungen produziert, können bestimmte Ausführungsbeispiele der Vorrichtung der vorliegenden Erfindung die Ablagerungen zum Gebrauch einer Siliziumquelle einfangen und sammeln. Folglich benutzen diese Ausführungsbeispiele einen speziellen Siliziumfilter, erfordern ihn aber nicht.
- Beispiele von Abscheidungsprozessen, die Siliziumablagerungen erzeugen, umfassen TEOS, Silan-Silizium-Oxid-Abscheidungsprozesse und Silan-Siliziumnitrid-Abscheidungsprozesse, sind aber nicht auf diese begrenzt. In solchen Prozessen beinhalten ausgestossene Siliziumablagerungen, die für PFC-Umsetzungsreaktionen eingefangen werden können, SiO&sub2;, SiN, SiON, SiC, amorphe Silizium- und ähnliche Verbindungen. Natürlich hängen die tatsächlich angesammelten Ablagerungen von den in die Abscheidungs-, Ätz- oder anderen verwendeten Prozessen eingeführten Gasen ab.
- Die ausgestossene Ablagerung von diesen Abscheidungs- oder anderen Prozessen ist generell die gleiche Ablagerung, die an den Wänden gesammelt wird und folglich evtl. von diesen gereinigt wird. Entsprechend resultiert die Umsetzung der PFC-Gase zu nicht-PFC- Gasen in diesen Ausführungsbeispielen aus den Reaktionen, die identisch sind zu den Reaktionen, die in der Kammer während der Reinigungsoperation stattfindet.
- Fig. 25 ist eine Schnittansicht von einem fünften Ausführungsbeispiel des PR²240. Das in Fig. 25 dargestellte Ausführungsbeispiel des PR²240 ist ähnlich dem Ausführungsbeispiel, das in Fig. 21 dargestellt ist, außer das die Siliziumquelle, mit der die Bestandteile des PFC- plasma reagieren, eine Silizium enthaltende Ablagerung ist, die durch einen elektrostatischen Kollektor eingefangen ist, der einander gegenüberliegende Elektroden 280 und 282 anstatt einer festen Siliziumverbindung innerhalb eines Filtereinsatzes enthält. Die Silizium enthaltende Ablagerung wird während einem Ablagerungs- oder einem andern Prozessschritt durch ein Spannungpotential eingefangen und gesammelt, das von einer Gleichspannungsenergiequelle 284 zwischen die Elektroden 280 und 282 angelegt wird. Das angelegte Spannungspotential lädt die Elektrode 282 im Vergleich zur Elektrode 280 positiv auf (oder umgekehrt). Wenn Ablagerungsteilchen sich durch den PR²240 bewegen, dann werden positiv geladene Teilchen von der negativ geladenen Elektrode 280 angezogen und sammeln sich dort an und negativ geladene Teilchen werden von der positiv geladenen Elektrode 282 angezogen und sammeln sich dort an. Abhängig vom verwendeten Typ des Abscheidungsprozesses und der Länge des Prozesses können einige Millimeter oder mehr von Silizium enthaltenden Ablagerungen auf den Elektroden 280 und 282 aufgebaut werden.
- Nachdem die Abscheidungssequenz abgeschlossen ist, und die Reinigungssequenz gestartet ist, wird ein Plasma aus PFC-Gasen, die in die PR²240 ausgestossen wurden, auf dieselbe Art und Weise erzeugt, wie dies in Fig. 21 beschrieben ist. Die Bestandteile des Plasmas reagieren mit den Siliziumablagerungen, die sich auf den Elektroden 280 und 282 angesammelt haben, um nicht-PFC-Produkte und Nebenprodukte zu bilden. Das Spannungspotential zwischen den Elektroden 280 und 282 kann während der Reinigungssequenz aufrecht erhalten werden, um sicherzustellen, daß Teichen, die sich entlang der Elektroden angesammelt haben, dort bleiben, bis sie mit dem PFC-Plasma reagieren. Das Spannungspotential wird allerdings vorzugsweise während der Reinigungssequenz abgeschaltet, wenn Reinigungs- oder andere Gase, die für einen bestimmten Prozess benutzt wurden, die Elektroden ätzen. Die Elektroden 280 und 282, die im Inneren des Rohres 250 untergebracht sind, kommen mit einer Vielzahl von hochreaktiven Verbindungen wie Fluor in Kontakt. Entsprechend ist es wichtig, daß die Elektroden 280 und 282 aus einem geeigneten, leitfähigen Material wie Nickel hergestellt sind, das nicht mit solchen Verbindungen reagiert.
- Eine Vielzahl von verschiedenen elektrostatischen Sammelvorrichtungen kann in diesem und anderen Ausführungsbeispielen der vorliegenden Erfindung benutzt werden. Z. B. kann eine negative Gleich- oder Wechselspannung an die Elektrode 282 gelegt werden anstatt einer positiven Gleichspannung. In noch anderen Ausführungsbeispielen sind beide Elektroden 280 und 282 mit Spannungsquellen verbunden, die eine positive oder negative Spannung von der Elektrode 280 relativ zu der Elektrode 282 erzeugt. Die vorliegenden Erfindung ist nicht auf irgendeine elektrostatische Sammeleinrichtung beschränkt.
- Fig. 26 ist eine Schnittansicht von einem sechsten Ausführungsbeispiel der PR²240. In Fig. 26 sind Elektroden von entgegengesetzter Polarität (Elektroden 286 und 288) abwechselnd innerhalb des zylindrischen Volumen des Rohres 250 angebracht, um eine elektrostatische Einsammeleinrichtung wie oben beschrieben zu bilden. Silizium und Sauerstoff enthaltende Ablagerungen oder ähnliche Stoffe werden auf der Oberfläche der Elektroden 286 und 288 während einer Ablagerungssequenz gesammelt.
- Wie in dem in Fig. 22 dargestellten Ausführungsbeispiel des PR²240, bildet das Ausführungsbeispiel von Fig. 26 durch Einsatz einer Mikrowellenleistung von einem Mikrowellengenerator und einer Wellenführung 262 ein Plasma mit hoher Dichte von PFC-Gasen, die durch das Gerät schiessen. Die Magnete 264 sind um die Aussenfläche des Rohres 250 wie in einer Elektron-Zyklotron-Resonanz (ECR)-Einrichtung angeordnet, um den gasförmigen Molekülen innerhalb des Rohres 250 weiter Energie zuzuführen und somit die Plasmabildung zu verbessern. Die Bestandteile des Plasmas reagieren mit Silizium und/oder Oxidablagerungen, das sich auf den Elektroden 286 und 288 angesammelt hat, um die PFC-Gase in nicht- PFC-Gase zu konvertieren. Zusätzliche Gase können von der nicht dargestellten Gasleitung 253 der PR²240 hinzugefügt werden, um den Umsetzungsprozess zu verbessern.
- Nicht dargestellt in dem Ausführungsbeispiel gem. Fig. 26 des PR²240 ist ein Behälter 254 oder ein ähnlicher Gehäusemechanismus, der eine zweite Dichtung bildet, so daß PFC- oder andere Gase, die durch das Rohr 250 schiessen, nicht im Falle eines Lecks oder eines anderen Defektes im Rohr aus dem PR²240 entweichen können.
- Fig. 27 ist eine Schnittansicht von einem siebten Ausführungsbeispiel des PR²240. Das in Fig. 27 dargestellte Ausführungsbeispiel des PR²240 umfaßt ein erstes inneres keramisches Rohr 290 und ein zweites äußeres keramisches Rohr 292. Das Ende des Rohres 290 befindet sich innerhalb dem zylindrischen Rohr 292, so daß Gas durch den PR²240, wie durch die Pfeile 293 gezeigt ist, fließt.
- Eine spiralförmige Resonatorspule 294 ist um die Außenseite des Rohres 292 gewickelt und mit einer Hochfrequenzenergieversorgung 269 verbunden, wie in Bezug auf das Ausführungsbeispiel von Fig. 21 beschrieben. Die Spule 294 könnte auch entlang der Innenseite des Rohres 292 oder um die Außenseite oder entlang der Innenseite des Rohres 290 gewickelt werden.
- Eine äußere Hülle 297, ähnlich dem oben erwähnten Behälter 254, schließt sowohl das innere als auch das äußere Rohr 290 und 292 ein. Das äußere Rohr 292 kann befestigt werden durch Verbindungen mit dem inneren Rohr 292 oder der äußeren Hülle 297. Auf jeden Fall ist es wichtig, dass eine Befestigungsstruktur für das äußere Rohr 292 dem ausströmenden Gasstrom ermöglicht durch die PR²240 zu fließen. Dazu kann die Befestigungsstruktur eine Fläche aus keramischem Material zwischen den Rohren 290 und 292 sein mit einer Vielzahl von durchgehenden Löchern, die aus nur drei oder vier dünnen Verbindungen oder Fingern besteht, die sich zwischen den Rohren 290 und 292 erstrecken, oder sie kann auf zahlreiche andere Weisen gefertigt werden. Eine Struktur, die aus durchgehenden Löcher besteht, kann helfen die Siliziumablagerungen oder andere Festkörperstoffe innerhalb einer Sammelfläche 295, die unten beschrieben wird, einzufangen und zu sammeln. Wie dem Fachmann bekannt, sollte die Struktur so entworfen werden, dass die Löcher groß genug sind, um nicht die Flußrate der durch die PR²240 gepumpten Gase zu reduzieren.
- Das Design dieses Ausführungsbeispiels der PR²240 verbessert das Einfangen und Einsammeln der Siliziumablagerungen oder andere Festkörperstoffe, die während einem Ablagerungsschritt ausgestoßen werden. Die Ausgestaltung umfaßt die Sammelfläche 295 des Rohres 292, die als eine mechanischen Sammeleinrichtung wirkt, die Ablagerungen und Teichen im ausgestoßenen Gasstrom einsammelt und hält. Die Ablagerungen und Teichen werden in der Sammeleinrichtung gehalten und stehen deshalb zur Verfügung, um mit den Bestandteil des PFC Plasmas, das während der Reinigungssequenz erzeugt wurde, zu reagieren.
- Der Betrieb des Abschnitts der mechanischen Sammeleinrichtung von diesem Ausführungsbeispiel der PR²240 beruht teilweise auf den Gravitationskräften, die so wirken, dass die Festkörperstoffe innerhalb der Sammeleinrichtung gehalten werden ungeachtet dem ausströmenden Gasflusspfad, der versucht die Teilchen durch die PR²-Einrichtung in die Vakuumleitung zu ziehen. Folglich hängt teilweise die Wirksamkeit der PR²240 davon ab, wie die Teichen am Verlassen des Rohres 292 gehindert werden, bis sie in das gasförmige Produkt reagiert sind. Dazu ist es wichtig, dass die PR²240 so positioniert wird, dass die Sammelfläche 295 stromabwärts von dem Einlass zu der PR² ist und dass die Länge des äußeren Rohres 292 ausreichend ist, um diese Sammeleinrichtung in Verbindung mit den Gravitationskräften zu bilden.
- Das Vergrößern der Querschnittfläche des Gasdurchlassweges entlang einer Ebene AA innerhalb der PR²240 hilft außerdem, die Festkörperstoffe einzufangen. Die Flussrate für einen ausströmenden Gasstrom ist in jedem gegebenen Ablagerungsprozess allgemein konstant. Folglich verringert die Vergrößerung der Querschnittfläche von einem oder mehreren der Durchlasswege die Geschwindigkeit der Teichen im Gasstrom, was entsprechend die neutrale Zugkraft auf die Teichen reduziert. Ein gegebenes Teilchens wird durch die Gravitationskräfte innerhalb der Gravitationseinfangeinrichtung der PR²240 eingefangen, wenn die Gravitationskräfte auf das Teilchen die neutrale Zukraft überwinden.
- Um weiter die Wirksamkeit der mechanischen Sammeleinrichtung zu verbessern, kann ein elektrostatischer Sammler 272, der die Elektroden 296 und 298 umfaßt, die mit der Gleichspannungsenergieversorgung 294 verbunden sind, eingesetzt werden wie im Bezug auf Fig. 25 diskutiert.
- Fig. 28(a) ist eine seitliche perspektivische Schnittansicht von einem Gasdurchlassmodul 310, das in anderen bevorzugten Ausführungsbeispielen der PFC Reduzierungsvorrichtung der vorliegende Erfindung benutzt wird. In Fig. 28(a) definiert ein Paar von einander gegenüberliegenden Elektroden 320 und 322 einen Gasdurchlaßweg (Flußkanal), durch den von der Hochverarbeitungskammer 15 ausgestoßene Gase fließen. Das Modul 310 umfaßt sowohl elektrostatische als auch mechanische Sammelmechanismen, um sicherzustellen, dass alle Festkörperstoffe, die von der Kammer 15 ausgestoßen werden, innerhalb des Moduls eingefangen und eingesammelt werden.
- Die elektrostatische Sammeleinrichtung wird durch das Anlegen von einer Gleichspannung an eine der Elektroden, wie dies im Bezug auf die Fig. 25 beschrieben wurde, gebildet. Auf diese Art und Weise werden positivgeladene Teilchen an eine Elektrode und negativgeladen Teilchen an der anderen Elektroden gesammelt.
- Die mechanische Sammeleinrichtung sammelt desweiteren Siliziumteilchen und Ablagerungen, in dem es teilweise Gravitationskräfte benutzt, um Teilchen in den Sammelflächen 324 zu sammeln. Jede Sammelfläche 324 umfaßt ein "U"-fdrmiges Segment des Gasdurchlassweges, das so angebracht ist, dass Teilchen in der Bodenfläche des Segmentes gesammelt und gehalten werden, ungeachtet des ausströmenden Gasflusspfades, der versucht die Teilchen durch die PR²-Einrichtung in die Vakuumleitung zu ziehen. Natürlich kann das Modul 310 von oben nach unten gedreht werden, so dass sich die Sammelflächen 324 auf der gegenüberliegenden Seite des Moduls befinden.
- Während der Reinigungssequenz wird Hochfrequenzenergie an eine der Elektroden angelegt, um ein kapazitiv gekoppeltes Plasma von PFC Gasen zu erzeugen, die durch das Modul fließen. Vorzugsweise sind die Elektroden 320 und 322 so entworfen, dass sie im Wesentlichen gleich große Oberflächen haben. Solch ein Design erlaubt es, ein einheitliches Plasma über die ganze Fläche/den Weg, der durch die Elektroden definiert wird, zu zersetzen. Wie bei den oben beschriebenen Ausführungsbeispielen auch, reagieren die Bestandteile des Plasmas mit den angesammelten Siliziumteilchen und Ablagerungen, um die PFC Gase in nicht-PFC Gase zu konvertieren.
- Die Kombination aus elektrostatischem Sammler und mechanischer Sammeleinrichtung bietet einen besonders wirksamen Mechanismus, um die Siliziumablagerungen, die von der Kammer 15 ausgestoßen werden, einzufangen. Tatsächlich bietet eine solche Kombination eine Sammelwirksamkeit von fast 100% und hat den zusätzlichen Vorteil, die Ablagerung von Ablagerungen in der Vakuumleitung 331 zu entfernen oder zu verhindern. Wie oben in Bezug auf Fig. 6 und auf die DPA 40 beschrieben, ist der mechanische Sammelabschnitt besonders wirksam beim Einfangen von relativ großen Teilchen, die in dem ausströmenden Gasstrom vorhanden sind, weil diese Teilchen viel wahrscheinlicher durch die Gravitationskräfte in der Sammelkammer 314 gehalten werden. Die elektrostatische Sammeleinrichtung ist andererseits besonders wirksam beim Einsammeln und Einfangen kleinerer Teilchen im ausströmenden Gasstrom, die sonst nicht durch nur die mechanische Sammeleinrichtung eingesammelt werden. Wie bereits früher beschrieben, können auch thermophoretische Kräfte eingesetzt werden, in Form eines Temperaturgradienten zwischen den Elektroden, um Teilchen einzufangen.
- Das in Fig. 28(a) dargestellte Modul kann als ein Teil von einer Vielzahl von anderen Ausführungsbeispieles der PR² 340 eingesetzt werden. Ein Beispiel eines solchen Ausführungsbeispiel ist in Fig. 28(b) dargestellt, das eine seitliche perspektivische Schnittansicht von einem Ausführungsbeispiel der PFC reduzierenden Vorrichtung der vorliegenden Erfindung darstellt, die einen Teil des Designs des Gasdurchlassmoduls von Fig. 28(a) benutzt, der auf einem anderen ähnlichen Abschnitt des Moduls aufgesetzt ist. Natürlich sind andere Entwürfe mit dem in Fig. 28(a) gezeigten Modul oder ähnlichen Modulen möglich. Zum Beispiel können drei, vier oder mehr Module in Reihe geschaltet werden, um einen relativ langen Gasdurchlassweg zu erzeugen mit einer vergrößerten Oberfläche zum Einsammeln von Teilchen. Es können auch drei, vier oder mehr Module aufeinander aufgebaut werden und in einer Art und Weise, ähnlich dem Ausführungsbeispiel in Fig. 28(b), verbunden werden. Das Modul 310 kann auch mit einem Filterelement ausgestattet werden, das eine zusätzliche Siliziumquelle enthält, mit denen die Bestandteile des PFC Plasmas reagieren können. Die Möglichkeiten für andere Designvariationen, basierend auf dem Modul 310, sind nahezu endlos.
- In Fig. 28(b) strömt ausgestoßenes Gas von der Kammer 15 in die PR² 340 durch den Einlass 330 ein und verläßt ihn durch den Auslass 332. Ein Teiler 334 stellt sicher, dass das Gas durch den labyrinthartigen Durchlassweg fließt, der durch die Elektroden 320 und 322 definiert ist, entsprechend den Pfeilen 323. Wenn die PR² 340 vertikal orientiert ist steht der Einlass 330 entlang der Achse AA nach oben und größere Teichen, die durch den Durchlassweg ausgestoßen werden, neigen dazu, sich unter dem Einfluß der Gravitationskräfte in der Sammelfläche 324 anzusammeln. Wohingegen, wenn die PR² 340 vertikal orientiert ist, der Einlass 340 entlang der Achse BB nach oben zeigt und größere Teichen, die durch den Durchlassweg ausgestoßen werden, dazu neigen, sich in der Sammelfläche 325 anzusammeln.
- Der Gleichspannungsgenerator 338 liefert eine positive Gleichspannung an die Elektrode 322 während sowohl der Abscheidung- als auch der Reinigungssequenz, während die Elektrode 320 mit Masse verbunden ist. Folglich neigen negativ geladene Teilchen dazu, sich auf der Oberfläche der Elektrode 322 anzusammeln und positiv geladene Teilchen neigen dazu, sich auf der Oberfläche der Elektrode 320 anzusammeln.
- Wie in den anderen Ausführungsbeispielen, liefert ein Hochfrequenzgenerator 336 Hochfrequenzenergie an die Elektrode 322 während der Reinigungssequenz, um ein Plasma von dem ausströmenden PFC Gasstrom in dem Durchlassweg zwischen den Elektroden 320 und 322 zu bilden. Das Plasma reagiert mit Silizium, dass sich in den Sammelflächen 324 oder 325 und entlang der Elektroden 320 und 322 angesammelt hat, um die PFC Gase in nicht-PFC gasförmige Produkte und Nebenprodukte zu konvertieren. Ein Gleichspannungs/Hochfrequenzfilter 340 hindert die Hochfequenzenergieversorgung daran, mit dem Gleichspannungsgenerator 338 zu intervenieren. Gleispannungs- und Hochfrequenzenergie kann eher an die Elektrode 320 als an die Elektrode 322 angelegt werden; aus Sicherheits- und Strahlungsgründen wird jedoch bevorzugt, dass die Elektrode 320 mit Masse verbunden ist.
- Um die Wirksamkeit der vorliegenden Erfindung zu demonstrieren, wurden Experimente durchgeführt, bei denen ein Prototyp der PR² 340 mit einer Präzision-5000-Kammer verbunden war, die für 8 inch Wafer ausgestattet war. Die Präzision-5000-Kammer wird von Applied Materials, dem Anmelder der vorliegenden Erfindung, hergestellt.
- In den Experimenten war der Prototyp der PR² ähnlich dem Prototyp der PR²340 von Fig. 21 ausgenommen, dass der Filter 351 nicht innerhalb dem keramischen Rohr 350 untergebracht war und eine zusätzliche Gasversorgungsleitung 353 nicht existierte. Die gesamte Länge der PR² war ungefähr 63,5 cm (25 inches) und der Durchmesser des Rohres 350 war ungefähr 3,8 cm (1,5 inches). Die PR² war unmittelbar nach dem Drosselventil, stromabwärts von der Kammer, mit der Präzision-5000-Kammer verbunden.
- Die Experimente analysierten ausströmende Gase, die von einer CF&sub4; und N&sub2;O Reinigungssequenz in drei verschiedenen Schritten emittiert wurden. Die ausströmende Gase wurden während jedem Schritt unter Benutzung eines MKS 300 Reihenrestgasanalysators (RGA), der von der MKS Corporation hergestellt wurde, überwacht. Die Messungen wurden direkt vor der Vakuumpumpe, ungefähr 6,6 m (20 Fuß) stromabwärts von der Kammer, durchgeführt. Folglich wurden nur stabile Verbindungen von dem RGA festgestellt. Weil eine Massenspektrumanalyse ziemlich kompliziert ist, wurde ein Abscheidungsschritt nicht vor der Reinigungssequenz durchgeführt.
- Die Experimentbedingungen waren wie folgt: Der Druck in der Kammer wurde auf 266,6 Pa (2 torr) eingestellt und gehalten, was zu einem korrespondierten Druck von 66,7 Pa (0,5 torr) in der PR² führte. CF&sub4; und N&sub2;O wurden jeweils bei einer Rate von jeweils 500 sccm in die Kammer eingeführt. Das in der Kammer gebildete Plasma wurde bei einer Frequenz von 13,56 MHz von einer Hochfrequenzenergieversorgung bei 1000 Watt (RF1) betrieben, während das Plasma, das in der PR² erzeugt wurde, bei einer Frequenz von 13,56 MHz von einer Hochfrequenzenergieversorgung bei 900 Watt (RF2) angetrieben wurde.
- Beim ersten Schritt des Experimentes wurden Reinigungsgase in die Kammer eingeführt und es wurde den Gasen ermöglicht, durch die Kammer und die PR² zu fließen, ohne dass ein Plasma erzeugt wurde. In einem zweiten Schritt wurde ein Plasma innerhalb der Präzision 5000 Kammer, aber nicht innerhalb der PR², erzeugt. Im dritten Schritt wurde das Plasma sowohl in der Kammer als auch im PR² erzeugt. Die Ergebnisse von diesen Experimenten sind in Fig. 29b) dargestellt. Der erste Schritt half, das vom Prozess imitierte Spektrum zu bestimmen und bildete die Basis für die relative Analyse der CF&sub4; Emissionen.
- Fig. 29(a) zeigt das Massenspektrum, dass behalten wurde, wenn ein Plasma von den Reinigungsgasen sowohl in der Kammer als auch in der PR² gebildet wurde. Es ist wichtig festzuhalten, dass das RGA Gerät die Gase durch deren Ionisierung feststellt. Folglich stellt die Bestimmung von CF&sub3;&spplus;, CF&sub2;&spplus; und CF&spplus; Ionen ausströmendes CF&sub4; Gas da. In Fig. 29(a) wurden Spitzen (gekennzeichnet durch Klammern) entsprechend C&spplus; (12), N&spplus; (14), O&spplus; (16), F&spplus; (19), CF&spplus; (31), O&sub2;&spplus; (32), F&sub2;&spplus; (38), N&sub2;O&spplus; (44), CF&sub2;&spplus; (50) und CF&sub3;&spplus; (69) erkannt. Jede Spitze entspricht einem Zersetzungsprodukt der anfänglich gasförmigen Reaktionsstoffe CF&sub4; und N&sub2;O. Die CO&spplus; (28), CO&sub2;&spplus; (44), COF&spplus; (47), COF&sub2;&spplus; (66) und COF&sub3;&spplus; (85) entsprechenden Spitzen entsprechen den Nebenprodukten der Reaktion, die in der Kammer und der PR² stattfindet. Eine mögliche falsche Interpretation kann passieren infolge der Überlappung von CO&sub2;&spplus; und N&sub2;O&spplus; (Kurve 44). Durch das Aufnehmen der alleinigen Spektren von CF&sub4; und N&sub2;O und dem Aufnehmen der Reaktion ohne Plasma und wenn die RF1 und RF2 eingeschaltet sind, waren wir jedoch in der Lage zu bestimmen, dass die Spitze bei Kurve 44 90% CO&sub2;&spplus; und 10% N&sub2;O&spplus; darstellt.
- Qualitativ wird der Rückgang des CF&sub4; beobachtet. wenn die Reaktion auf die CF&sub3; (69), CF&sub2;&spplus; (50), CF&spplus; (31) Spitzen geringer wird. Ein weiterer Beweis für die Zersetzung wird beobachtet, wenn die Reaktion auf die Spitzen entsprechend dem N&sub2;O kleiner wird. Die Reaktion auf die gasförmigen Nebenprodukte der Reaktion CO&spplus; (28), CO&sub2;&spplus; (44), COF&spplus; (47), COF&sub2;&spplus; (66) und COF&sub3;&spplus; (85) steigt proportional zum Rückgang des CF&sub4; an.
- Fig. 29(b) zeigt die Entwicklungsspitzen von spezifischen Gasen, die durch die RGA während jedem der drei Schritte des Experimentes gemessenen wurden. Im besonderen zeigt Fig. 12(b) die Spitzen der Reaktionen von 44 (N&sub2;O&spplus;), 69 (CF&sub3;&spplus;) und 28 (CO&spplus;). Die ersten 80 Sekunden, die in Fig. 29(b) dargestellt sind, zeigen die Reaktionsspitzen der Gase, wenn kein Plasma in der Kammer oder in der PR² gebildet wird. Während der nächsten 80 Sekunden wird ein Plasma nur innerhalb der Kammer gebildet und schließlich wird ein Plasma in der Kammer und in der PR² während der 160ten bis zur 240ten Sekunde gebildet.
- Wie in Fig. 29(b) ersichtlich ist, sinkt die Menge der emittierten CF&sub4; und N&sub2;O Gase und die Menge von CO (das Hauptnebenprodukt des CF&sub4; Umsetzungsprozesses) emittierten Gasen steigt, wenn sich ein Plasma innerhalb der Kammer befindet. Die Aktivierung der PR²40 (und folglich das Erzeugen eines Plasmas innerhalb der PR²40) reduziert weiter die CF&sub4; Gasemissionen und führt zu einem totalen Rückgang von CF&sub4; von ungefähr 30%.
- In einem anderen Experiment, von dem die Ergebnisse nicht dargestellt sind, wurde ein totaler Rückgang von ungefähr 50% durch Erhöhen des Drucks innerhalb der PR² auf ungefähr 266,6 Pa(2 torr) erreicht. Folglich zeigen diese vorläufige Experimente, dass die Vorrichtung der vorliegende Erfindung erfolgreich bei dem Rückgang von PFC ist. Ein weiterer Rückgang kann erzielt werden, durch Einbeziehen von einem oder von mehreren zusätzlichen PR² Merkmalen, die innerhalb dieser Anmeldung diskutiert wurden. Auch weil CF&sub4; allgemein als eines der am schwierigsten zu konvertierenden PFC Gasen bekannt ist, sollten die Ergebnisse weiterer Experimente auch bessere Ergebnisse zur Umsetzung der meisten anderen PFC Gase erzeugen.
- Nachdem einige Ausführungsbeispiele der vorliegenden Erfindung vollständig beschrieben worden sind, werden dem Fachmann viele andere gleichwertige oder alternative Einrichtungen für und Methoden zur Beseitigung von Festkörperstoffen von einer Vakuumleitung entsprechend der vorliegenden Erfindung offensichtlich sein. Auch viele andere gleichwertige oder alternative Einrichtungen und Verfahren zur Reduzierung von PFC Emissionen von einer Verarbeitungskammer entsprechend der vorliegende Erfindung werden dem Fachmann offensichtlich sein. Obwohl die vorliegende Erfindung in einigen Details durch Abbildungen und Beispiele für ein leichteres Verständnis beschrieben worden ist, ist es offensichtlich, dass bestimmte Veränderungen und Modifikationen durchgeführt werden können. Z. B. während in einem Ausführungsbeispiel eine mechanische Teilcheneinfangeinrichtung der vorliegende Erfindung beschrieben wurde in Bezug auf einen inneren Durchlassweg, der von einem äußeren Durchlassweg umgeben ist, könnte solch eine Sammeleinrichtung mit einem ersten Durchlassweg aufgebaut werden, der nicht perimetrisch in einem zweiten Durchlassweg enthalten ist, sondern sich statt dessen von oder über dem ersten Durchlassweg hinweg ausbreitet. In einem anderen Beispiel können die Gasdurchlasswege, die in jedem der Ausführungsbeispiele offengelegt sind, so entworfen werden, dass sie labyrinthartig geformt sind (und folglich Gravitations-Einfangeinrichtungen umfassen) ähnlich den Durchlasswegen, die in den Fig. 28(a) und 28(b) oder anders gezeigt und diskutiert wurden. Die Ausführungsbeispiele der Sammeleinrichtung für die Siliziumteilchen können ein eigenes Filterelement umfassen, dass mit Quarz oder anderen siliziumhaltigen Verbindungen befüllt ist, um die PFC Zerlegung zu verbessern, wenn die Menge der an den Elektroden angesammelten Siliziumablagerungen unzureichend ist. Auch Ausführungsbeispiele ohne einen Siliziumfilter sind möglich. In diesen Ausführungsbeispielen werden Gase wie SiH&sub4; oder O&sub2; für die PFC Umsetzungsreaktion in die PR²340 durch eine Gasversorgungsleitung wie die Leitung 253 eingeführt. Zusätzlich können Magnetrone, wie jene in den Ausführungsbeispielen von der DPA 40, gezeigt in den Fig. 17(a) und (a) und 19(a) und (b), benutzt werden, um das Plasma in verschiedenen Ausführungsbeispielen der PR² 40 zu bilden. Diese Äquivalente und Alternativen mit den offensichtlich erkennbaren Veränderungen und Modifikationen sollen im Bereich der vorliegenden Erfindung enthalten sein.
Claims (17)
1. Vorrichtung zur Reduzierung von Perfluorverbindungen enthaltenden Abgasen aus
einer Verarbeitungskammer, wobei die Vorrichtung umfaßt:
eine Behälterkammer (254), die ein Strömungsmittelleitung (250) mit einer
Einlaßmündung und einer Auslassmündung definiert;
ein die Perfluorverbindungen oxidierendes Mittel (251) in der Strömungsmittelleitung;
ein Teilchenabscheider, der erste und zweite Elektroden (256, 257) und eine operativ
mit den Elektroden gekoppelte Einrichtung (284) aufweist, um eine Spannung zwischen den
Elektroden anzulegen, um elektrisch geladenes Teilchenmaterial auf den Oberflächen der
ersten und zweiten Elektroden (256, 257) in der Strömungsmittelleitung (250) aufzusammeln,
wenn das Material durch die Strömungsmittelleitung ausgestoßen wird; und
ein Plasmageneratorsystem (260), das in der Lage ist, die Perfluorverbindungen
enthaltende Gas, die in der Strömungsmittelleitung vorhanden sind, in einem Plasmazustand
anzuregen.
2. Vorrichtung nach Anspruch 1, worin das die Perfluorverbindungen oxidierende
Mittel (251) einen Filter aufweist, der in der Strömungsmittelleitung angeordnet ist, wobei der
Filter eine Silicium enthaltende Verbindung umfaßt.
3. Vorrichtung nach Anspruch 2, worin die Silicium enthaltende Verbindung ein
festes, poröses, Siliciumoxid enthaltendes Material ist.
4. Vorrichtung nach einem der vorhergehenden Ansprüche ferner umfassend eine
Gaszufuhrleitung (253), die in Kommunikation mit der δγ angekoppelt ist, um das die
Perfluorverbindungen oxidierende Mittel in die Strömungsmittelleitung zuzuführen.
5. Vorrichtung nach Anspruch 4, worin die Gaszufuhrleitung (253) ein Sauerstoff
enthaltendes Gas an den Behälter zuführt.
6. Vorrichtung nach Anspruch 5, worin die Gaszufuhrleitung (253) ferner ein Silicium
enthaltendes Gas an die Behälterkammer zuführt.
7. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei das
Plasmageneratorsystem einen hohlen Kathodenreaktor (240) aufweist, der eine Kathode und eine Anode
umfaßt.
8. Vorrichtung nach Anspruch 7, worin die Behälterkammer, die wenigstens teilweise
durch die Kathode und die Anode definiert ist, eine Spule umfaßt, die in der Kathode angeordnet
ist.
9. Vorrichtung nach Anspruch 8, worin eine Stromversorgung operativ angekoppelt
ist, um eine HF- oder RF-Leistung an die Spule zu liefern, und wobei die Anode mit einem
Erdpotential gekoppelt ist.
10. Vorrichtung nach Anspruch 8 oder 9, worin die Behälterkammer wenigstens
teilweise durch die Kathode und die Anode definiert ist.
11. Vorrichtung nach Anspruch 10, worin der hohle Kathodenreaktor (240) eine
Vielzahl von Kathoden und eine Vielzahl von Anoden aufweist, die alternierend angeordnet sind,
um wenigstens einen Teil der Behälterkammer zu definieren.
12. Vorrichtung nach einem der vorhergehenden Ansprüche, worin das
Plasmageneratorsystem eine Spule (252) aufweist, die wenigstens einen Teil der Behälterkammer umgibt.
13 Vorrichtung nach Anspruch 1, worin die Quelle des Perfluorverbindungen
oxidierenden Mittels eine Silicium enthaltende Ablagerung und Teilchenmaterial aufweist, und
worin das Plasmageneratorsystem erste und zweite Elektroden (256, 257), die einander
gegenüberliegende Oberflächen haben, die Teil der Behälterkammer sind und die
Strömungsmittelleitung (250) definieren, und eine Sammelkammer zwischen dem Einlaß und dem
Auslaß umfaßt, wobei die Sammelkammer so strukturiert und angeordnet ist, dass sie die Silicium
enthaltende Ablagerung und das Teilchenmaterial sammelt, welches durch die
Strömungsmittelleitung (250) fließt, und dass es das Austreten von Silicium enthaltenden Ablagerungen
und Teilchenmaterial von der Sammelkammer verhindert und
eine Einrichtung, die operativ mit den Elektroden (256, 257) gekoppelt ist, um eine
Spannung zwischen den Elektroden anzulegen, um die elektrisch geladenen, Silicium
enthaltenden Ablagerungen und Teilchenmaterial auf den einander gegenüberliegenden
Elektrodenoberflächen zu sammeln.
14. Vorrichtung nach Anspruch 1, umfassend:
eine erste Elektrode, die eine Elektrodenwand bildet, die eine innere Kammer umfaßt,
wobei die Elektrodenwand einen Einlaß und einen Auslaß in Kommunikation mit der inneren
Kammer definiert;
eine zweite Elektrode, die in der inneren Kammer angeordnet ist, wobei die erste und
die zweite Elektrode einander gegenüberliegende Oberflächen haben, die eine
Strömungsmittelleitung dazwischen definieren, wobei die Strömungsmittelleitung in Kommunikation mit
dem Einlaß und dem Aulaß steht und eine oder mehrere Sammelkammern dazwischen
definiert, wobei die Sammelkammer so strukturiert und angeordnet ist, dass sie Teilchenmaterial
sammelt, welches durch die Strömungsmittelleitung fließt, und dass sie den Austritt von
Teilchenmaterial von der Sammelkammer verhindert.
15. Vorrichtung nach Anspruch 1, worin die Behälterkammer in Kommunikation mit
der Verarbeitungskammer an der Einlaßmündung und mit der Behälterkammer gekoppelt ist,
wobei die Vorrichtung umfaßt:
eine Vielzahl erster Elektroden (272), wobei jede erste Elektrode eine Innenfläche hat, die
einen Teil der Strömungsmittelleitung definiert;
eine Vielzahl zweiter Elektroden (674), wobei jede zweite Elektrode eine Innenfläche hat, die
einen Teil der Strömungsmittelleitung definiert; und
eine Vielzahl von Isolationssperren (275), wobei jede Isolationssperre zwischen einer der
ersten und zweiten Elektroden (272, 274) positioniert ist und jeder Isolationssperre eine
Innenfläche hat, die einen Teil der Strömungsmittelleitung definiert.
16. Verfahren zur Reduzierung von Perfluorverbindungen enthaltenden Abgasen aus einer
Substratverarbeitungskammer, wobei das Verfahren umfaßt:
Durchführen eines ersten Arbeitsgangs in der Substratverarbeitungskammer, bei dem
reaktive Spezies eines Plasmas, das aus einem oder mehreren Perflourverbindungsgasen
gebildet ist, in der Substratverarbeitungskammer vorhanden sind;
Ausstoßen von Gasen von der Substratverarbeitungskammer während des ersten
Arbeitsgangs in eine Plasmakammer, die strömungsmäßig mit einem Abgasauslaß der
Substratverarbeitungskammer gekoppelt ist, und Zuführen von einem Perflourverbindungen
oxidierenden Mittel in die Plasmakammer, in der Silicium enthaltende Ablagerungen oder Teilchen
in der Plasmakammer nach dem Ausstoß von der Substratverarbeitungskammer während des
ersten Arbeitsgangs, der vor einem Kammerreinigungsgang durchgeführt wird, aufgesammelt
wird, wobei die Plasmakammer nicht konfiguriert ist, um Halbleitersubstrate zu verarbeiten.
Nachfolgendes Durchführen eines zweiten Arbeitsgangs in der Verarbeitungskammer, bei
dem reaktive Spezies aus einem Plasma, das aus einem oder mehreren
Perflourverbindungsgasen gebildet ist, in der Substratverarbeitungskammer vorhanden sind;
Ausstoßen von Gasen während des zweiten Arbeitsganges von der
Substratverarbeitungskammer in die Plasmakammer; und
Bilden eines Plasmas aus den Gasen, die in die Plasmakammer in dem zweiten
Arbeitsgang ausgestoßen wurden, um die ausgestoßenen Gase mit dem Perflourverbindungen
oxidierenden, Silicium enthaltenden Ablagerungen oder Teilchen zur Reaktion zu bringen und
keine Perflourverbindungen enthaltende, gasförmige Produkte und Nebenprodukte zu bilden.
17. Verfahren nach Anspruch 16, worin der erste Arbeitsgang ein chemischer
Abscheidungsvorgang aus der Gasphase und der zweite Arbeitsgang ein Kammerreinigungsgang
ist.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US57937595A | 1995-12-27 | 1995-12-27 | |
US08/741,272 US6187072B1 (en) | 1995-09-25 | 1996-10-30 | Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions |
Publications (2)
Publication Number | Publication Date |
---|---|
DE69623583D1 DE69623583D1 (de) | 2002-10-17 |
DE69623583T2 true DE69623583T2 (de) | 2003-01-09 |
Family
ID=27077737
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
DE69632275T Expired - Fee Related DE69632275T2 (de) | 1995-12-27 | 1996-12-24 | Verfahren und Vorrichrung zur Reduzierung von Gasen bestehend aus Perfluoroverbindungen in Abgasen von einer Substrat-Bearbeitungsvorrichtung |
DE69623583T Expired - Fee Related DE69623583T2 (de) | 1995-12-27 | 1996-12-24 | Verfahren und Vorrichtung zur Reduzierung von Perfluorverbindungen enthaltenden Abgasen aus Substratbearbeitungsvorrichtungen. |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
DE69632275T Expired - Fee Related DE69632275T2 (de) | 1995-12-27 | 1996-12-24 | Verfahren und Vorrichrung zur Reduzierung von Gasen bestehend aus Perfluoroverbindungen in Abgasen von einer Substrat-Bearbeitungsvorrichtung |
Country Status (5)
Country | Link |
---|---|
US (2) | US6187072B1 (de) |
EP (2) | EP1145759B1 (de) |
JP (1) | JP3992315B2 (de) |
KR (1) | KR100271694B1 (de) |
DE (2) | DE69632275T2 (de) |
Families Citing this family (109)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6045618A (en) * | 1995-09-25 | 2000-04-04 | Applied Materials, Inc. | Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment |
US6193802B1 (en) | 1995-09-25 | 2001-02-27 | Applied Materials, Inc. | Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment |
US6187072B1 (en) | 1995-09-25 | 2001-02-13 | Applied Materials, Inc. | Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions |
US6888040B1 (en) * | 1996-06-28 | 2005-05-03 | Lam Research Corporation | Method and apparatus for abatement of reaction products from a vacuum processing chamber |
FR2757082B1 (fr) * | 1996-12-13 | 1999-01-15 | Air Liquide | Procede d'epuration d'un gaz plasmagene et installation pour la mise en oeuvre d'un tel procede |
US7569790B2 (en) | 1997-06-26 | 2009-08-04 | Mks Instruments, Inc. | Method and apparatus for processing metal bearing gases |
US8779322B2 (en) | 1997-06-26 | 2014-07-15 | Mks Instruments Inc. | Method and apparatus for processing metal bearing gases |
US6497801B1 (en) * | 1998-07-10 | 2002-12-24 | Semitool Inc | Electroplating apparatus with segmented anode array |
DE19847848C1 (de) * | 1998-10-16 | 2000-05-11 | R3 T Gmbh Rapid Reactive Radic | Vorrichtung und Erzeugung angeregter/ionisierter Teilchen in einem Plasma |
US20030038035A1 (en) * | 2001-05-30 | 2003-02-27 | Wilson Gregory J. | Methods and systems for controlling current in electrochemical processing of microelectronic workpieces |
JP4288010B2 (ja) * | 1999-04-13 | 2009-07-01 | セミトゥール・インコーポレイテッド | 処理流体の流れ具合を向上させる処理チャンバを備えた加工物処理装置 |
US7189318B2 (en) * | 1999-04-13 | 2007-03-13 | Semitool, Inc. | Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece |
US6916412B2 (en) * | 1999-04-13 | 2005-07-12 | Semitool, Inc. | Adaptable electrochemical processing chamber |
US7264698B2 (en) * | 1999-04-13 | 2007-09-04 | Semitool, Inc. | Apparatus and methods for electrochemical processing of microelectronic workpieces |
US7160421B2 (en) * | 1999-04-13 | 2007-01-09 | Semitool, Inc. | Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece |
US7020537B2 (en) * | 1999-04-13 | 2006-03-28 | Semitool, Inc. | Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece |
US7438788B2 (en) * | 1999-04-13 | 2008-10-21 | Semitool, Inc. | Apparatus and methods for electrochemical processing of microelectronic workpieces |
US6354241B1 (en) | 1999-07-15 | 2002-03-12 | Applied Materials, Inc. | Heated electrostatic particle trap for in-situ vacuum line cleaning of a substrated processing |
US6468490B1 (en) * | 2000-06-29 | 2002-10-22 | Applied Materials, Inc. | Abatement of fluorine gas from effluent |
US6689252B1 (en) | 1999-07-28 | 2004-02-10 | Applied Materials, Inc. | Abatement of hazardous gases in effluent |
US6255222B1 (en) | 1999-08-24 | 2001-07-03 | Applied Materials, Inc. | Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process |
JP2001132638A (ja) * | 1999-11-10 | 2001-05-18 | Ebara Corp | トラップ装置 |
US6367412B1 (en) * | 2000-02-17 | 2002-04-09 | Applied Materials, Inc. | Porous ceramic liner for a plasma source |
US6391146B1 (en) | 2000-04-11 | 2002-05-21 | Applied Materials, Inc. | Erosion resistant gas energizer |
US6673323B1 (en) | 2000-03-24 | 2004-01-06 | Applied Materials, Inc. | Treatment of hazardous gases in effluent |
JP2001284267A (ja) * | 2000-04-03 | 2001-10-12 | Canon Inc | 排気処理方法、プラズマ処理方法及びプラズマ処理装置 |
US20050183959A1 (en) * | 2000-04-13 | 2005-08-25 | Wilson Gregory J. | Tuning electrodes used in a reactor for electrochemically processing a microelectric workpiece |
US6576202B1 (en) | 2000-04-21 | 2003-06-10 | Kin-Chung Ray Chiu | Highly efficient compact capacitance coupled plasma reactor/generator and method |
US6863019B2 (en) | 2000-06-13 | 2005-03-08 | Applied Materials, Inc. | Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas |
US6747734B1 (en) | 2000-07-08 | 2004-06-08 | Semitool, Inc. | Apparatus and method for processing a microelectronic workpiece using metrology |
US6428673B1 (en) | 2000-07-08 | 2002-08-06 | Semitool, Inc. | Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology |
US7102763B2 (en) * | 2000-07-08 | 2006-09-05 | Semitool, Inc. | Methods and apparatus for processing microelectronic workpieces using metrology |
US20050061676A1 (en) * | 2001-03-12 | 2005-03-24 | Wilson Gregory J. | System for electrochemically processing a workpiece |
US6602323B2 (en) | 2001-03-21 | 2003-08-05 | Samsung Electronics Co., Ltd. | Method and apparatus for reducing PFC emission during semiconductor manufacture |
US6733827B2 (en) * | 2001-04-11 | 2004-05-11 | The Procter & Gamble Co. | Processes for manufacturing particles coated with activated lignosulfonate |
FR2825295B1 (fr) * | 2001-05-31 | 2004-05-28 | Air Liquide | Application des plasmas denses crees a pression atmospherique au traitement d'effluents gazeux |
US6824748B2 (en) | 2001-06-01 | 2004-11-30 | Applied Materials, Inc. | Heated catalytic treatment of an effluent gas from a substrate fabrication process |
US20020185067A1 (en) * | 2001-06-07 | 2002-12-12 | International Business Machines Corporation | Apparatus and method for in-situ cleaning of a throttle valve in a CVD system |
US7037862B2 (en) * | 2001-06-13 | 2006-05-02 | Micron Technology, Inc. | Dielectric layer forming method and devices formed therewith |
US7220396B2 (en) * | 2001-07-11 | 2007-05-22 | Battelle Memorial Institute | Processes for treating halogen-containing gases |
US6962679B2 (en) | 2001-07-11 | 2005-11-08 | Battelle Memorial Institute | Processes and apparatuses for treating halogen-containing gases |
US7060234B2 (en) * | 2001-07-18 | 2006-06-13 | Applied Materials | Process and apparatus for abatement of by products generated from deposition processes and cleaning of deposition chambers |
US6730354B2 (en) | 2001-08-08 | 2004-05-04 | Agilent Technologies, Inc. | Forming ferroelectric Pb(Zr,Ti)O3 films |
AU2002343330A1 (en) * | 2001-08-31 | 2003-03-10 | Semitool, Inc. | Apparatus and methods for electrochemical processing of microelectronic workpieces |
US6837250B2 (en) * | 2002-02-27 | 2005-01-04 | Air Products And Chemicals, Inc. | CVD chamber cleaning using mixed PFCs from capture/recycle |
US7390755B1 (en) | 2002-03-26 | 2008-06-24 | Novellus Systems, Inc. | Methods for post etch cleans |
US6719641B2 (en) * | 2002-04-26 | 2004-04-13 | Nicklaus Golf Equipment Company | Golf iron having a customizable weighting feature |
US20040108212A1 (en) * | 2002-12-06 | 2004-06-10 | Lyndon Graham | Apparatus and methods for transferring heat during chemical processing of microelectronic workpieces |
ITPD20020316A1 (it) * | 2002-12-11 | 2004-06-12 | Mauro Schiavon | Dispositivo e metodo per la creazione di fullereni e/o nanotubi |
US20040129385A1 (en) * | 2003-01-02 | 2004-07-08 | International Business Machines Corporation | Pre-loaded plasma reactor apparatus and application thereof |
TWI230094B (en) * | 2003-01-14 | 2005-04-01 | Desiccant Technology Corp | Method for exhaust treatment of perfluoro compounds |
KR100505670B1 (ko) * | 2003-02-05 | 2005-08-03 | 삼성전자주식회사 | 부산물 제거용 고온 유체 공급 장치를 구비한 반도체 소자제조 장치 |
FR2863103B1 (fr) | 2003-12-01 | 2006-07-14 | Cit Alcatel | Systeme de traitement des gaz par plasma integre dans une pompe a vide |
US7569193B2 (en) * | 2003-12-19 | 2009-08-04 | Applied Materials, Inc. | Apparatus and method for controlled combustion of gaseous pollutants |
US20050250347A1 (en) * | 2003-12-31 | 2005-11-10 | Bailey Christopher M | Method and apparatus for maintaining by-product volatility in deposition process |
US20050148199A1 (en) * | 2003-12-31 | 2005-07-07 | Frank Jansen | Apparatus for atomic layer deposition |
CN1913956A (zh) * | 2004-01-29 | 2007-02-14 | 大阳日酸株式会社 | 废气处理方法以及废气处理装置 |
US20050238816A1 (en) * | 2004-04-23 | 2005-10-27 | Li Hou | Method and apparatus of depositing low temperature inorganic films on plastic substrates |
GB0415560D0 (en) * | 2004-07-12 | 2004-08-11 | Boc Group Plc | Pump cleaning |
US7288484B1 (en) | 2004-07-13 | 2007-10-30 | Novellus Systems, Inc. | Photoresist strip method for low-k dielectrics |
WO2006078340A2 (en) * | 2004-11-08 | 2006-07-27 | Mks Instruments, Inc. | Method and apparatus for processing metal bearing gases |
US7736599B2 (en) * | 2004-11-12 | 2010-06-15 | Applied Materials, Inc. | Reactor design to reduce particle deposition during process abatement |
US8193096B2 (en) | 2004-12-13 | 2012-06-05 | Novellus Systems, Inc. | High dose implantation strip (HDIS) in H2 base chemistry |
US7202176B1 (en) * | 2004-12-13 | 2007-04-10 | Novellus Systems, Inc. | Enhanced stripping of low-k films using downstream gas mixing |
US8129281B1 (en) | 2005-05-12 | 2012-03-06 | Novellus Systems, Inc. | Plasma based photoresist removal system for cleaning post ash residue |
US20060276049A1 (en) * | 2005-06-06 | 2006-12-07 | Bailey Christopher M | High efficiency trap for deposition process |
GB0522088D0 (en) * | 2005-10-28 | 2005-12-07 | Boc Group Plc | Plasma abatement device |
KR101036734B1 (ko) * | 2005-10-31 | 2011-05-24 | 어플라이드 머티어리얼스, 인코포레이티드 | 공정 저감 반응로 |
GB0523947D0 (en) * | 2005-11-24 | 2006-01-04 | Boc Group Plc | Microwave plasma system |
EP1816668A2 (de) * | 2006-02-01 | 2007-08-08 | FEI Company | Teilchenstrahlgerät mit vrobestimmtem Arbeitsdruck |
US20070267143A1 (en) * | 2006-05-16 | 2007-11-22 | Applied Materials, Inc. | In situ cleaning of CVD system exhaust |
US20080081130A1 (en) * | 2006-09-29 | 2008-04-03 | Applied Materials, Inc. | Treatment of effluent in the deposition of carbon-doped silicon |
US7740768B1 (en) | 2006-10-12 | 2010-06-22 | Novellus Systems, Inc. | Simultaneous front side ash and backside clean |
DE102006052586B4 (de) * | 2006-11-08 | 2008-07-03 | Schott Solar Gmbh | Verfahren und Vorrichtung zur Reinigung der Abgase einer Siliziumdünnschicht-Produktionsanlage |
US7655930B2 (en) * | 2007-03-22 | 2010-02-02 | Axcelis Technologies, Inc. | Ion source arc chamber seal |
US8435895B2 (en) * | 2007-04-04 | 2013-05-07 | Novellus Systems, Inc. | Methods for stripping photoresist and/or cleaning metal regions |
JP4950763B2 (ja) * | 2007-05-25 | 2012-06-13 | 大陽日酸株式会社 | プラズマ生成装置 |
CN102112650A (zh) * | 2008-02-12 | 2011-06-29 | 株式会社iMott | 类金刚石碳膜成膜装置及形成类金刚石碳膜的方法 |
KR100876983B1 (ko) * | 2008-03-17 | 2009-01-07 | (주)여진 | Pcb 제조 공정에서 발생하는 산화 및 악취가스처리시스템 |
US9997325B2 (en) * | 2008-07-17 | 2018-06-12 | Verity Instruments, Inc. | Electron beam exciter for use in chemical analysis in processing systems |
JP5460982B2 (ja) * | 2008-07-30 | 2014-04-02 | 東京エレクトロン株式会社 | 弁体、粒子進入阻止機構、排気制御装置及び基板処理装置 |
US20100051612A1 (en) | 2008-08-29 | 2010-03-04 | Hans Magnus Fagrell | Microwave heater and method of heating |
US8591661B2 (en) | 2009-12-11 | 2013-11-26 | Novellus Systems, Inc. | Low damage photoresist strip method for low-K dielectrics |
US8707899B2 (en) * | 2009-02-26 | 2014-04-29 | Hitachi High-Technologies Corporation | Plasma processing apparatus |
US7993937B2 (en) * | 2009-09-23 | 2011-08-09 | Tokyo Electron Limited | DC and RF hybrid processing system |
CN102652351B (zh) * | 2009-12-11 | 2016-10-05 | 诺发系统有限公司 | 在高剂量植入剥除前保护硅的增强式钝化工艺 |
US20110143548A1 (en) * | 2009-12-11 | 2011-06-16 | David Cheung | Ultra low silicon loss high dose implant strip |
US20110195202A1 (en) * | 2010-02-11 | 2011-08-11 | Applied Materials, Inc. | Oxygen pump purge to prevent reactive powder explosion |
US9613825B2 (en) | 2011-08-26 | 2017-04-04 | Novellus Systems, Inc. | Photoresist strip processes for improved device integrity |
KR101427726B1 (ko) * | 2011-12-27 | 2014-08-07 | 가부시키가이샤 히다치 고쿠사이 덴키 | 기판 처리 장치 및 반도체 장치의 제조 방법 |
US11716793B2 (en) * | 2012-01-23 | 2023-08-01 | Robert W. Connors | Compact microwave oven |
JP2017517380A (ja) * | 2014-03-06 | 2017-06-29 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 重原子を含有する化合物のプラズマ軽減 |
JP6018665B2 (ja) * | 2014-04-30 | 2016-11-02 | コリア・インスティテュート・オブ・マシナリー・アンド・マテリアルズKorea Institute Of Machinery & Materials | 汚染物質除去用プラズマ反応器 |
US9514954B2 (en) | 2014-06-10 | 2016-12-06 | Lam Research Corporation | Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films |
KR101638325B1 (ko) | 2014-09-03 | 2016-07-12 | 노영석 | 분리막시스템과 스크러버가 일체로 이루어지는 과불화화합물 분리시스템 |
WO2016051465A1 (ja) * | 2014-09-29 | 2016-04-07 | 株式会社日立国際電気 | 大気圧誘導結合プラズマ装置 |
CN107078079A (zh) * | 2014-10-15 | 2017-08-18 | 应用材料公司 | 抗腐蚀减量系统 |
US10187966B2 (en) * | 2015-07-24 | 2019-01-22 | Applied Materials, Inc. | Method and apparatus for gas abatement |
GB2541214A (en) * | 2015-08-12 | 2017-02-15 | Edwards Ltd | Plasma generator |
US10535506B2 (en) | 2016-01-13 | 2020-01-14 | Mks Instruments, Inc. | Method and apparatus for deposition cleaning in a pumping line |
US10337105B2 (en) * | 2016-01-13 | 2019-07-02 | Mks Instruments, Inc. | Method and apparatus for valve deposition cleaning and prevention by plasma discharge |
US11332824B2 (en) | 2016-09-13 | 2022-05-17 | Lam Research Corporation | Systems and methods for reducing effluent build-up in a pumping exhaust system |
US11031252B2 (en) * | 2016-11-30 | 2021-06-08 | Taiwan Semiconductor Manufacturing Compant, Ltd. | Heat shield for chamber door and devices manufactured using same |
KR102646623B1 (ko) * | 2017-01-23 | 2024-03-11 | 에드워드 코리아 주식회사 | 플라즈마 발생 장치 및 가스 처리 장치 |
KR102686242B1 (ko) | 2017-01-23 | 2024-07-17 | 에드워드 코리아 주식회사 | 질소 산화물 감소 장치 및 가스 처리 장치 |
JP6918146B2 (ja) * | 2017-05-19 | 2021-08-11 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 液体および固体の排出物を収集して後に反応させて気体の排出物にする装置 |
CN112534563A (zh) * | 2018-06-15 | 2021-03-19 | 朗姆研究公司 | 用于从衬底处理系统的排放装置的泵去除沉积物的清洁系统 |
US11745229B2 (en) | 2020-08-11 | 2023-09-05 | Mks Instruments, Inc. | Endpoint detection of deposition cleaning in a pumping line and a processing chamber |
US11664197B2 (en) | 2021-08-02 | 2023-05-30 | Mks Instruments, Inc. | Method and apparatus for plasma generation |
Family Cites Families (46)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CA128732A (en) | 1910-07-25 | 1910-10-18 | Lars O. Lundgren | Suction pump for cleaning drain pipes |
US3795557A (en) * | 1972-05-12 | 1974-03-05 | Lfe Corp | Process and material for manufacturing semiconductor devices |
JPS51129868A (en) | 1975-05-07 | 1976-11-11 | Fujitsu Ltd | A process for treatment of waste gas |
GB1550853A (en) * | 1975-10-06 | 1979-08-22 | Hitachi Ltd | Apparatus and process for plasma treatment |
JPS5278176A (en) | 1975-12-25 | 1977-07-01 | Chiyoda R & D | Discharge reactor for gases |
WO1980001363A1 (en) | 1978-12-29 | 1980-07-10 | Ncr Co | Lpcvd systems having in situ plasma cleaning |
JPS58101722A (ja) | 1981-12-10 | 1983-06-17 | Fujitsu Ltd | 排気ガス処理装置 |
JPS5970763A (ja) | 1982-10-12 | 1984-04-21 | Matsushita Electric Ind Co Ltd | 薄膜形成装置 |
JPS59181619A (ja) | 1983-03-31 | 1984-10-16 | Toshiba Corp | 反応性イオンエツチング装置 |
JPS60114570A (ja) | 1983-11-25 | 1985-06-21 | Canon Inc | プラズマcvd装置の排気系 |
DE3414121A1 (de) | 1984-04-14 | 1985-10-24 | Brown, Boveri & Cie Ag, 6800 Mannheim | Verfahren und vorrichtung zur reinigung von abgasen |
US4657738A (en) | 1984-04-30 | 1987-04-14 | Westinghouse Electric Corp. | Stack gas emissions control system |
JPS60234313A (ja) | 1984-05-07 | 1985-11-21 | Hitachi Ltd | プラズマ処理装置 |
US5137701A (en) | 1984-09-17 | 1992-08-11 | Mundt Randall S | Apparatus and method for eliminating unwanted materials from a gas flow line |
JPS6328869A (ja) | 1986-07-22 | 1988-02-06 | Nec Corp | Cvd装置 |
US5000113A (en) | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
JPH0757297B2 (ja) | 1987-04-22 | 1995-06-21 | 日本真空技術株式会社 | 真空排気系用微粒子トラツプ |
JPH0757298B2 (ja) | 1987-05-19 | 1995-06-21 | 日本真空技術株式会社 | 真空排気系用微粒子捕集装置 |
US4735633A (en) | 1987-06-23 | 1988-04-05 | Chiu Kin Chung R | Method and system for vapor extraction from gases |
US4830702A (en) | 1987-07-02 | 1989-05-16 | General Electric Company | Hollow cathode plasma assisted apparatus and method of diamond synthesis |
JPH01171227A (ja) | 1987-12-25 | 1989-07-06 | Matsushita Electric Ind Co Ltd | Cvd方法 |
JP2671009B2 (ja) | 1988-05-13 | 1997-10-29 | 増田 佳子 | 超微粒子の回収方法及びその回収装置 |
JPH02125876A (ja) | 1988-11-01 | 1990-05-14 | Fujitsu Ltd | Cvd装置の排気機構 |
JPH02159018A (ja) | 1988-12-12 | 1990-06-19 | Nec Corp | 減圧式気相成長装置 |
US5141714A (en) | 1989-08-01 | 1992-08-25 | Kabushiki Kaisha Riken | Exhaust gas cleaner |
JPH03253571A (ja) | 1990-03-02 | 1991-11-12 | Hitachi Ltd | 排気装置および化学気相成長装置 |
EP0454346A1 (de) | 1990-04-21 | 1991-10-30 | United Kingdom Atomic Energy Authority | Filter für Teilchen in Auspuffgas |
JPH04136175A (ja) | 1990-09-26 | 1992-05-11 | Matsushita Electric Ind Co Ltd | 薄膜形成装置 |
US5451378A (en) | 1991-02-21 | 1995-09-19 | The United States Of America As Represented By The Secretary Of The Navy | Photon controlled decomposition of nonhydrolyzable ambients |
US5211729A (en) | 1991-08-30 | 1993-05-18 | Sematech, Inc. | Baffle/settling chamber for a chemical vapor deposition equipment |
US5279669A (en) | 1991-12-13 | 1994-01-18 | International Business Machines Corporation | Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions |
JPH05202474A (ja) | 1992-01-24 | 1993-08-10 | Hitachi Electron Eng Co Ltd | Cvd装置の排気ガスの異物捕獲方法 |
US5323013A (en) | 1992-03-31 | 1994-06-21 | The United States Of America As Represented By The Secretary Of The Navy | Method of rapid sample handling for laser processing |
US5417826A (en) | 1992-06-15 | 1995-05-23 | Micron Technology, Inc. | Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors |
JP3111663B2 (ja) | 1992-07-28 | 2000-11-27 | ソニー株式会社 | プラズマ装置 |
DE4319118A1 (de) | 1993-06-09 | 1994-12-15 | Breitbarth Friedrich Wilhelm D | Verfahren und Vorrichtung zur Entsorgung von Fluorkohlenstoffen und anderen fluorhaltigen Verbindungen |
JP3178947B2 (ja) | 1993-08-31 | 2001-06-25 | 株式会社東芝 | 薄膜形成方法 |
JP3368018B2 (ja) | 1993-10-29 | 2003-01-20 | 東京エレクトロン株式会社 | 減圧処理方法および装置 |
US5453125A (en) | 1994-02-17 | 1995-09-26 | Krogh; Ole D. | ECR plasma source for gas abatement |
US5569810A (en) * | 1994-03-18 | 1996-10-29 | Samco International, Inc. | Method of and system for processing halogenated hydrocarbons |
JPH0910544A (ja) | 1995-06-27 | 1997-01-14 | Alpha Tec:Kk | 除害装置及び成膜装置及びエッチング装置 |
US5750823A (en) * | 1995-07-10 | 1998-05-12 | R.F. Environmental Systems, Inc. | Process and device for destruction of halohydrocarbons |
US6187072B1 (en) | 1995-09-25 | 2001-02-13 | Applied Materials, Inc. | Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions |
US6194628B1 (en) | 1995-09-25 | 2001-02-27 | Applied Materials, Inc. | Method and apparatus for cleaning a vacuum line in a CVD system |
US6045618A (en) | 1995-09-25 | 2000-04-04 | Applied Materials, Inc. | Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment |
US5827370A (en) | 1997-01-13 | 1998-10-27 | Mks Instruments, Inc. | Method and apparatus for reducing build-up of material on inner surface of tube downstream from a reaction furnace |
-
1996
- 1996-10-30 US US08/741,272 patent/US6187072B1/en not_active Expired - Lifetime
- 1996-12-24 EP EP01106259A patent/EP1145759B1/de not_active Expired - Lifetime
- 1996-12-24 EP EP96309542A patent/EP0781599B1/de not_active Expired - Lifetime
- 1996-12-24 DE DE69632275T patent/DE69632275T2/de not_active Expired - Fee Related
- 1996-12-24 DE DE69623583T patent/DE69623583T2/de not_active Expired - Fee Related
- 1996-12-26 JP JP35988396A patent/JP3992315B2/ja not_active Expired - Fee Related
- 1996-12-27 KR KR1019960073539A patent/KR100271694B1/ko not_active IP Right Cessation
-
2000
- 2000-08-03 US US09/632,502 patent/US6517913B1/en not_active Expired - Fee Related
Also Published As
Publication number | Publication date |
---|---|
US6517913B1 (en) | 2003-02-11 |
EP1145759A1 (de) | 2001-10-17 |
EP0781599A2 (de) | 1997-07-02 |
DE69623583D1 (de) | 2002-10-17 |
DE69632275D1 (de) | 2004-05-27 |
EP0781599B1 (de) | 2002-09-11 |
US6187072B1 (en) | 2001-02-13 |
JP3992315B2 (ja) | 2007-10-17 |
EP1145759B1 (de) | 2004-04-21 |
KR100271694B1 (ko) | 2000-12-01 |
EP0781599A3 (de) | 1997-10-29 |
DE69632275T2 (de) | 2005-04-21 |
JPH09321037A (ja) | 1997-12-12 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
DE69623583T2 (de) | Verfahren und Vorrichtung zur Reduzierung von Perfluorverbindungen enthaltenden Abgasen aus Substratbearbeitungsvorrichtungen. | |
DE69720644T2 (de) | Reinigungsvorrichtung für Vakuumleitung in einer Substrat-Bearbeitungsvorrichtung | |
DE69617858T2 (de) | Reinigungsverfahren und Vorrichtung für Vacuumröhre in einem CVD-System | |
DE69712154T2 (de) | Verfahren und Vorrichtung zur Verminderung von Ablagerungen in einer Abgasleitung | |
DE60128460T2 (de) | Verfahren zur veraschung eines photolackes mit einem mikrowellen-plasma in einem ätzkamer für dielektrische schichten und plasma-machine dafür | |
KR100696030B1 (ko) | 실리콘-산소-탄소 증착 프로세스의 기판 처리 챔버 배출 라인으로부터 잔류물을 제거하기 위한 방법 | |
TWI415186B (zh) | 自基材移除氟化聚合物的設備與方法 | |
CN1795530A (zh) | 等离子体装置,用于等离子体装置的气体分布组件及其方法 | |
WO2011012185A1 (de) | Reinigen einer prozesskammer | |
US6564810B1 (en) | Cleaning of semiconductor processing chambers | |
JP2009513331A (ja) | ガス流の処理装置 | |
EP0567939A2 (de) | Verfahren zum Entfernen kleiner Teilchen von einem Substrat | |
JPH10309431A (ja) | ガスシステムにおける汚染ガス中の危険な化合物を分解する装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
8364 | No opposition during term of opposition | ||
8328 | Change in the person/name/address of the agent |
Representative=s name: PUSCHMANN & BORCHERT, 82041 OBERHACHING |
|
8339 | Ceased/non-payment of the annual fee |