JPH07122092A - 半導体記憶装置 - Google Patents

半導体記憶装置

Info

Publication number
JPH07122092A
JPH07122092A JP17819494A JP17819494A JPH07122092A JP H07122092 A JPH07122092 A JP H07122092A JP 17819494 A JP17819494 A JP 17819494A JP 17819494 A JP17819494 A JP 17819494A JP H07122092 A JPH07122092 A JP H07122092A
Authority
JP
Japan
Prior art keywords
data
bit line
verifying
transistor
holding means
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP17819494A
Other languages
English (en)
Other versions
JP2922116B2 (ja
Inventor
Junichi Miyamoto
順一 宮本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP17819494A priority Critical patent/JP2922116B2/ja
Priority to US08/297,753 priority patent/US5465235A/en
Priority to EP94113683A priority patent/EP0642133A3/en
Publication of JPH07122092A publication Critical patent/JPH07122092A/ja
Priority to US08/965,426 priority patent/USRE36732E/en
Application granted granted Critical
Publication of JP2922116B2 publication Critical patent/JP2922116B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/34Determination of programming status, e.g. threshold voltage, overprogramming or underprogramming, retention
    • G11C16/3418Disturbance prevention or evaluation; Refreshing of disturbed memory data
    • G11C16/3431Circuits or methods to detect disturbed nonvolatile memory cells, e.g. which still read as programmed but with threshold less than the program verify threshold or read as erased but with threshold greater than the erase verify threshold, and to reverse the disturbance via a refreshing programming or erasing step
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/34Determination of programming status, e.g. threshold voltage, overprogramming or underprogramming, retention
    • G11C16/3418Disturbance prevention or evaluation; Refreshing of disturbed memory data
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/34Determination of programming status, e.g. threshold voltage, overprogramming or underprogramming, retention
    • G11C16/3436Arrangements for verifying correct programming or erasure
    • G11C16/3454Arrangements for verifying correct programming or for detecting overprogrammed cells
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/34Determination of programming status, e.g. threshold voltage, overprogramming or underprogramming, retention
    • G11C16/3436Arrangements for verifying correct programming or erasure
    • G11C16/3454Arrangements for verifying correct programming or for detecting overprogrammed cells
    • G11C16/3459Circuits or methods to verify correct programming of nonvolatile memory cells

Landscapes

  • Read Only Memory (AREA)

Abstract

(57)【要約】 【目的】データのコピーをする場合、外部へ読み出しデ
ータを持ち出さず、反転データ出力手段によりデータ保
持手段のデータをビット線に伝達する。 【構成】ビット線BLに接続されるNAND型メモリセル2
各々と、書き込みデータを一時的に保持するフリップフ
ロップ回路1 と、ビット線BLを所定電位にプリチャー
ジするPチャネルトランジスタQ1 と、ビット線BLと
フリップフロップ回路1 を接続するNチャネルトランジ
スタQ2 と、フリップフロップ回路1 のビット線BLと
反対側のノード5 とトランジスタQ2 のビット線側の一
端との間に両端が接続されたNチャネルトランジスタQ
3 とからなる。このトランジスタQ3 が読み出しデータ
保持後、その反転データに応じた電位をビット線BLに
出力するように設けられている。フリップフロップ回路
1 における各端子はカラムゲート3 を介してI/O 線、 B
I/O 線(I/O の反転信号線)に接続される。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は不揮発性半導体装置に関
する。特に、書き込み動作及び読み出し動作に用いるセ
ンスアンプに関する。
【0002】
【従来の技術】不揮発性半導体記憶装置は電源を切って
もデータが消えない等の利点があるため、近年大幅に需
要が増大している。電気的に一括消去可能な不揮発性半
導体記憶装置であるフラッシュメモリは、2トランジス
タ型のバイト型不揮発性半導体記憶装置と異なり、1ト
ランジスタでメモリセルを構成することができる。この
結果、メモリセルを小さくすることが可能となり、大容
量の磁気ディスクの代替用途等が期待されている。
【0003】これらの不揮発性半導体記憶装置は、浮遊
ゲートを有するMOSトランジスタからなるメモリセル
をマトリクス状に配列してメモリセルアレイを構成し、
この浮遊ゲートに電荷を蓄積することによりこのMOS
トランジスタのしきい値を変化させ、このしきい値の値
によって情報を記憶する。情報の書き込み及び消去は絶
縁膜に電流を流すことによって行うため、プロセスや使
用条件等の変動によって書き込み時間が大きく変化す
る。これは、DRAMやSRAMと大きく異なるところ
である。この結果、同一チップの中にも書き込みの速い
セルと書き込みの遅いセルとが共存する。
【0004】以下、これらの問題点を詳説するため、N
AND型フラッシュメモリを例にとり、従来の不揮発性
半導体記憶装置を説明する。図10(a)はNAND型
フラッシュメモリのセル構造を示す回路図である。浮遊
ゲートを有するMOSトランジスタからなる不揮発性の
メモリセルM1 〜M16が直列に接続され、一端が選択ト
ランジスタQ11を介してビット線BLに、多端が選択ト
ランジスタQ12を介して共通ソース線Sに接続されてい
る。それぞれのトランジスタは同一のウェル基板(ウェ
ル領域)W上に形成されている。各々のメモリセルM1
〜M16の制御電極はワード線WL1 〜WL16に接続され
ており、選択トランジスタQ11の制御電極は選択線SL
1 に、選択トランジスタQ12の制御電極は選択線SL2
に接続されている。
【0005】各々のメモリセルM1 〜M16はそれ自体が
保持するデータに応じたしきい値を持っており、このし
きい値は“0”データを保持しているときには0Vより
大きく5Vより小さい範囲に設定され、“1”データを
保持しているときには0Vより小さく設定されている
(より適切には、ある程度のマージンをもたせるため所
定範囲のマイナスのしきい値に設定されている)。
【0006】図10(b)は上記したメモリセルのしき
い値の個数分布を示すしきい値分布図である。NAND
型フラッシュメモリの場合は通常、“1”データが保持
されている状態を「消去状態」と呼び、“0”データが
保持されている状態を「書き込み状態」と呼ぶ。また、
“1”データが保持されているメモリセルのしきい値
(Vth)を正方向にシフトさせ、“0”データを保持す
るようにすることを「書き込み動作」と呼び、“0”デ
ータが保持されているメモリセルのしきい値を負方向に
シフトさせ“1”データを保持するようにすることを消
去動作と呼ぶ。これは、NOR型のメモリセルでは定義
が異なる場合がある。
【0007】図11は図10の構成のメモリセルの読み
出し、消去及び書き込み動作時にメモリセルに印加する
電圧を表にして示したものである。読み出し動作時に
は、ビット線BLを始めに5Vにプリチャージし、浮遊
状態にしておき、これに引き続いて、選択線SL1 に5
V、選択メモリセルのワード線WLに0V、非選択メモ
リセルのワード線WLに5V、選択線SL2 に5V、ウ
ェル領域に0V、共通ソース線Sに0Vを印加する。す
ると、選択メモリセル以外のすべてのトランジスタ(非
選択メモリセルを含む)がオンする。選択メモリセルに
“0”データが保持されているときにはこのメモリセル
は非導通となりビット線の電位は5Vのままで変化がな
いが、“1”データが保持されているときには導通とな
るためビット線は放電され電位が低下する。データのセ
ンスは読み出し時のビット線電位を検出することにより
行う。
【0008】図12は図10の構成のメモリセルにおけ
る消去及び書き込み動作時のしきい値の分布図である。
まず、消去動作時には、ビット線BLは開放、選択線S
L1に0V、メモリセルのワード線WLに0V、選択線
SL2 に0V、ウェル領域Wに18V、そして共通ソー
ス線Sに18Vを印加する。すると、浮遊ゲートとウェ
ル領域間にゲート絶縁膜を介してトンネル電流が流れ、
しきい値は0Vより小さくなる。このしきい値の分布の
シフトを示したのが図12(a)である。
【0009】書き込み動作時には、書き込みデータによ
って異なった電圧を印加する。すなわち、“0”書き込
み(しきい値をシフトさせる場合)ではビット線BLに
0Vを印加し、“1”書き込み(しきい値をシフトさせ
ない場合)ではビット線BLに9Vを印加する。選択線
SL1 には11V、選択メモリセルのワード線WLには
18V、非選択メモリセルのワード線WLには9V、選
択線SL2 には0V、ウェル領域Wには0V、共通ソー
ス線Sには0Vを印加する。この結果、選択トランジス
タQ11からメモリセルM16までの全てのトランジスタは
導通し、ビット線と同電位となる(トランジスタのしき
い値落ちは考慮しない)。
【0010】従って、ビット線BLに0Vが印加された
メモリセルはチャネルと制御電極との間に18Vの高電
圧がかかり、トンネル電流が流れ、しきい値は正方向に
シフトする(図12(b))。また、ビット線BLに9
Vが印加されたメモリセルはチャネルと制御電極との間
に9Vしかかからないため、しきい値の正方向のシフト
は抑圧される(図12(c))。この9Vを書き込み禁
止電圧と呼ぶ。
【0011】しかし、冒頭でも述べたように、不揮発性
半導体記憶装置はトンネル電流という純物理的な手段を
用いて書き込みを行うため、書き込み速度は各メモリセ
ルによってばらつきがある。
【0012】すなわち、書き込みの遅いセルはある時刻
で“0”に書き込まれたが、書き込みの速いセルはその
時すでに“0”セルのしきい値の上限である5Vを越え
てしまう場合がある。このようになると、そのNAND
セル全体のデータが読めずに不良になる。すなわち、セ
ルのしきい値制御が動作のポイントとなる。
【0013】図13は図10のメモリセルへの読み出
し、書き込みの動作を説明する従来の回路図である。こ
の図は簡略化のためビット線1本分、複数のNAND型
メモリセルの各ユニット分が示されている。実際にはビ
ット線数千本併設され、NAND型メモリセルがアレイ
状に配置される。
【0014】フリップフロップ回路(F.F )はCK,BCK
(CKの反転)のクロック信号でアクティブ制御されるク
ロックトCMOSインバータ回路1 ,2 で構成され、書
き込みデータを一時的に保持する。ビット線BLにはFI
G.1で説明したのと同様のNAND型メモリセル(M
C)それぞれが接続されている。さらにビット線BLを
充電するPチャネルトランジスタQ21と、ビット線BL
とF.F 回路とを接続するトランジスタQ22が接続されて
いる。F.F 回路の両端子はトランスファゲートを介し
て、I/O線13,14に接続される。
【0015】書き込みは次のようである。F.F 回路をア
クティブ(CKを“H”レベル)にセットし、I/O線1
3,14からデータを書き込む。“0”書きするセルに対
応するビット線BLに接続されているF.F には、ビット
線に接続されている端子15が“L”となるようにセット
してトランジスタQ22をオンさせる。ビット線には0V
が印加され、セルには“0”が書き込まれる。一方、
“1”のまま保持すべきセルに対応するビット線BLに
は、端子15が“H”になるように設定する。この時点で
PMOSトランジスタのソース16の電圧値を9Vにセットし
てトランジスタQ22をオンさせる。ビット線BLに9V
が印加され前記図11に示した書き込みのバイアス条件
を得る。
【0016】一方、読み出しは次のようである。図14
の波形図を参照すると、まず、F.F回路をディセーブル
(CKを“L”レベル)として、ビット線BLを5Vまで
プリチャージする。次にトランジスタQ22をオンさせ
て、選択セルの制御ゲートを0V、非選択セルの制御ゲ
ートを5Vとする。すると、しきい値が0Vを越えたセ
ルが接続されたビット線電位は変化せず、しきい値が0
V以下のセルの接続されたビット線については曲線18の
ように、セルの電流に伴い時間と共に低下していく。こ
こで適当なインターバルをおいた後、例えば時刻t1 の
時点でF.F 回路をアクティブとすることによりビット線
BLの電位はF.F 回路内に取り込まれる。すなわち、端
子15の電圧は“0”データならば“H”、“1”データ
ならば“L”となるようにラッチされる。
【0017】図15は上記メモリセルアレイとセンス系
回路のレイアウトを示す平面図である。上記F.F 回路か
らなるセンスアンプ31は、メモリセルアレイ32に対して
一方の辺に複数個例えば4k個配置され、あるロウ33方
向のセルのデータが一括してセンスアンプ31に取り込ま
れる。また、逆にセンスアンプを形成するF.F 回路に外
部からデータを書き込み、ロウ33のセルに一括して書き
込むことができる。
【0018】ところが、あるアプリケーションによって
はロウ33のデータをすべて、全く別のロウ34に一括して
コピーしたい場合が生じる。これをコピーバックと称す
る。この機能を従来回路のセンスアンプ31を用いて実現
することを考える。まず、ロウ33のデータをセンスアン
プ31に取り込む。このとき、図13に示すように“0”
の書かれたメモリセルを読出すビット線端子15は“H”
レベル、“1”の書き込まれたメモリセルの端子15は
“L”レベルと検知される。この状態でロウ34に書き込
みを行うと、端子15が“H”のビット線は中間電位であ
るのでここに接続されているセルに書き込みは起こら
ず、端子15が“L”のビット線に接続されているセルは
“0”が書き込まれることになる。この結果、もし、34
のロウのセルが消去された状態にあったとしても、ロウ
34には反転のデータが書き込まれてしまう。すなわち、
従来回路でコピーバックを正確に実現しようとすると、
まず、セルのデータを一括してセンスアンプ31に取り込
んだ後、外部にこれを読み出し、データを反転させて再
びセンスアンプ31に書き込み、その後一括してセルにデ
ータを書き込む必要があった。この方式は外部にデータ
の制御器が必要となる上に、データをメモリコアから読
み出し、また書き込むといった膨大な時間のロスが生じ
るという問題がある。
【0019】
【発明が解決しようとする課題】このように、従来では
ロウ単位のメモリデータを一括して他のロウにコピーす
る場合、外部の制御器を用いる必要があり、かつ時間が
かかり過ぎるという欠点がある。
【0020】この発明は上記のような事情を考慮してな
されたものであり、その目的は、メモリデータを一括し
て他のロウにコピーするコピーバック等を、外部のCP
Uパワーを使わずに行い、コピーバック動作時間のロス
の大幅低減化を実現する不揮発制半導体記憶装置を提供
することにある。
【0021】
【課題を解決するための手段】この発明の半導体記憶装
置は、電荷蓄積層を有するトランジスタからなり、書き
込み時にはドレインとゲートとに印加される電位の差の
絶対値に応じ、その絶対値が大きいほどしきい値が大き
く変動し、そのしきい値に応じたデータを記憶するメモ
リセルと、複数のメモリセルのドレインが共通接続され
たビット線と、読み出し時に前記ビット線を所定電位に
プリチャージするプリチャージ手段と、前記ビット線に
接続され、前記メモリセルから読み出したデータを一時
的に保持するデータ保持手段と、前記データ保持手段の
反転データに応じた電位を前記ビット線に出力する反転
データ出力手段とを具備したことを特徴とする。また、
前記反転データ出力手段によるメモリセルへの書き込み
動作にベリファイ手段を具備することを特徴とする。
【0022】
【作用】この発明では、NAND型メモリセル構造にお
いて、あるセルデータを他のセルへコピーする場合、外
部へ読み出しデータを持ち出さず、反転データ出力手段
によりデータ保持手段のデータをビット線に伝達する。
【0023】
【実施例】以下、図面を参照してこの発明を実施例によ
り説明する。図1はこの発明の第1実施例に係る不揮発
性半導体記憶装置の要部であり、データ書き込み、読み
出しに関係するセンス系回路の構成を示す回路図であ
る。この図は簡略化のため、ビット線に共通にドレイン
が接続される複数のNAND型メモリセルの各ユニット
分が示されている。実際には一点鎖線で囲んだ回路ARY
が並列接続されており、ビット線数千本が併設され、N
AND型メモリセルがアレイ状に設置される。
【0024】すなわち、書き込みデータを一時的に保持
するフリップフロップ回路1 と、ビット線BLと、ビッ
ト線BLに接続される図10において説明したNAND
型メモリセル2 各々と、ビット線BLを所定電位にプリ
チャージするPチャネルトランジスタQ1 と、ビット線
BLとフリップフロップ回路1 とを接続するNチャネル
トランジスタQ2 と、フリップフロップ回路1 における
ビット線BLと反対側のノードとトランジスタQ2 のビ
ット線側の一端との間に両端が接続されたNチャネルト
ランジスタQ3 とからなる。このトランジスタQ3 が読
み出しデータ保持後、その反転データに応じた電位をビ
ット線BLに出力するように設けられている。フリップ
フロップ回路1 における各端子はカラムゲート3 を介し
てI/O 線、 BI/O 線(I/O の反転信号線)に接続されて
いる。
【0025】トランジスタQ1 のゲートにはφ1 信号線
が接続されプリチャージを制御する。また、トランジス
タQ2 のゲートにはφ2 信号線が、Q3 のゲートにはφ
3 信号線が接続され、所定のタイミングで制御される。
トランジスタQ1 のソースは書き込み動作時9V、それ
以外の時は5Vとなる電源に接続されている。また、フ
リップフロップ回路1 の電源も書き込み動作時は9V、
それ以外の時は5Vとなるように構成される。フリップ
フロップ回路1 は例えば図2のようなクロックトインバ
ータで構成される。
【0026】上記図1の構成において、メモリセル2-x
のデータをメモリセル2-1 にコピーする動作を説明す
る。コピー先のセル2-1 はあらかじめ消去された状態、
すなわち、オン状態にあるとする。まず、セル2-x の情
報を読み出す。このとき、フリップフロップ回路1 は図
2に示されるクロックCK,BCK (CKの反転)を入力する
トランジスタがカットオフされ、ディセーブル状態にあ
る。ビット線BLと接続されているトランジスタQ2 は
オン、トランジスタQ3 はオフに設定されている。い
ま、トランジスタQ2 をオンさせ、ビット線BLをプリ
チャージして“H”レベルにおき、フリーランニング状
態とする。
【0027】ある適当な時間経過後、フリップフロップ
回路1 をイネーブル状態にする。その時のビット線BL
の電位がフリップフロップ回路1 のしきい値電圧より高
い、すなわち、セル2-x にデータが書き込まれていてし
きい値が高ければ、ビット線BLとフリップフロップ回
路1 の接続ノード4 は“H”レベルに設定される。ま
た、セル2-x が消去状態すなわちでオン状態のままであ
れば、ビット線BLは放電するため、ノード4 は“L”
レベルに設定される。これにより、読み出し動作は完了
する。すなわち、読み出したセル2-x のデータはフリッ
プフロップ回路1にラッチされたことになる。
【0028】このフリップフロップ回路1 のデータをセ
ル2-1 にコピーする場合は、フリップフロップ回路1 の
電源電位を上げ(9V)、そしてトランジスタQ2 をオ
フ、トランジスタQ3 をオンにする。すると、ノード4
と相補な信号、つまりノード5 の信号がビット線BL先
に現れることになる。すなわち、読み出したセル2-Xが
オン状態(“1”データ)によりノード4 が“L”に設
定されていれば、反対側のノード5 は“H”である。こ
の結果、ビット線BLは中間電位にプリチャージされ、
セル2-1 の選択ゲート(図10で示すところの選択トラ
ンジスタQ11)をオンさせて書き込み動作に入ってもセ
ル2-1 には十分な電位差が与えられないためデータが書
き込まれず、オン状態(“1”データ)のままである。
一方、セル2-x がオフ状態(“0”データ)によりノー
ド4 の“H”が設定されていれば、反対側のノード5 は
“L”である。すなわち、書き込み動作に入ったときビ
ット線BLは“L”にプリチャージされ、セル2-1 には
十分な電位差が与えられることにより“0”データが書
き込まれることになる。
【0029】上記実施例によればフリップフロップ1 に
取り込んだメモリセル2-x の内容が外部に読み出される
ことなしに、他のメモリセル2-1 にコピーされる。この
コピーバック動作は並列接続されたフリップフロップ1
を含むこの発明のセンス系回路で一括に行われるわけ
で、短時間でのページ単位のコピーが可能となる。
【0030】図3は第2の実施例を示す回路図であり、
図2の構成のフリップフロップ回路1 を複数のビット線
で共有した応用例である。ビット線の切り換えは、信号
S1‥‥S4 によって制御されるトランジスタQ71‥‥
Q74によって行う。この結果、パターン面積の削減とい
う効果が得られ、カラム方向でのデータの移動、共有し
たビット線に一括してデータを出力する場合に有効であ
る。
【0031】図4は第3の実施例を示す回路図であり、
ベリファイ機能を付加してある。この回路は、通常の書
き込み動作と本願に関わるコピーバック用の書き込み動
作の両方に対しベリファイ機能を有している。電源とビ
ット線BLとの間において、トランジスタQ21とQ22を
直列に接続し、また、トランジスタQ31とQ32を直列に
接続している。トランジスタQ21,Q31はそれぞれベリ
ファイ動作制御用の信号φ6 ,φ7 でゲート制御され
る。トランジスタQ22,Q32はそれぞれフリップフロッ
プ回路1 のノード4 ,5 でゲート制御される。
【0032】まず、通常の書き込みのベリファイ動作は
図のNチャネルトランジスタQ21,Q22を利用する。以
下(i) ,(ii)により簡単に説明する。 (i) 例えばノード4 が“L”にセットされ選択したセル
に“0”データを書き込む動作をさせた場合、次のベリ
ファイ時の読み出し動作において、トランジスタQ2 オ
フ、トランジスタQ1 オンによるビット線のプリチャー
ジから一定時間(フリーランニング期間)を経ると次の
ようなセルの状態が考えられる。
【0033】(1) 確実に“0”データとしてのしきい値
を得たセルはビット線のプリチャージ電位を保つ。 (2) まだ、しきい値の設定が十分でない、つまり電子が
必要量注入されていないセルはオン状態に近く、ビット
線のプリチャージ電位を放電させてしまう。 上記フリーランニング期間後、信号φ6 によりトランジ
スタQ21をオンさせる。ノード4 は“L”であるからト
ランジスタQ22はオフ、よって“0”データを書き込も
うとするビット線には何の影響も与えない。次に、トラ
ンジスタQ21をオフ、トランジスタQ2 をオンさせてビ
ット線BLとフリップフロップ回路1 とを接続した場
合、(1) の状態ならば、フリップフロップ回路1 のノー
ド4 は“L”から“H”に変化するのでフリップフロッ
プ回路1 のラッチデータが反転し、このビット線につな
がる選択セルは次の再書き込み動作から除外される。
(2) の状態ならば、フリップフロップ回路1 のノード4
は“L”のままであり、次の再書き込み動作が行われ
る。すなわち、信号φ2 によりQ2 をオフさせビット線
BLとフリップフロップ1 を電気的に切断して、信号φ
1 によりQ1 をオンさせビット線BLをプリチャージす
る。次に信号φ2 によりQ2 をオンさせてフリップフロ
ップ回路1 のノード4 の“L”をビット線電位に設定
し、再び書き込み動作が行われる。この再書き込み動作
はベリファイ時において、上記(1) の状態を得るまで繰
り返される。
【0034】(ii)一方、例えばノード4 が“H”にセッ
トされメモリセルに“1”データを書き込む動作、つま
り消去状態のままに保つ動作をさせると、次のベリファ
イ時の読み出し動作において、トランジスタQ2 オフ、
トランジスタQ1 オンによるビット線のプリチャージか
らフリーランニング期間を経ると必然的にビット線のプ
リチャージ電位は放電する。このフリーランニング期間
後、信号φ6 によりトランジスタQ21をオンさせる。ノ
ード4 は“H”であるからトランジスタQ22はオン、よ
ってこのビット線にノード4 の“H”レベルが伝達され
る。次に、トランジスタQ21をオフ、トランジスタQ2
をオンさせてビット線BLとフリップフロップ回路1 と
を接続した場合、ビット線BLはノード4 の“H”と同
じ電位を有しているのでフリップフロップ回路1 のラッ
チデータは変わらない。フリップフロップ回路1 のノー
ド4 の“H”は書き込み動作の期間に入ると書き込み禁
止電圧として昇圧されビット線の電位を書き込み禁止電
圧に保つ。すなわち、フリップフロップ回路1 のノード
4 が“H”に接続されたビット線は書き込みは起こらな
い。
【0035】上記説明したように、通常の書き込みのベ
リファイ動作と同様にコピーバック用の書き込みのベリ
ファイ動作を行うのが、図において信号φ7 により制御
されるNチャネルトランジスタQ31とノード5 により制
御されるNチャネルトランジスタQ32である。すなわ
ち、FIG.9の構成で説明したようにデータをコピーバッ
クする。その後、トランジスタQ21,Q22の代わりにト
ランジスタQ31,Q32を利用して、コピーバックしたデ
ータが確実に書き込まれているか、上記通常の書き込み
のベリファイ動作と同じシーケンスでベリファイ動作、
必要に応じて再書き込み動作を行う。コピーバックのベ
リファイ動作終了時にはノード5 が“H”にセットされ
る。
【0036】図5は第4の実施例を示す回路図であり、
トランジスタQ21,Q22の直列接続配置、トランジスタ
Q31,Q32の直列接続配置がそれぞれ図4と逆になって
いる。動作は図4と同様である。
【0037】図6は第5の実施例を示す回路図であり、
強制反転型と呼ばれるセンス回路に適用した回路図であ
る。詳細は特願平5−74797に開示されている。な
お、図1と同一の回路素子については同一符号を付して
ある。ここでのフリップフロップ回路1 はクロックトイ
ンバータを用いていない。ビット線電位を受けるトラン
ジスタのオンでフリップフロップ回路1 のデータノード
が強制的に接地電位にされ、フリップフロップ回路のデ
ータが反転するタイプなので、フリップフロップ回路1
を必ずしもクロックトインバータで構成しなくてもよ
い。ラッチ型センスアンプ回路としてのフリップフロッ
プ回路1 において、素子数削減、占有面積縮小に効果が
あるといえる。
【0038】図6の回路は図1の構成にさらに、フリッ
プフロップ回路1 のノード5 と0Vの接地電位との間に
直列に接続されたトランジスタQ4 、Q5 、ノード4 と
接地電位との間に接続されたトランジスタQ6 からな
る。トランジスタQ4 のゲートはビット線BLに接続さ
れており、トランジスタQ5 のゲートは信号φ4 で制御
され、これらトランジスタQ4 、Q5 とで強制反転手段
を構成している。また、トランジスタQ6 のゲートには
信号φR の信号線が接続され、トランジスタQ6はリセ
ット手段を構成する。
【0039】上記構成のセンス系回路の動作は、ビット
線電位を読み込む前に信号φR によりトランジスタQ6
をオンさせてフリップフロップ回路1 を初期化してお
き、その後、ビット線BLをプリチャージしてトランジ
スタQ2 をオンさせ、ビット線をフリーランニング状態
におき、ある時間経過後、トランジスタQ5 をオン状態
にする。このとき、ビット線電位が“L”レベルになっ
ていればフリップフロップ回路1 の状態は初期値と同一
であるが、“H”レベルになっていればフリップフロッ
プ回路1 の状態が反転し、ノード5 を“H”から“L”
に設定しなおす。
【0040】すなわち、図6の構成の回路に上記(i) ,
(ii)の各書き込みの条件を与えた場合、次のようにベリ
ファイ動作する。まず、(i) の書き込み条件では、やは
り、(1) ,(2) の状態が考えられる。その後、トランジ
スタQ5 をオン状態にする。ここで、(1) の状態になっ
ていればフリップフロップ回路1 のラッチデータは反転
し、このビット線につながる選択セルは次の再書き込み
動作から除外される。なぜなら、次の再書き込み動作時
にはノード4 は“H”であり、ビット線に書き込み禁止
電圧が印加されることになるからである。また、(2) の
状態になっていれば、トランジスタQ4 はオンせず、ノ
ード4 は“L”のままであるから次の再書き込み動作が
行われる。この再書き込み動作は、ベリファイ時におい
て、上記(1) の状態を得るまで繰り返される。一方、(i
i)の書き込み条件ではビット線は必然的に放電状態にな
るから、トランジスタQ4 はオンせず、ノード4 は
“H”のままであり、次の再書き込み時には書き込み当
初と同様にビット線に書き込み禁止電圧が印加される。
すなわち、フリップフロップ回路1 のノード4 が“H”
に接続されたビット線は書き込みは起こらない。
【0041】このような構成の回路においてコピーバッ
ク動作させる場合、図1と同様にトランジスタQ2 をオ
フし、トランジスタQ3 をオンさせ、フリップフロップ
回路1 のノード5 の電位をビット線に出力させればよ
い。
【0042】図7は第6実施例の回路図であり、図6の
構成にさらに本願に関わるコピーバック用の書き込み動
作に対するベリファイ機能をも付加したものである。フ
リップフロップ回路1 のノード5 ,ノード4 はそれぞれ
トランジスタQ5 ,Q7 の電流通路を介してビット線電
位でゲート制御されるトランジスタQ4 の電流通路の一
端に接続される。トランジスタQ4 の電流通路の他端は
接地電位に繋がる。ノード4 と接地電位との間には図6
と同様にリセット用のトランジスタQ6 が設けられてい
る。
【0043】すなわち、フリップフロップ回路1 のノー
ド5 の電位をビット線に出力させコピーバックを行った
後、コピーバック動作時の書き込みデータをベリファイ
するために、トランジスタQ5 に代ってトランジスタQ
7 が動作する。動作シーケンスは図6に準ずる。コピー
バックの書き込みデータが確実に書き込まれたベリイフ
ァイ終了時では、ノード4 は“L”に設定される。
【0044】なお、上記構成におけるリセット用のトラ
ンジスタQ6 は、リセットパスができるようになれば必
要ない。例えばコピーバックのベリファイ動作とは別に
トランジスタQ1 のプリチャージ後、ビット線BLに繋
がる選択ゲート(図10で示すところの選択トランジス
タQ11)のすべてを閉じ、トランジスタQ7 をオン状態
にすることでリセット動作が可能である。
【0045】図8は第7実施例の回路図であり、図7の
構成におけるベリファイ動作制御用の信号φ4 ,φ5 で
ゲート制御されるトランジスタQ5 ,Q7 の配置をグラ
ンド線に接続されるようにしている。よって、図7でト
ランジスタQ7 ,Q5 に対して共有していた、ビット線
電位でゲート制御されるトランジスタQ4 は、トランジ
スタQ7 ,Q5 に対してそれぞれ必要になり、トランジ
スタQ41,Q42を設けている。ここでは、リセット用の
トランジスタQ6 を省いた構成を示した。リセット動作
はビット線のプリチャージ時に行う。すなわち、信号φ
1 が“L”によりトランジスタQ1 が導通すると、ビッ
ト線BLがVccにプリチャージされ、トランジスタQ4
1,Q42共に導通する。この状態で信号φ5 を“H”と
してトランジスタQ7 を導通させるとフリップフロップ
回路1 のノード4 がVssに接地される。このようにして
リセット動作が完了する。このような図8の構成は設計
ルールによってはパターンが容易である場合がある。
【0046】この発明を適用した応用例を以下に説明す
る。フローティングゲートに蓄積された電荷は酸化膜の
欠陥などにより抜けてしまい信頼性上問題となる。これ
に対する有効な方法としてリフレッシュ動作がある。そ
こで上記コピーバック機能をリフレッシュ動作に用いる
ことが考えられる。すなわち、図9に示されるように外
部に特にメモリを必要とせずに簡単にリフレッシュ動作
が行える。まず、図9(a)に示すようにメモリセルア
レイ21のn番目のロウのデータを例えば図1に示すトラ
ンジスタQ2 を介して図1に示したようなセンス系回路
22に読み出す。次にトランジスタQ3 をオンさせ、図9
(b)のようにセンス系回路の情報を使って、n番目の
ロウに同一データを書き込む(コピーバック)。次も同
様にして図9(c)のようにn+1番目のロウを読み出
し、図9(d)のようにn+1番目にコピーバックす
る。これを順次繰り返すことによって所定の電荷が保持
されたすべてのメモリセルに同一データを書き増す(リ
フレッシュ動作を施す)ことが可能である。さらに、セ
ルのより正確なしきい値設定のために、例えば図7や図
8に示すような構成を用いてベリファイ動作も行えば、
信頼性は一層向上する。
【0047】
【発明の効果】以上説明したようにこの発明によれば、
反転データ出力手段を用いることによって、外部へ読み
出しデータを持ち出さずにデータ保持手段のデータをビ
ット線に伝達できるので、メモリデータを一括して他の
ロウにコピーするコピーバックや、メモリのリフレッシ
ュ動作を簡単にかつ短時間に行い、動作時間ロスの大幅
低減化が達成される不揮発制半導体記憶装置を提供する
ことができる。
【図面の簡単な説明】
【図1】この発明の第1実施例に係る不揮発性半導体記
憶装置の要部の構成を示す回路図。
【図2】図1の一部のより具体的な構成を示す回路図。
【図3】図1を応用した第2の実施例の構成を示す回路
図。
【図4】この発明の第3の実施例の構成を示す回路図。
【図5】この発明の第4の実施例の構成を示す回路図。
【図6】この発明の第5の実施例の構成を示す回路図。
【図7】この発明の第6の実施例の構成を示す回路図。
【図8】この発明の第7の実施例の構成を示す回路図。
【図9】この発明を用いてメモリのリフレッシュ動作を
説明するメモリセルアレイを示すパターン平面図。
【図10】(a)はNAND型フラッシュメモリのセル
構造を示す回路図、(b)は(a)のメモリセルのしき
い値の個数分布を示すしきい値分布図。
【図11】図10の構成のメモリセルにおける読み出
し、消去及び書き込み動作時にメモリセルに印加する電
圧を表にして示した図。
【図12】図10の構成のメモリセルにおける消去動作
時、書き込み動作時のしきい値の分布図。
【図13】図10の構成のメモリセルへの読み出し、書
き込みの動作を説明する従来の回路図。
【図14】読み出し時のビット線の変化を示す波形図。
【図15】メモリセルアレイとセンス系回路のレイアウ
トを示す平面図。
【符号の説明】
1…フリップフロップ回路、 2…NAND型メモリセ
ル、Q1 …Pチャネルトランジスタ、Q2 ,Q3 …Pチ
ャネルトランジスタ。

Claims (19)

    【特許請求の範囲】
  1. 【請求項1】 電荷蓄積層を有するトランジスタからな
    り、書き込み時にはドレインとゲートとに印加される電
    位の差の絶対値に応じ、その絶対値が大きいほどしきい
    値が大きく変動し、そのしきい値に応じたデータを記憶
    するメモリセルと、 複数のメモリセルのドレインが共通接続されたビット線
    と、 前記ビット線に接続され、読み出し時に前記ビット線を
    所定電位にプリチャージするプリチャージ手段と、 前記ビット線に接続され、前記メモリセルから読み出し
    たデータを一時的に保持する読み出しデータ保持手段
    と、 前記読み出しデータ保持手段の反転データに応じた電位
    を前記ビット線に出力する反転データ出力手段とを具備
    したことを特徴とする半導体記憶装置。
  2. 【請求項2】 前記反転データ出力手段によるメモリセ
    ルへの書き込み動作にベリファイ手段をさらに具備し、
    このベリファイ手段は前記ビット線と前記データ保持手
    段とを結合制御するトランスファ制御手段を含み、ベリ
    ファイ動作時には前記ビット線と前記データ保持手段と
    を電気的に遮断する期間を有することを特徴とする請求
    項1記載の半導体記憶装置。
  3. 【請求項3】 前記ベリファイ手段はベリファイ動作時
    において導通する第1のベリファイ用トランジスタ及び
    前記データ保持手段の有する所定の保持ノードの信号に
    応じてゲート制御される第2のベリファイ用トランジス
    タを含み、ベリファイ動作時においてこれら第1、第2
    のベリファイ用トランジスタが前記データ保持手段の有
    する所定の保持ノードの信号に応じて前記ビット線の電
    位を制御する電流経路を構成することを特徴とする請求
    項2記載の半導体記憶装置。
  4. 【請求項4】 前記ベリファイ手段はベリファイ動作時
    において導通する第1のベリファイ用トランジスタ及び
    前記ビット線の信号に対応してゲート制御される第2の
    ベリファイ用トランジスタを含み、ベリファイ終了時に
    これら第1、第2のベリファイ用トランジスタが前記デ
    ータ保持手段の有する所定の保持ノードのデータを反転
    させる電流経路を構成することを特徴とする請求項2記
    載の半導体記憶装置。
  5. 【請求項5】 電荷蓄積層を有するトランジスタからな
    り、書き込み時にはドレインとゲートとに印加される電
    位の差の絶対値に応じ、その絶対値が大きいほどしきい
    値が大きく変動し、そのしきい値に応じたデータを記憶
    するメモリセルと、 複数のメモリセルのドレインが共通接続されたビット線
    と、 前記ビット線に接続され、読み出し時に前記ビット線を
    所定電位にプリチャージするプリチャージ手段と、 前記メモリセルからの読み出しもしくはメモリセルへの
    書き込みのデータを一時的に保持する双安定のデータ保
    持手段と、 前記ビット線と前記双安定のデータ保持手段の一方端子
    を接続する第1のトランスファー制御手段と、 前記ビット線と前記双安定のデータ保持手段の他方端子
    を接続する第2のトランスファー制御手段とを具備した
    ことを特徴とする半導体記憶装置。
  6. 【請求項6】 前記双安定のデータ保持手段は読み出し
    時において、予めディセーブル状態にされ、前記プリチ
    ャージ手段これに続く前記ビット線のフリーランニング
    状態を経た後イネーブル状態にされ、その時のビット線
    の電位に応じたデータを保持することを特徴とする請求
    項5記載の半導体記憶装置。
  7. 【請求項7】 ベリファイ動作時に導通させるための第
    1のベリファイ用トランジスタ及び前記データ保持手段
    の他方端子の信号に応じてゲート制御される第2のベリ
    ファイ用トランジスタをさらに具備し、前記ベリファイ
    動作時においてこれら第1、第2のベリファイ用トラン
    ジスタが前記データ保持手段の他方端子の信号に応じて
    前記ビット線の電位を制御する電流経路を構成すること
    を特徴とする請求項6記載の半導体記憶装置。
  8. 【請求項8】 第1のベリファイ動作時に導通させるた
    めの第1のベリファイ用トランジスタ、及び前記データ
    保持手段の一方端子の信号に応じてゲート制御される第
    2のベリファイ用トランジスタ、第2のベリファイ動作
    時に導通させるための第3のベリファイ用トランジス
    タ、及び前記データ保持手段の他方端子の信号に応じて
    ゲート制御される第4のベリファイ用トランジスタをさ
    らに具備し、前記第1のベリファイ動作時においてこれ
    ら第1、第2のベリファイ用トランジスタが前記データ
    保持手段の一方端子の信号に応じて前記ビット線の電位
    を制御する第1の電流経路を構成し、前記第2のベリフ
    ァイ動作時においてこれら第3、第4のベリファイ用ト
    ランジスタが前記データ保持手段の他方端子の信号に応
    じて前記ビット線の電位を制御する第2の電流経路を構
    成することを特徴とする請求項6記載の半導体記憶装
    置。
  9. 【請求項9】 前記双安定のデータ保持手段は読み出し
    時において予めイネーブル状態にされ、前記プリチャー
    ジ手段、前記ビット線のフリーランニング状態を経た前
    記ビット線の電位に応じて、保持データが反転/非反転
    することを特徴とする請求項5記載の半導体記憶装置。
  10. 【請求項10】 前記双安定のデータ保持手段はリセッ
    ト手段を有することを特徴とする請求項9記載の半導体
    記憶装置。
  11. 【請求項11】 ベリファイ動作時に導通させるための
    第1のベリファイ用トランジスタ、及び前記ビット線の
    信号に対応してゲート制御される第2のベリファイ用ト
    ランジスタをさらに具備し、前記ベリファイ終了時にこ
    れら第1、第2のベリファイ用トランジスタが前記デー
    タ保持手段のデータを反転させる電流経路を構成するこ
    とを特徴とする請求項9記載の半導体記憶装置。
  12. 【請求項12】 第1のベリファイ動作時に導通させる
    ための第1のベリファイ用トランジスタ、第2のベリフ
    ァイ動作時に導通させるための第2のベリファイ用トラ
    ンジスタ、及び前記ビット線の信号に対応してゲート制
    御される第3のベリファイ用トランジスタをさらに具備
    し、前記第1のベリファイ終了時にこれら第1、第3の
    ベリファイ用トランジスタが前記データ保持手段のデー
    タを反転させる第1の電流経路を構成し、前記第2のベ
    リファイ終了時にこれら第2、第3のベリファイ用トラ
    ンジスタが前記データ保持手段のデータを反転させる第
    2の電流経路を構成することを特徴とする請求項9記載
    の半導体記憶装置。
  13. 【請求項13】 前記メモリセルがマトリクス状に設け
    られ、前記双安定のデータ保持手段及び前記第1、第2
    のトランスファー制御手段の構成単位はそれぞれ複数の
    ビット線に共通に接続されていることを特徴とする請求
    項5記載の半導体記憶装置。
  14. 【請求項14】 前記メモリセルがマトリクス状に設け
    られ、前記双安定のデータ保持手段及び前記第1、第2
    のトランスファー制御手段の構成単位は複数設けられて
    いる。この構成単位はそれぞれ読み出し時、第1のトラ
    ンスファー制御手段を導通状態に、第2のトランスファ
    ー制御手段を非導通状態にして複数のビット線電位を一
    括して検知し、その後これら双安定のデータ保持手段の
    データを全く変えないか、あるいは一部のみ外部から変
    化させた後、書き込み時において前記第1のトランスフ
    ァー制御手段を非導通状態、第2のトランスファー制御
    手段を導通状態にし、前記データ保持手段の内容を各ビ
    ット線に伝達することを特徴とする請求項5または13
    記載の半導体記憶装置。
  15. 【請求項15】 読み出し時は前記マトリクス状のメモ
    リセルにおける第1のロウを選択し、書き込み時には前
    記マトリクス状のメモリセルにおける第2のロウを選択
    することを特徴とする請求項14記載の半導体記憶装
    置。
  16. 【請求項16】 前記第2のロウを選択する前に予めこ
    の第2のロウに接続されているメモリセルの内容を消去
    状態にしておく手段を含むことを特徴とする請求項15
    記載の半導体記憶装置。
  17. 【請求項17】 トランジスタとして導通/非導通の区
    別がなされる自己のしきい値を有し、そのしきい値に対
    応したデータを記憶するメモリセルと、 相補な信号をラッチする第1、第2のラッチノードを有
    し、前記メモリセルのデータを第1のラッチノードでラ
    ッチして読み出しデータとするラッチ型センスアンプ
    と、 前記読み出しデータを前記メモリセルへの書き込みデー
    タとして用いる第1のデータ制御手段と、 前記読み出しデータと逆のデータである第2のラッチノ
    ードのデータを前記メモリセルへの書き込みデータとし
    て用いる第2のデータ制御手段とを具備したことを特徴
    とする半導体記憶装置。
  18. 【請求項18】 前記第1のデータ制御手段は前記書き
    込みデータに対応したしきい値の範囲に収めるための第
    1のベリファイ手段を含むことを特徴とする請求項17
    記載の半導体記憶装置。
  19. 【請求項19】 前記第2のデータ制御手段は前記書き
    込みデータに対応したしきい値の範囲に収めるための第
    2のベリファイ手段を含むことを特徴とする請求項17
    記載の半導体記憶装置。
JP17819494A 1993-09-02 1994-07-29 半導体記憶装置 Expired - Fee Related JP2922116B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP17819494A JP2922116B2 (ja) 1993-09-02 1994-07-29 半導体記憶装置
US08/297,753 US5465235A (en) 1993-09-02 1994-08-30 Non-volatile memory device with a sense amplifier capable of copying back
EP94113683A EP0642133A3 (en) 1993-09-02 1994-09-01 Non-volatile memory device with a sense amplifier that can copy back.
US08/965,426 USRE36732E (en) 1993-09-02 1997-11-06 Non-volatile memory device with a sense amplifier capable of copying back

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP5-218499 1993-09-02
JP21849993 1993-09-02
JP17819494A JP2922116B2 (ja) 1993-09-02 1994-07-29 半導体記憶装置

Publications (2)

Publication Number Publication Date
JPH07122092A true JPH07122092A (ja) 1995-05-12
JP2922116B2 JP2922116B2 (ja) 1999-07-19

Family

ID=26498451

Family Applications (1)

Application Number Title Priority Date Filing Date
JP17819494A Expired - Fee Related JP2922116B2 (ja) 1993-09-02 1994-07-29 半導体記憶装置

Country Status (3)

Country Link
US (2) US5465235A (ja)
EP (1) EP0642133A3 (ja)
JP (1) JP2922116B2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6798697B2 (en) 2002-02-06 2004-09-28 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device
JP2007012240A (ja) * 2005-06-30 2007-01-18 Hynix Semiconductor Inc 不揮発性メモリ装置とそのマルチページプログラム、読み出しおよびコピーバックプログラム方法
US7277011B2 (en) 2002-02-22 2007-10-02 Micron Technology, Inc. Removable memory media with integral indicator light
JP2011181131A (ja) * 2010-02-26 2011-09-15 Toshiba Corp 半導体記憶装置
KR20120028828A (ko) * 2010-09-13 2012-03-23 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 기억 장치
US10078449B2 (en) 2000-08-25 2018-09-18 Micron Technology, Inc. Flash memory architecture with separate storage of overhead and user data

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3373632B2 (ja) * 1993-03-31 2003-02-04 株式会社東芝 不揮発性半導体記憶装置
US6240018B1 (en) 1993-03-31 2001-05-29 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device having verify function
JP3737525B2 (ja) * 1994-03-11 2006-01-18 株式会社東芝 半導体記憶装置
JP3199989B2 (ja) * 1994-09-30 2001-08-20 株式会社東芝 不揮発性半導体記憶装置とその過書込み救済方法
US5845313A (en) 1995-07-31 1998-12-01 Lexar Direct logical block addressing flash memory mass storage architecture
US6728851B1 (en) 1995-07-31 2004-04-27 Lexar Media, Inc. Increasing the memory performance of flash memory devices by writing sectors simultaneously to multiple flash memory devices
US6978342B1 (en) 1995-07-31 2005-12-20 Lexar Media, Inc. Moving sectors within a block of information in a flash memory mass storage architecture
US8171203B2 (en) 1995-07-31 2012-05-01 Micron Technology, Inc. Faster write operations to nonvolatile memory using FSInfo sector manipulation
KR0172366B1 (ko) * 1995-11-10 1999-03-30 김광호 불휘발성 반도체 메모리 장치의 독출 및 프로그램 방법과 그 회로
KR0182866B1 (ko) * 1995-12-27 1999-04-15 김주용 플래쉬 메모리 장치
JP3200012B2 (ja) * 1996-04-19 2001-08-20 株式会社東芝 記憶システム
JP3401395B2 (ja) * 1996-12-25 2003-04-28 シャープ株式会社 不揮発性半導体メモリのデータ書き込み回路
GB2339044B (en) 1998-03-02 2003-06-04 Lexar Media Inc Flash memory card with enhanced operating mode detection and user-friendly interfacing system
JP3012589B2 (ja) * 1998-03-24 2000-02-21 日本電気アイシーマイコンシステム株式会社 不揮発性半導体記憶装置
KR100544175B1 (ko) * 1999-05-08 2006-01-23 삼성전자주식회사 링킹 타입 정보를 저장하는 기록 매체와 결함 영역 처리 방법
US7102671B1 (en) 2000-02-08 2006-09-05 Lexar Media, Inc. Enhanced compact flash memory card
US7079422B1 (en) 2000-04-25 2006-07-18 Samsung Electronics Co., Ltd. Periodic refresh operations for non-volatile multiple-bit-per-cell memory
US6856568B1 (en) 2000-04-25 2005-02-15 Multi Level Memory Technology Refresh operations that change address mappings in a non-volatile memory
US6396744B1 (en) 2000-04-25 2002-05-28 Multi Level Memory Technology Flash memory with dynamic refresh
US7167944B1 (en) 2000-07-21 2007-01-23 Lexar Media, Inc. Block management for mass storage
JP3631463B2 (ja) 2001-12-27 2005-03-23 株式会社東芝 不揮発性半導体記憶装置
JP2003030993A (ja) * 2001-07-17 2003-01-31 Toshiba Corp 半導体記憶装置
GB0123419D0 (en) 2001-09-28 2001-11-21 Memquest Ltd Data handling system
GB0123415D0 (en) 2001-09-28 2001-11-21 Memquest Ltd Method of writing data to non-volatile memory
GB0123410D0 (en) 2001-09-28 2001-11-21 Memquest Ltd Memory system for data storage and retrieval
GB0123421D0 (en) 2001-09-28 2001-11-21 Memquest Ltd Power management system
GB0123417D0 (en) 2001-09-28 2001-11-21 Memquest Ltd Improved data processing
GB0123416D0 (en) 2001-09-28 2001-11-21 Memquest Ltd Non-volatile memory control
JP3977799B2 (ja) * 2003-12-09 2007-09-19 株式会社東芝 不揮発性半導体記憶装置
US6957295B1 (en) 2002-01-18 2005-10-18 Lexar Media, Inc. File management of one-time-programmable nonvolatile memory devices
US6950918B1 (en) 2002-01-18 2005-09-27 Lexar Media, Inc. File management of one-time-programmable nonvolatile memory devices
US7231643B1 (en) 2002-02-22 2007-06-12 Lexar Media, Inc. Image rescue system including direct communication between an application program and a device driver
US6973519B1 (en) 2003-06-03 2005-12-06 Lexar Media, Inc. Card identification compatibility
DE10357786B3 (de) * 2003-12-10 2005-05-19 Infineon Technologies Ag Vorladungsanordnung für Lesezugriff für integrierte nicht-flüchtige Speicher
EP1695304A4 (en) 2003-12-17 2011-09-28 Lexar Media Inc POINT-OF-SALE ACTIVATION OF ELECTRONIC DEVICES TO AVOID THEFT
US7725628B1 (en) 2004-04-20 2010-05-25 Lexar Media, Inc. Direct secondary device interface by a host
US7370166B1 (en) 2004-04-30 2008-05-06 Lexar Media, Inc. Secure portable storage device
US7490283B2 (en) 2004-05-13 2009-02-10 Sandisk Corporation Pipelined data relocation and improved chip architectures
US7159069B2 (en) 2004-06-23 2007-01-02 Atmel Corporation Simultaneous external read operation during internal programming in a flash memory device
US7594063B1 (en) 2004-08-27 2009-09-22 Lexar Media, Inc. Storage capacity status
US7464306B1 (en) 2004-08-27 2008-12-09 Lexar Media, Inc. Status of overall health of nonvolatile memory
US7120051B2 (en) * 2004-12-14 2006-10-10 Sandisk Corporation Pipelined programming of non-volatile memories using early data
US7420847B2 (en) * 2004-12-14 2008-09-02 Sandisk Corporation Multi-state memory having data recovery after program fail
US7849381B2 (en) 2004-12-21 2010-12-07 Sandisk Corporation Method for copying data in reprogrammable non-volatile memory
US7409473B2 (en) * 2004-12-21 2008-08-05 Sandisk Corporation Off-chip data relocation
DE102004063641B4 (de) * 2004-12-27 2011-12-08 Infineon Technologies Ag Nichtflüchtige Speichereinrichtung zum Speichern von Daten und Verfahren zum Löschen oder Programmieren derselben
US7212440B2 (en) * 2004-12-30 2007-05-01 Sandisk Corporation On-chip data grouping and alignment
US7251160B2 (en) * 2005-03-16 2007-07-31 Sandisk Corporation Non-volatile memory and method with power-saving read and program-verify operations
WO2006129345A1 (ja) * 2005-05-30 2006-12-07 Spansion Llc 半導体装置及びプログラムデータ冗長方法
KR100673703B1 (ko) * 2005-06-14 2007-01-24 주식회사 하이닉스반도체 멀티 레벨 셀들을 포함하는 플래시 메모리 장치의 카피백동작 제어 방법
US7631162B2 (en) 2005-10-27 2009-12-08 Sandisck Corporation Non-volatile memory with adaptive handling of data writes
US7509471B2 (en) * 2005-10-27 2009-03-24 Sandisk Corporation Methods for adaptively handling data writes in non-volatile memories
US7688648B2 (en) * 2008-09-02 2010-03-30 Juhan Kim High speed flash memory
US7542343B1 (en) 2007-09-21 2009-06-02 Juhan Kim Planar NAND flash memory
US8443260B2 (en) 2007-12-27 2013-05-14 Sandisk Il Ltd. Error correction in copy back memory operations
US9594679B2 (en) * 2008-05-01 2017-03-14 Sandisk Il Ltd. Flash cache flushing method and system
US8645617B2 (en) * 2008-12-09 2014-02-04 Rambus Inc. Memory device for concurrent and pipelined memory operations
WO2012160963A1 (en) 2011-05-20 2012-11-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05144277A (ja) * 1991-09-24 1993-06-11 Toshiba Corp 不揮発性半導体記憶装置

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2596180B2 (ja) * 1990-05-28 1997-04-02 日本電気株式会社 半導体集積メモリ回路
US5361227A (en) * 1991-12-19 1994-11-01 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device and memory system using the same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05144277A (ja) * 1991-09-24 1993-06-11 Toshiba Corp 不揮発性半導体記憶装置

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10078449B2 (en) 2000-08-25 2018-09-18 Micron Technology, Inc. Flash memory architecture with separate storage of overhead and user data
US6882569B2 (en) 2002-02-06 2005-04-19 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device
US7038946B2 (en) 2002-02-06 2006-05-02 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device
US6798697B2 (en) 2002-02-06 2004-09-28 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device
US7330372B2 (en) 2002-02-06 2008-02-12 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device
US7586785B2 (en) 2002-02-06 2009-09-08 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device
US7277011B2 (en) 2002-02-22 2007-10-02 Micron Technology, Inc. Removable memory media with integral indicator light
JP2007012240A (ja) * 2005-06-30 2007-01-18 Hynix Semiconductor Inc 不揮発性メモリ装置とそのマルチページプログラム、読み出しおよびコピーバックプログラム方法
JP2011243284A (ja) * 2005-06-30 2011-12-01 Hynix Semiconductor Inc 不揮発性メモリ装置のマルチページコピーバックプログラム方法
JP2012009134A (ja) * 2005-06-30 2012-01-12 Hynix Semiconductor Inc 不揮発性メモリ装置のマルチページ読み出し方法
US8514640B2 (en) 2010-02-26 2013-08-20 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
JP2011181131A (ja) * 2010-02-26 2011-09-15 Toshiba Corp 半導体記憶装置
KR20120028828A (ko) * 2010-09-13 2012-03-23 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 기억 장치
JP2012084215A (ja) * 2010-09-13 2012-04-26 Semiconductor Energy Lab Co Ltd 半導体記憶装置

Also Published As

Publication number Publication date
US5465235A (en) 1995-11-07
USRE36732E (en) 2000-06-13
JP2922116B2 (ja) 1999-07-19
EP0642133A2 (en) 1995-03-08
EP0642133A3 (en) 1995-11-02

Similar Documents

Publication Publication Date Title
JP2922116B2 (ja) 半導体記憶装置
JP3373632B2 (ja) 不揮発性半導体記憶装置
US5917756A (en) Nonvolatile semiconductor memory cell capable of saving overwritten cell and its saving method
US6775184B1 (en) Nonvolatile memory integrated circuit having volatile utility and buffer memories, and method of operation thereof
US5815444A (en) Serial access system semiconductor storage device capable of reducing access time and consumption current
JP2001057088A (ja) Nand型不揮発性メモリ
JP3625383B2 (ja) 不揮発性半導体メモリ装置
JPH04276393A (ja) 不揮発性半導体記憶装置
US7590003B2 (en) Self-reference sense amplifier circuit and sensing method
JP3323869B2 (ja) 不揮発性半導体メモリ装置
CN100419915C (zh) 非易失性半导体存储器件
JPH04243096A (ja) 不揮発性半導体記憶装置
JPH0679440B2 (ja) 不揮発性半導体記憶装置
US7317647B2 (en) Noise suppression in memory device sensing
JP2609211B2 (ja) メモリセルの検査回路装置および方法
JP3789977B2 (ja) 不揮発性半導体記憶装置
JPH11273392A (ja) 不揮発性半導体メモリの冗長方法及び装置
JPH0266798A (ja) 不揮発性半導体記憶装置
JP3415254B2 (ja) 不揮発性半導体記憶装置
US20060092720A1 (en) Semiconductor memory
KR0179942B1 (ko) 반도체 기억장치
JP3373837B2 (ja) 不揮発性半導体記憶装置
JP3400135B2 (ja) 半導体記憶装置
JP2004253135A (ja) 不揮発性半導体記憶装置
JPH09251791A (ja) 不揮発性半導体記憶装置

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080430

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090430

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100430

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100430

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110430

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130430

Year of fee payment: 14

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140430

Year of fee payment: 15

LAPS Cancellation because of no payment of annual fees