JPH0338740B2 - - Google Patents

Info

Publication number
JPH0338740B2
JPH0338740B2 JP57502640A JP50264082A JPH0338740B2 JP H0338740 B2 JPH0338740 B2 JP H0338740B2 JP 57502640 A JP57502640 A JP 57502640A JP 50264082 A JP50264082 A JP 50264082A JP H0338740 B2 JPH0338740 B2 JP H0338740B2
Authority
JP
Japan
Prior art keywords
substrate
channel
station
coating
channels
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP57502640A
Other languages
English (en)
Other versions
JPS58501447A (ja
Inventor
Edowaado Botsuku
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of JPS58501447A publication Critical patent/JPS58501447A/ja
Publication of JPH0338740B2 publication Critical patent/JPH0338740B2/ja
Granted legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67784Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations using air tracks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Description

請求の範囲 1 基板を水平方向に搬送する通路の搬送方向に
沿つて、供給チヤンネルと排出チヤンネルとを互
いに交互に近接させながら一連に設置し、かつ前
記供給チヤンネルから流体媒体を基板の表裏面の
少なくとも一面に向け加圧状態で供給するととも
に、この供給チヤンネルから供給される流体媒体
が前記基板の表面に沿つて流れるように減圧吸引
しながら前記排出チヤンネルから流体媒体を排出
させて、前記基板を浮上搬送させながら表面に流
体媒体層を形成してなることを特徴とする薄形基
板の搬送処理方法。
2 前記供給チヤンネルと排出チヤンネルとを、
通路内に搬送される基板が間に挟まれるように上
下に対設させて設置してなることを特徴とする特
許請求の範囲第1項に記載の薄形基板の搬送処理
方法。
3 前記通路の上下に設置された各々の供給チヤ
ンネルから供給される流体媒体の加圧状態に圧力
差をつけてなることを特徴とする特許請求の範囲
第2項に記載の薄形基板の搬送処理方法。
4 前記基板の表面に、他の供給チヤンネルから
洗浄剤、リンス剤、粘着促進剤等の液状媒体の吹
き付けてなることを特徴とする特許請求の範囲第
1項乃至第3項のいずれか一つに記載の薄形基板
の搬送処理方法。
5 前記基板の表面に吹き付けられる液状媒体の
供給チヤンネルに、シンナー等の気相媒体の吹き
付け供給チヤンネルを並設し、これら液状媒体及
び気相媒体を共通な排出チヤンネルから排出させ
てなることを特徴とする特許請求の範囲第4項に
記載の薄形基板の搬送処理方法。
6 前記基板を乾燥ステーシヨンに通過させてな
ることを特徴とする特許請求の範囲第4項もしく
は第5項のいずれかに記載の薄形基板の搬送処理
方法。
明細書 本発明は、例えばマイクロエレクトロニクスに
おけるシリコンウエハ等の薄形基板の表面に微小
濾過した気体雰囲気で流体媒体膜を形成する適用
される薄形基板の搬送処理方法に関するものであ
る。
本願出願人のオランダ特許出願第8101440号に
おいては、基本上に流体媒体を付与する為の装置
が開示されており、これによれば、1枚の案内壁
が使用されており、これに沿つて、処理されるべ
き基板の表面は、この基板に媒体が供給される過
程以前に、前記壁に直接接触した状態で移動可能
となつている。その際、真空圧下でその様な基板
はこの壁に対して圧接される。媒体のアウトレツ
トを案内壁の延長部近くまで延在させることによ
り、そのアウトレツトの分離壁と基板との間に微
小通路が得られ、その通路内を媒体は排出チヤン
ネルに向かつてこの基板に沿つて流動可能であ
る。
基板に対し案内壁を有する装置の欠点は次の通
りである。
1 その壁に沿つて真空圧下で基板がその様な壁
に沿つて移動する間に、微小(サブミクロン)
粒子がその基体から除去され、そして付着した
コーテイングに対し汚染等の影響を及ぼす。
2 案内壁内に位置せしめられた真空チヤンネル
を介して、コーテイングやその他の媒体が吸引
されこれらチヤンネルを遮断する。
3 コーテイング供給チヤンネルを通過後、基板
は室の通路壁との接触による変形の為、再度そ
の原型をとり得る。
4 吸引力が小さ過ぎると、通路の幅方向に不規
則性が生じ、その結果媒体の供給及び排出が変
動する。
5 基板に対し高圧媒体を供給することが不可能
である。
6 基板の厚さが変化すると損傷の原因となり得
る。
7 コーテイング形成後、そのコーテイングされ
た基板表面に接触してはいけない。
本発明に係る方法及び装置においては、これら
の欠点が除去されている。これによれば、基板近
傍であつて通路内に媒体クツシヨンを形成維持
し、この様な基板の少なくとも中央領域は供給、
排出チヤンネルの壁に接触することことがない様
にされている。
マイクロエレクトロニクスにおいて使用される
基板は、厚さのバラツキが極めて小さく、且つ、
反りや非平坦性についての公差も狭小である。従
つて、これら基板に隣接する通路領域は極めて幅
狭とすることが可能であり、それに対応してこの
様なクツシヨンを維持する為の媒体の消費量も少
なくて済む。媒体クツシヨンの高さを極めて限定
的なものとすることにより、基板へ処理媒体の大
きな力を作用させることを可能として、その結果
これらの通路領域において媒体の強い旋回運動を
得ることができる。この旋回運動により、処理が
非常に集約的となり、そして処理長さが短くな
る。更に、処理中、基板を連続的に移動させるこ
とも可能である。
処理される基板当りの流体及び気体媒体の消費
量は制限されており、この様な基板は高価なもの
であるという事を鑑みると完全に許容され得るも
のである。
本方法及び装置に関する一層の詳細は、以下の
図面の説明の後に続いている。
第1図は、マイクロ媒体クツシヨンにより基板
が周囲と接触することなく搬送される室を示した
拡大透視詳細図である。
第2図は、第1図に示された室が使用されてい
る装置の縦断面図である。
第3図は、第2図に示した装置の横断面図であ
る。
第4図は、第2図の断面図に於ける入口部の拡
大詳細である。
第5図は、第2図の断面図における排出部の拡
大詳細である。
第6図は、供給、排出チヤンネルを有するセグ
メントパツケージを示している。
第7図は、第2図の装置の部分断面で、気体媒
体に対し2個の供給チヤンネルが示されている。
第8図は、第7図のセグメントの長手方向断面
である。
第9図は、第8図のセグメントの拡大詳細であ
る。
第10図は、種々の媒体の為の供給、排出チヤ
ンネルを有する第2図の装置の断面である。
第11図は、媒体用の排出チヤンネルが位置せ
しめられているセグメントの側面図である。
第12図は、第11図のセグメントの12−1
2線に沿う断面である。
第13図は、流体媒体用のチヤンネルが位置さ
れているセグメントの側面図である。
第14図は、第13図のセグメントの14−1
4線に沿う断面である。
第15図は、第2図の装置の詳細で、1側部に
おける媒体クツシヨンの高さが他側部より高くな
つている。
第16図は、第2図の装置の詳細で、これには
鋭く曲げられた基板が示されている。
第17図は、1側部に基板を通過させる為の平
滑な案内壁を有する装置の縦断面である。
第18図は、1側部に案内壁部と微小媒体クツ
シヨン部の両方を有する装置の長手方向縦断面で
ある。
第19図は、1側部において基板用の真空ホル
ダをターンテーブルに装着させて設けた変形装置
の断面である。
第20図は、真空ホルダの変形構造を有するタ
ーンテーブル部分の断面である。
第21図は、クリーニング又はリンスを行なう
ステーシヨンの断面である。
第22図は、第21図のステーシヨンの基板近
傍の拡大断面である。
第23図は、第21図のステーシヨンにおける
排出チヤンネルのインレツトの拡大断面である。
第24図は、基板をリンスし続いて乾燥させる
ステーシヨンの長手方向断面である。
第25図は、基板をクリーニングする為のステ
ーシヨンの別の実施例を示した拡大詳細である。
第26図は、第25図のステーシヨンの供給、
排出チヤンネルの拡大断面である。
第27図は、基板の端部近傍の流体媒体用の供
給チヤンネルのアウトレツトを示している。
第28図は、流体媒体用の別のチヤンネルのア
ウトレツトを示している。
第29図は、リンス用の更に別のステーシヨン
の詳細を示している。
第30図は、乾燥器の一連のチヤンネルの拡大
断面である。
第31図は、加熱要素が設けられているオーブ
ンのセグメントの断面である。
第32図は、第31図に示されたセグメントが
設けられているオーブンの拡大断面である。
第33図はコーテイングの付着性を改善する為
に流体を付与するステーシヨンの一連のチヤンネ
ルの拡大断面である。
第34図は、第33図のステーシヨンの変形構
造である。
第35図は、全面に配置された高真空排出チヤ
ンネルを有する第33図のステーシヨンである。
第36図は、コーテイング用供給チヤンネルの
アウトレツトの拡大断面である。
第37図は、第36図のチヤンネルの拡大断面
である。
第38図は、2枚のコーテイング薄膜がその間
に乾燥工程をはさんで基体上に連続的に付着せし
められるところのコーテイングステーシヨン部分
である。
第39図は、一層薄く且つガス状の媒体の結合
体が付着された薄膜に対して供給されるコーテイ
ングステーシヨンの拡大断面である。
第40図は、乾燥工程を介在させた一連のコー
テイングステーシヨンを示している。
第41図は、一連の付着されたコーテイングを
有し段差のついた基板表面を充填する工程を示し
ている。
第42図は、厚い下部コーテイングの上に薄い
上部コーテイングを付着させるコーテイング・オ
ーブンステーシヨンを有する第40図のコーテイ
ングステーシヨンの1部の断面図である。
第43図は、これらコーテイングの付着を模式
的に示している。
第44図は、ガス状の媒体が蒸気相にあるシン
ナー用の搬送手段として機能する場合のコーテイ
ングステーシヨンの断面図である。
第45図は、第44図のステーシヨンの排出チ
ヤンネルのインレツトの拡大断面図である。
第46図は、付着させたコーテイング上の水の
膜を供給するコーテイングステーシヨンの長手方
向断面である。
第47図は、第46図のステーシヨンの排出チ
ヤンネルのインレツトの拡大断面図である。
第48図は、基板上に現像剤を吐出する為のス
テーシヨンの断面図である。
第49図は、現像剤とガス状媒体用の供給チヤ
ンネルのアウトレツトの長手方向拡大断面であ
る。
第50図は、現像剤用のアウトレツトの拡大断
面図である。
第51図は、基板の異なつた位置に現像剤を吐
出する工程を示している。
第52図は、基板にドーピング剤を吐出するス
テーシヨンの拡大断面図である。
第53図は、変形されたドーピングステーシヨ
ンの断面図である。
第1図に於いて、装置10の1部が示されてい
る。これによれば、媒体用の狭い供給チヤンネル
14とこの媒体用の排出チヤンネルとを介在させ
た連続的なセグメントによつて、基板18の両側
に微小媒体クツシヨン20,22が維持されてい
る。この様にして、少なくとも室内部と基板の両
面24及び26との間で機械的接触が起らない様
になつている。
第2図及び第3図に於いてもこの装置が示され
ている。これによれば、基板18は供給ステーシ
ヨンから取出ステーシヨン30を介して室32へ
搬送される。この室内で、これら基板上へ媒体の
付与がなされ、それにより以下のステーシヨンを
通過する: クリーニング34、乾燥36、リンス38、乾
燥・オーブン40、コーテイング付着42、乾燥
44、第1コーテイング46、乾燥48、オーブ
ン50、冷却52、第2コーテイング54、乾燥
56、オーブン58、冷却60、第3コーテイン
グ62、乾燥64、オーブン60、及び冷却6
8。
その後、基板は取出ステーシヨン70へ送ら
れ、且つ排出ステーシヨン72へ搬ばれる。
供給ステーシヨンに於いて、搬送器76の真空
ブロツクにより基板がカセツト78から取出さ
れ、取出ステーシヨン30へ搬送され、ガイド8
2に送られ、搬送媒体90によつてインレツト部
84の狭い通路80を経由して前記室の中へ送り
込まれる(第4図参照)。
この部分に於いては、通路80の両側に多数の
セグメント120が配置されており、ここには搬
送媒体90用の供給チヤンネル86が位置されて
おり(第7図も参照)、且つ真空チヤンネル88
を有するセグメント210も配置されている(第
11図参照)。
処理された基板は、室32の排出部94に入る
が、同様に通路96の両側には多数のセグメント
120及び210が設けられており、且つその間
に介在させて媒体90用の一連の供給チヤンネル
86と真空チヤンネル88が設けられている。そ
の後、基板は搬送部98へ送り出される(第5図
参照)。
生産速度及び媒体消費の面からは、室32内に
おいて、連続する基板が共に接近し互いに接触す
ることが重要である。それ故、基板に作用する力
の総和は、供給部に於ける方が排出部に於けるよ
りも大きくなつている。
更に、基板の搬送速度が同一に維持されること
が重要である。この搬送部に於いては、2個の案
内構造体100及び102が配設されており、そ
のノツチ104は、位置された基板の前に連続的
に設けられ、機構106によつてこれらの基板を
ガイド106上を取出部110へ搬送する。
その後、搬送機構114の真空ブロツク112
がカセツト118の自由位置116へその基板を
搬送する。
室の入口部及び排出部は空気が室に入るのを防
止するエアーロツクとして機能する。その場合
に、基板も共に機能する。第6図に於いて、通過
する基板の連続処理を行う為のステーシヨンが示
されている。
第7図では、2個の同一のセグメント120の
側面と共に、室10の断面が示されており、そこ
にはガス状搬送媒体用のチヤンネル122が位置
されている(第8図及び第9図を参照)。この媒
体としては好適には精製濾過された窒素が良い。
各セグメントに対する上部室壁には、2個の供
給チヤンネル126,128が位置されており、
セグメントチヤンネル130,132はこれらの
チヤンネルに対応している。これらのチヤンネル
は相対的に広い主チヤンネル134に連通してお
り、該主チヤンネル134は無数の極めて狭い分
岐チヤンネル136に連通している。拡散チヤン
ネル138は、分岐チヤンネルを共通の超幅狭チ
ヤンネル140に連通させると共に、室通路とも
連通させている。
チヤンネル136は、基板18近傍の通路部1
42及び144と同じく、相当高い媒体に対する
流動抵抗を有している(第15図及び第16図参
照)。
その様にして、各拡散チヤンネル138には大
略一定な媒体流が供給され、通路部142及び1
44の幅とは大略無関係である。それ故、第15
図及び第16図に示される如く、もし処理中に通
路部142が通路部144より大きくなれば、通
路部148は通路部146におけるよりかなり高
圧力となり、且つ、最終的にはこの部分の最小幅
によつてこの圧力が拡散チヤンネル134内にお
いて高スラスト圧力となる。
他方、幅広の通路部146では、圧力は極めて
低値に低下する。
その様にして、2バール以上の圧力差が通路部
144と146の間で可能となり、この様な基板
18に作用する大きなスラストは自動的に基板を
所定の位置に搬送するが、この圧力差に起因する
力は基板に於ける局所的な力と対応する。
全ての媒体が協動して両方のクツシヨン20及
び22を維持するが、通路の両側に於けるセグメ
ントパツケージの構造は同一である。それによつ
て、両側の基板表面の単位cm2当りに対して200以
上の媒体のスラストが基板に作用する。
第16図に於いては、温度上昇によりいかにし
て基板18に相当な曲りが発生するかということ
を示している。広い通路部146を通して暖い媒
体の流量を増加させると、この媒体は狭い通路部
144でその流量が制限される為面152側より
も150側の方の温度が高くなり、その結果基板
は伸長されて釣合状態となる。
セグメント118及び120に於ける極端に狭
いチヤンネルの摩滅を防止する為、これらのチヤ
ンネルは耐摩滅材料層154で被覆されている
(第9図参照)。板156の対応部分にも同様な層
を設けることが可能であり、端面158及び16
0に関しても同様である。
パツケージ162及び164が上部室部分166
及び下部室部分168内に封入して設けられてい
る(第10図参照)。これらのセグメントはそれ
らの寸法に於いて極めて狭い公差で仕上げられた
表面を有している。
これらの室部分の側室170及び172には、
チヤンネル178,180,182及び184が
設けられており、他の壁部分にはチヤンネル12
6及び128に隣接してチヤンネル136を配置
させることが可能である。これらのチヤンネル
は、室内で所定長さに亘つて延在しており別々に
供給又は排出管路に連結され得る。
室壁には、シール片188がこれらのチヤンネ
ルに隣接して配設されている。側壁の溝174に
は円形シール片176が配置されている。
セグメントの室部分190及び192の取付位
置に取付片194及び196が当接されている。
これらの取付片に沿つてシール片200及び20
2が配置されており、そこでボルト204によつ
て上部及び下部室部分が互いに接続されている。
本発明の範囲内に於いては、これらの片194
及び196は相当の大きさを有し且つ室全体の長
さに亘り延在させることも可能である。
第11図に於いては、セグメント210が示さ
れており、その内部には広々とした真空チヤンネ
ル212が配設されている(第12図も参照)。
このチヤンネルの両端は、室部分166及び16
8内に配設されたチヤンネル178及び182に
対応している。このチヤンネル212は多数の分
岐チヤンネル214を介して共通チヤンネル21
6に連通されている。これらのチヤンネルに於い
ては、狭い部分218が設けられそれらチヤンネ
ルを経由して余りにも簡単に媒体が排出されるの
を防止している。この様なチヤンネルの流動抵抗
は、高圧の第35図に示される真空チヤンネル3
34及び第38図に示される真空チヤンネル33
8にとつては非常に重要である。これにより、基
板と真空チヤンネル214のインレツト周囲のセ
グメント領域との間の通路部内に於いて、高真空
が形成され維持され得る。一方、このグループの
チヤンネルの他の入口近傍では基板部分が存在す
る必要がない。この様なことは、大略円形の基板
に関しては屡々見られることである。
第13図ではセグメント220で示されてお
り、そこでは流体媒体が供給される。主チヤンネ
ル222はその端部を室166及び168のチヤ
ンネル180に連結されている。このチヤンネル
は多数の極端に狭いチヤンネル226を経由し
て、分離拡散チヤンネル228に連結され、次い
で第14図にも示される如く、極端に狭い共通チ
ヤンネル230に連結されている。それにより、
隣接したセグメントがその様なセグメントを押圧
する場合には、多数の小さな壁部分232がこの
チヤンネル230の一定幅を維持するべく機能す
る。これらのチヤンネルにも耐摩減材料層234
を設けることが可能であり、これは隣接するセグ
メントの対応する部分に関しても同様である。
発明の範囲内に於いて、チヤンネルの他の形状
とすることも可能であり、且つチヤンネルの数も
変えることができる。
第17図には室32′が示されており、そこに
は下部168′に於いてのみ種々の処理ステーシ
ヨンを形成すべくセグメントが配置されている。
室の上部166′はガイド236からなり、それ
に沿つて基板18が移動可能となつている。
第18図に於いては、第17図に示された室が
再び示されているが、上部166′に於いても多
数の処理ステーシヨンが設けられている。その結
果、帯状に基板がつながつたり温度の過度の相違
による他の変形が防止される。
第19図に於ける室23″の上部はターンテー
ブル238となつており、その上に多数の真空ホ
ルダ240がその上に基板18を吸引すべく装着
されている。下部には、基板処理用部分242が
配置されている。真空ホルダ1個当たり1個又は
それ以上の設定装置244により、対応する基板
と下部室部分のセグメントとの間の距離を変更す
ることが可能である。
第20図に於いて、真空ホルダ240′はスプ
リング246の力の下でターンテーブル238′
のノツチ248を押圧している。242′部分か
ら流動する媒体が、スプリング246の力に抗し
て基板を上方へ付勢させており基板とセグメント
との間に狭い処理通路を維持している。
第21図に於いて、室の入口部80の1部とク
リーニングステーシヨン34とが示されている。
この通路は2個のパツケージ256によつて形成
されており、そこにはガス状の媒体90用のいく
つかの供給チヤンネル86と排出チヤンネル88
とが配設されている。通路部142及び144を
流れるガス状媒体は、クツシヨン258及び26
0を維持すると共に、基板に対して搬送方向に作
用する搬送スラストカとしても寄与する。
そこで、第22図及び第23図にも示される如
く、連続するセグメント12の間には、熱いか又
はそうでない流体クリーニング用媒体264用の
供給チヤンネル262、ガス状媒体268用の供
給チヤンネル266及びそれらの間ひ配置された
排出チヤンネル270の多数の結合体が配設され
ている。
高圧下で、チヤンネル262を経由して供給さ
れるクリーニング剤264が、基板表面274と接
触し且つ大きな旋回運動を伴つて通路部272を
介して真空チヤンネル270の方へ移動し、この
際にこの表面からよごれを除去し流れと共に搬送
する。
チヤンネル268を経由して供給されたガス
は、通路部276を介して反対方向に流れて真空
チヤンネル270にいたり、そこで基板からクリ
ーニング媒体を除去し、それを排出チヤンネル側
へ送給する。無数の結合体を通過した後に、全て
の汚れが基板から除去されている。
第24図に於いて、基板はセグメントパツケー
ジ286からなる乾燥ステーシヨンに入つてお
り、そこではガス媒体280用供給チヤンネル2
78と真空チヤンネル282との多数の結合体が
これらのパツケージの中に配置されている。基板
18の乾燥は、基板に沿つて通路部284を通し
て流れている高温ガス280によつて実施され
る。
セグメントパツケージ286はリンスステーシ
ヨン38の1部ともなり得、そこでは、チヤンネ
ル262を経由して、例えば脱イオン化水、アル
コール又はシンナー等のリンス流体288の流れ
が吐出される。
第25図及び第26図に於いては、クリーニン
グステーシヨン又はリンスステーシヨン用のチヤ
ンネル262′,266′及び270′からなる別
の構成が示されている。そこでは、ガス268は
通路部を経由して排出チヤンネル270′に向か
つて流れ、供給チヤンネル262′を経由して供
給されるクリーニング媒体264又はリンス媒体
288がガスの旋回流中へ吐出される。
本発明の範囲内に於いて、チヤンネルを他の構
成とすることが可能であり、例えば流体媒体用供
給チヤンネルと排出チヤンネルのみの結合体とす
ることも可能である。その際に、この様なステー
シヨンの最後の部分に於いてのみ、ガス状媒体用
の供給チヤンネルを使用するものとする場合もあ
る。
第27図に於いては、基板18の端部292が
対向して位置された排出チヤンネル262を丁度
通過した状態であり、この基板の側壁294の限
定された部分のみがクリーニング又はリンス剤2
64/288で被覆される。
第28図に於いて、基板の端部292が対向し
て位置されたガス状媒体268用の供給チヤンネ
ル266を通過した後の状態であり、この様なガ
スの流れは負圧力が維持されている通路296中
に流出する。そこから、この様なガスが基板の存
在しない排出チヤンネル内に吸込まれる。
クリーニング媒体264とリンス媒体288と
を基板18に対して吐出させることも可能であ
り、通路部304及び306に於いて、熱伝達に
よつて基板の加熱現象が起こり、その際に排出チ
ヤンネル308を経由してこれらのガスが排出さ
れる。オーブンはこの様なチヤンネルの多数の結
合体から構成することが可能である。
基板の加熱は極めて徐々に起こり、且つ同時に
両側の温度差は最小である。ガスは予め室外でも
加熱され得る。しかし、その様な部分的な加熱も
全体加熱も室で行なうことが可能である。
第31図及び第32図に於いて、絶縁材料から
なるセグメント310内に電気加熱要素が配置さ
れており、このセグメント部314及び316の
両側に貼着されている。又、その様な要素を金属
性セグメントの溝内に位置させることも可能であ
る。
基板への熱伝達は非常に効果的で且つ高速であ
る。何故なら、基板の体積は通常極めて限定され
ている為である。更に、暖いガス基板上に残存す
る全ての湿気に遭遇するので、高速で湿気を除去
することが可能である。
基板の温度上昇は両側で発生するので、この基
板には変形が発生しないか又は発生しても許容し
得るものであり、第2図に示される如く、基板の
前部は既にこのオーブンを通過し、中央部はオー
ブン内に位置しているが、基板の後端部は未だリ
ンス部にあるものとすることが可能である。
この様に、装置10の搬送方向の寸法は極めて
限定的であり、且つこれはステーシヨンの長さも
限定的である為でもある。
第32図の如く、冷却ステーシヨン52は第2
4図のステーシヨン36に示されているのと同様
なパツケージからなり、基板に沿つて流れるガス
は漸変的で高速且つ局所的な冷却動作を提供して
いる。
第33図では、付着促進ステーシヨン42の1
部が示されている。チヤンネル318を経由して
その液体320の供給が行なわれる。この流体は
通路部328中を排出チヤンネル324に向つて
流れる。ここでも又、チヤンネル326経由して
供給され通路部328を介して真空チヤンネル3
24に吐出されるガス状媒体によつて基板から過
剰流体が除去される。いずれにせよ、その様な部
分は上述の如きチヤンネルの結合体で構成でき
る。
第34図に於いては、連続的に、促進剤の供給
チヤンネル318′、ガス状媒体の供給チヤンネ
ル326′及び排出チヤンネル324′を有するチ
ヤンネルの別の配列状態が示されている。通路部
332の幅が搬送方向に於いて増大している。
ガスの残留物を含むことなく基板の特定形状と
して表面上にその様な流体を効果的に付与させる
為に、第35図に示される如く、ステーシヨン4
2″を利用することが可能である。それによれば、
チヤンネル318″を経由して流体320を付与
させる前に、高真空チヤンネル334によつて非
常に高い真空が通路部336内に形成される。
流体は基板表面に於ける全ての段差を充填する
ことが可能であり、それ故、チヤンネル326″
を経由して供給された暖かいガス328によつ
て、除去可能な媒体の除去が行なわれ、且つ、基
板上に残された流体膜の乾燥が行なわれ得る。
付加的チヤンネル334をチヤンネル326又
は32″の後に配置させてシンナーを吸引するこ
とが可能であり、これは高真空状態である為に蒸
気相とされる。
第36図、37図及び38図に於いては、第1
コーテイングステーシヨンが示されている。チヤ
ンネルの結合体が次のものから連続的に構成され
ている: 高真空チヤンネル338、コーテイング342
の供給チヤンネル340、排出チヤンネル344
及び暖かいか又は暖かくないガス状媒体48の供
給チヤンネル346。
又、高真空チヤンネル338はできるかぎりで
ある。
通路部350に於いては、高度な真空状態が高
真空チヤンネル338によつて形成され、その結
果基板の段差352には全くか又は殆どガス状媒
体が残されていない。チヤンネル340を経由し
て供給されたコーテイング342が別の媒体を含
有することないしこれらの段差を充填するが、こ
のことは最終的に均一なコーテイング膜を得る為
に極めて重要である。このコーテイングは真空チ
ヤンネル344に向う全通路部354を充填す
る。
チヤンネル346を介して供給されたガス状媒
体348は、基板表面358から過剰なコーテイ
ングを剥ぎ取りつつこの排出チヤンネルに向う通
路部356を介して反対方向に流れる。このコー
テイングの剥ぎ取りはコーテイング345の微小
メニスカス効果に寄与する。即ち、排出チヤンネ
ル344の壁部360に沿つてコラムが移動する
と、このチヤンネル内の真空によつて基板から即
ち通路部354から吸引される。これにより、こ
のコーテイングのコラム、基板上に残されたコー
テイング薄膜上で引張接着力を経験する。
コーテイング粘性によつて、厚さが異なる。第
37A図に示される如く、コーテイングの粘性が
非常に低い場合には、段差部に於いても非常に薄
いコーテイングが残される。
このコーテイングステーシヨン46は、図示さ
れる如く、それに続いて乾燥48、オーブン50
及び冷却52の各ステーシヨンと結合されてい
る。チヤンネルの結合数は要求に合うべく変更可
能である。暖かいガス状媒体を使用すれば、これ
を通じて特に極めて薄いコーテイング膜が極めて
高速に加熱される。そして、排出チヤンネルに高
真空を作用させることにより、結果的にコーテイ
ング中のシンナーの沸点を下げ、この様なシンナ
ーはコーテイングから極めて早く蒸発する。その
結果、極めて高速でコーテイング膜が乾燥され
る。
同一の吸引チヤンネル、供給チヤンネル及び排
出チヤンネルを有する第2コーテイングステーシ
ヨンに於いて、第2コーテイング膜の形成が行な
われる。その後、再び、連続するステーシヨン5
6,58及び60に於いて、ガス状媒体により、
この第2コーテイング膜の乾燥、硬化及び冷却が
行なわれる。
第39図に於いて、コーテイングステーシヨン
の別の実施例46′が示されている。これによれば、
チヤンネル362を通して蒸気相状又は霧状のコ
ーテイング342用シンナー364が通路部35
6′から排出チヤンネル344′に向つて流れるガ
ス状媒体348の流れに向けて吐出される。この
シンナーは、基板に付着せしめられたコーテイン
グ膜から薄くされた表面コーテイングを除去する
のに役立つ。
第40図では、連続するコーテイングステーシ
ヨン46,54,62及び366が示されてお
り、夫々乾燥ステーシヨン、オーブンステーシヨ
ン及び冷却ステーシヨンが続いていることが示さ
れている。
第41A,B,C,D,E図に示される如く、
基板に設けられた段差が充填することが可能であ
る。
第41A図に於いては、段差368は前処理さ
れており、真空領域に到着している。第41B図
の如く、ステーシヨン46に於いては、第1コー
テイング膜370が形成されており、第41C図
では、ステーシヨン54に於いて第2膜372が
形成され、第41D図では、ステーシヨン64に
於いて第2膜上に第3膜374が形成され、第4
1E図では、ステーシヨン366に於いて第3膜
上に第4膜376が形成される。
その結果、この様な段差は完全にコーテイング
で充填され、付着したコーテイングで得られる表
面は略滑らかである。
第42図に於いては、付加的はコーテイグステ
ーシヨン378を有する装置10が呈示されてい
る。それによつて、第43A図に示される如く、
第4膜376の上に、第43B図に示される如
く、極端に薄いコーテイング膜380が付着形成
されている。
上述した処理によつて、長さが20〜40cmの室に
於いて基板に多数のコーテイング膜を付着形成す
ることが可能である。これにより結合させたコー
テイング膜の特性は、現存する装置により場合に
比べて遥かに高度化することができる。その理由
は次の通りである。
1 コーテイング中の汚染がない。
2 付着形成したコーテイングからの空気又はガ
スの極端な洩出がない。
3 処理中蒸発したシンナーに対する洩出距離が
一層短い。
第44図に於いては、コーテイングステーシヨ
ン46″が示されている。それによれば、霧状又
は蒸気相状のシンナーがガス状媒体中に導入され
ている。第45図にも示されている如く、付着形
成したコーテイング上をこのシンナーで飽和させ
た媒体を移動させることにより、このコーテイン
グの表面層が薄膜化され、排出チヤンネル34
4″のインレツト近傍で除去され得る。
第46図に於いては、コーテイングステーシヨ
ン46が示されている。それによれば、チヤン
ネル382を通じて水か又は他の非希釈性流体が
通路部356に吐出され、この流体が極めて薄
い膜384として既に付着されているコーテイン
グを被覆する。第47図にも示されている如く、
ガス状媒体348によつて、そのような膜が排出
チヤンネル344に向けて吹き付けられる。こ
の膜は既に付着済のコーテイング386からコー
テイングを除去するのに寄与する。
発明の範囲内に於いて、コーテイングステーシ
ヨンに於けるチヤンネル配置の更に他の変形例が
可能である。
第48図に於いて、ステーシヨン388は装置
の1部である。それによれば、現像剤392が基
板18に向け且つその全体に亘つて吐出される。
このステーシヨンに於いては、多数の同様な又は
略同様なチヤンネルの結合体を使用している。こ
の結合体は、高真空チヤンネル338、現像剤用
供給チヤンネル390、ガス状媒体供給用として
2個のチヤンネル394と396及び排出チヤン
ネル400からなる。室の上側には、同様の結合
体が設けられており、基板に向けて現像剤か又は
他の剤を吐出すべく配設されている。
ガスと現像剤の混合物は基板に沿つて通路部を
通じて流れる。基板の段差404を真空状態とす
ることにより、これらの段差はその中で渦巻く剤
で完全に充填される。高圧下で供給されるガスが
通路部406を通過すると、強い渦運動が伴い、
特に段差404で顕著である。
この様な処理を連続的に繰返すことにより、基
板から除去可能な全てのコーテイングの除去が達
成される。
第50図に於いて、現像剤390用のチヤンネ
ル388のアウトレツト408の詳細が示されて
いる。これによれば、高圧高速下でその現像剤が
基板に向けて吐出されている。このアウトレツト
に於いては、狭小部410と拡大部412が段差
404からコーテイングをより早く除去する為に
配設されている。
基板用の通路は移動要素を有しない故に、その
通路を媒体で充填することが可能である。第48
図にも示される如く、次のステーシヨン部分41
4に於いて、ガス状媒体418用の供給チヤンネ
ルと真空チヤンネル420の多数の結合体がこの
様な媒体クラウドを除去する。
第51A図に於いて、基板が供給チヤンネル3
90を通過後の状態に於ける通路部422内の現
像剤390及びガス398からなるミストが示さ
れている。
第51B図に於いて、いかにしてこのクラウド
がガス状媒体418によつて高真空チヤンネル4
20に向けて流動されるかということを示してい
る。
その様なステーシヨンを通過後、基板はリンス
ステーシヨンを通過して移動する。
第52図に於いては、装置のステーシヨン42
4が示されており、そこでは、流体又はガス状媒
体としてのドーパントが極めて高圧下で基板に向
けて吐出される。ドーパンド428が、チヤンネ
ル426を介してこの基板に向けて吐出され、通
路部430内に基板表面に作用し、排出チヤンネ
ル432を介して除去される。
ここに於いても、処理前に高真空チヤンネルを
介してガスを引抜くことが望ましい。更に、チヤ
ンネル434を経由して、ガス状媒体436が通
路部438内に於ける残留ドーパント440を除
去する為、特に段差442から除去する為に供給
される。
そのステーシヨンに於いては、この様なチヤン
ネルの結合体が連続して繰返し用いられる。更
に、チヤンネル434の後に、高真空チヤンネル
を配設することが出来る。
第53図に於いて、このステーシヨンの変形例
424′が示されている。それによれば、室の上部及
び下部に於いて、高真空チヤンネル444、ドー
パント428供給用チヤンネル426′、ガス媒
体436用の4個のチヤンネル446,448,
450及び452並びに高真空チヤンネル454
の結合体が繰返されている。ここで、ドーパント
は強力な渦運動を伴いつつこの通路部を移動す
る。
基板の1側部に、他の媒体を供給することも可
能である。
ドーパントとしては、例えば、砒素又は燐が、
処理中の毎秒毎に正確な容量で使用され得る。
上述した搬送方式や処理プロセスは、プラズ
マ・エツチング、マグネトロン・スパツタリング
及び他の複雑なプロセスと共に使用され得る。
更に、本装置に於いて、パターン露光や測定用
のステーシヨンを配設することも可能である。
JP57502640A 1981-08-26 1982-08-25 基板に流体及び気体媒体を付与する方法及び装置 Granted JPS58501447A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
NL8103979A NL8103979A (nl) 1981-08-26 1981-08-26 Methode en inrichting voor het aanbrengen van een film vloeibaar medium op een substraat.

Publications (2)

Publication Number Publication Date
JPS58501447A JPS58501447A (ja) 1983-08-25
JPH0338740B2 true JPH0338740B2 (ja) 1991-06-11

Family

ID=19837979

Family Applications (1)

Application Number Title Priority Date Filing Date
JP57502640A Granted JPS58501447A (ja) 1981-08-26 1982-08-25 基板に流体及び気体媒体を付与する方法及び装置

Country Status (5)

Country Link
US (1) US4495024A (ja)
EP (1) EP0088091A4 (ja)
JP (1) JPS58501447A (ja)
NL (1) NL8103979A (ja)
WO (1) WO1983000774A1 (ja)

Families Citing this family (245)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4663197A (en) * 1981-08-26 1987-05-05 Integrated Automation Limited Method and apparatus for coating a substrate
NL8300649A (nl) * 1983-02-21 1984-09-17 Integrated Automation Methode en inrichting voor het aanbrengen van een coating op een substraat of tape.
NL8203318A (nl) * 1982-08-24 1984-03-16 Integrated Automation Inrichting voor processing van substraten.
NL8302163A (nl) * 1983-06-16 1985-01-16 Bok Edward Verbeterde proces installatie met "floating" transport van substraten.
NL8401776A (nl) * 1984-06-04 1986-01-02 Bok Edward Verbeterde double-floating wafer transport/processing installatie.
NL8401777A (nl) * 1984-06-04 1986-01-02 Bok Edward Gasslot voor in- en uitgang van tunnel, waarin verplaatsing en processing van wafers geschiedt met behulp van media onder double-floating conditie.
US4544446A (en) * 1984-07-24 1985-10-01 J. T. Baker Chemical Co. VLSI chemical reactor
NL8402410A (nl) * 1984-08-01 1986-03-03 Bok Edward Verbeterde proces installatie met double-floating transport en processing van wafers en tape.
JPS63503024A (ja) * 1986-02-03 1988-11-02 ボック、エドワ−ド ウエファの浮遊移送と処理のための改良装置
US5194406A (en) * 1988-12-01 1993-03-16 Edward Bok Installation for transport and processing under a pulsating double-floating condition
EP0747931B1 (en) * 1990-11-16 2000-07-12 Kabushiki Kaisha Watanabe Shoko Sheet-like base carrying method
US5294259A (en) * 1992-05-18 1994-03-15 International Business Machines Corporation Fluid treatment device
US5483984A (en) * 1992-07-10 1996-01-16 Donlan, Jr.; Fraser P. Fluid treatment apparatus and method
US5489341A (en) * 1993-08-23 1996-02-06 Semitool, Inc. Semiconductor processing with non-jetting fluid stream discharge array
US5720813A (en) 1995-06-07 1998-02-24 Eamon P. McDonald Thin sheet handling system
US6239038B1 (en) 1995-10-13 2001-05-29 Ziying Wen Method for chemical processing semiconductor wafers
US6183565B1 (en) 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
NL1003538C2 (nl) * 1996-07-08 1998-01-12 Advanced Semiconductor Mat Werkwijze en inrichting voor het contactloos behandelen van een schijfvormig halfgeleidersubstraat.
US7808479B1 (en) 2003-09-02 2010-10-05 Apple Inc. Ambidextrous mouse
NL1011856C2 (nl) * 1999-04-21 2000-10-24 Asm Internat B V Floating wafer reactor alsmede werkwijze voor het regelen van de temperatuur daarvan.
NL1012004C2 (nl) 1999-05-07 2000-11-13 Asm Int Werkwijze voor het verplaatsen van wafers alsmede ring.
JP3998386B2 (ja) * 2000-01-26 2007-10-24 三菱電機株式会社 液晶表示装置の製造装置および液晶表示装置の製造方法
US6344106B1 (en) 2000-06-12 2002-02-05 International Business Machines Corporation Apparatus, and corresponding method, for chemically etching substrates
NL1018086C2 (nl) * 2001-05-16 2002-11-26 Asm Int Werkwijze en inrichting voor het thermisch behandelen van substraten.
US7104578B2 (en) * 2002-03-15 2006-09-12 Asm International N.V. Two level end effector
US6991717B2 (en) * 2002-04-05 2006-01-31 3M Innovative Properties Company Web processing method and apparatus
US7181132B2 (en) 2003-08-20 2007-02-20 Asm International N.V. Method and system for loading substrate supports into a substrate holder
US7410355B2 (en) * 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
US7022627B2 (en) 2003-10-31 2006-04-04 Asm International N.V. Method for the heat treatment of substrates
US6940047B2 (en) * 2003-11-14 2005-09-06 Asm International N.V. Heat treatment apparatus with temperature control system
US7217670B2 (en) * 2004-11-22 2007-05-15 Asm International N.V. Dummy substrate for thermal reactor
DE102005045582B3 (de) 2005-09-23 2007-03-29 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung und Verfahren zur kontinuierlichen Gasphasenabscheidung unter Atmosphärendruck und deren Verwendung
US11136667B2 (en) 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US8057602B2 (en) * 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US8057601B2 (en) 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US20090291209A1 (en) * 2008-05-20 2009-11-26 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
US9238867B2 (en) * 2008-05-20 2016-01-19 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
US8602707B2 (en) * 2008-05-30 2013-12-10 Alta Devices, Inc. Methods and apparatus for a chemical vapor deposition reactor
EP2159304A1 (en) * 2008-08-27 2010-03-03 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition
NL2004177C2 (en) * 2010-02-01 2011-08-03 Levitech B V Dynamic fluid valve and method for establishing the same.
NL2005049C2 (en) * 2010-07-07 2012-01-10 Levitech B V Method and apparatus for contactlessly advancing substrates.
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
NL2010471C2 (en) * 2013-03-18 2014-09-24 Levitech B V Substrate processing apparatus.
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5244177A (en) * 1975-10-01 1977-04-06 Ibm System for transferring pieces under treatment
US4081201A (en) * 1976-12-27 1978-03-28 International Business Machines Corporation Wafer air film transportation system
US4226526A (en) * 1976-10-04 1980-10-07 Harry Arthur Hele Spence-Bate Transport and positioning mechanism

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DK604074A (da) * 1974-11-20 1976-05-21 Chemical Reactor Equip As Apparat til beroringsfri transport af sarbare, hovedsageligt skiveformede objekter, navnlig tyndfilmbelagte halvledersubstrater
US4165132A (en) * 1977-02-28 1979-08-21 International Business Machines Corporation Pneumatic control of the motion of objects suspended on an air film
US4278366A (en) * 1977-03-18 1981-07-14 Gca Corporation Automatic wafer processing system and method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5244177A (en) * 1975-10-01 1977-04-06 Ibm System for transferring pieces under treatment
US4226526A (en) * 1976-10-04 1980-10-07 Harry Arthur Hele Spence-Bate Transport and positioning mechanism
US4081201A (en) * 1976-12-27 1978-03-28 International Business Machines Corporation Wafer air film transportation system

Also Published As

Publication number Publication date
NL8103979A (nl) 1983-03-16
JPS58501447A (ja) 1983-08-25
WO1983000774A1 (en) 1983-03-03
EP0088091A1 (en) 1983-09-14
EP0088091A4 (en) 1984-03-09
US4495024A (en) 1985-01-22

Similar Documents

Publication Publication Date Title
JPH0338740B2 (ja)
US4405435A (en) Apparatus for performing continuous treatment in vacuum
JP4753313B2 (ja) 基板処理装置
JP5989682B2 (ja) 原子層堆積のための装置及びプロセス
JP4272230B2 (ja) 減圧乾燥装置
KR101786475B1 (ko) 측면 안정화 기구를 가지는 부유 웨이퍼 트랙
US4521268A (en) Apparatus for deposition of fluid and gaseous media on substrates
US20230395402A1 (en) Chamber for degassing substrates
KR100575068B1 (ko) 기판과 피가열 플래튼 사이에 절연층을 갖는 갭형 건조 장치 및 이 장치를 사용한 건조 방법
JP2013520564A (ja) ウェブ基板堆積システム
EP0101704A1 (en) Method and apparatus for applying a coating on a substrate
KR20080044179A (ko) 감압 건조 장치
JP3955937B2 (ja) 基板の冷却方法およびその装置
US4663197A (en) Method and apparatus for coating a substrate
US4600471A (en) Method and apparatus for transport and processing of substrate with developing agent
JP4247890B2 (ja) 塗布ノズル及び塗布装置
EP0456372B1 (en) Perimeter wafer seal with gas exclusion
US4576109A (en) Apparatus for applying a coating on a substrate
JP4180250B2 (ja) 基板処理装置及び基板処理方法
JPS63109174A (ja) 枚葉式cvd装置
KR102139614B1 (ko) 기판 처리 장치
US4620997A (en) Method for coating substrates
JP2605859Y2 (ja) 薄膜形成装置
JP2588075Y2 (ja) 薄膜形成装置
JPH01173710A (ja) 薄膜形成装置の基板保持機構