JP7095083B2 - 半導体計測用の液体金属回転式アノードx線照明源、x線ベース計測システム、x線放射発生方法 - Google Patents

半導体計測用の液体金属回転式アノードx線照明源、x線ベース計測システム、x線放射発生方法 Download PDF

Info

Publication number
JP7095083B2
JP7095083B2 JP2020520811A JP2020520811A JP7095083B2 JP 7095083 B2 JP7095083 B2 JP 7095083B2 JP 2020520811 A JP2020520811 A JP 2020520811A JP 2020520811 A JP2020520811 A JP 2020520811A JP 7095083 B2 JP7095083 B2 JP 7095083B2
Authority
JP
Japan
Prior art keywords
ray
liquid metal
support structure
rotary anode
rotary
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020520811A
Other languages
English (en)
Other versions
JP2021500702A5 (ja
JP2021500702A (ja
Inventor
セルゲイ ザルボフスキー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2021500702A publication Critical patent/JP2021500702A/ja
Publication of JP2021500702A5 publication Critical patent/JP2021500702A5/ja
Application granted granted Critical
Publication of JP7095083B2 publication Critical patent/JP7095083B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/04Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/04Electrodes ; Mutual position thereof; Constructional adaptations therefor
    • H01J35/08Anodes; Anti cathodes
    • H01J35/10Rotary anodes; Arrangements for rotating anodes; Cooling rotary anodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/04Electrodes ; Mutual position thereof; Constructional adaptations therefor
    • H01J35/08Anodes; Anti cathodes
    • H01J35/10Rotary anodes; Arrangements for rotating anodes; Cooling rotary anodes
    • H01J35/101Arrangements for rotating anodes, e.g. supporting means, means for greasing, means for sealing the axle or means for shielding or protecting the driving
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/04Electrodes ; Mutual position thereof; Constructional adaptations therefor
    • H01J35/08Anodes; Anti cathodes
    • H01J35/10Rotary anodes; Arrangements for rotating anodes; Cooling rotary anodes
    • H01J35/105Cooling of rotating anodes, e.g. heat emitting layers or structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/16Vessels; Containers; Shields associated therewith
    • H01J35/18Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2235/00X-ray tubes
    • H01J2235/08Targets (anodes) and X-ray converters
    • H01J2235/081Target material
    • H01J2235/082Fluids, e.g. liquids, gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2235/00X-ray tubes
    • H01J2235/10Drive means for anode (target) substrate
    • H01J2235/1026Means (motors) for driving the target (anode)

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • X-Ray Techniques (AREA)

Description

記載している実施形態は、計測システム及び方法に関し、より具体的には、照明の改善のための方法及びシステムに関する。
関連出願の相互参照
本特許出願は、2017年10月18日に出願された、「X-Ray Source with Liquid Metal Rotating Anode(LiMeRa) for Semiconductor Metrology」という名称の米国仮特許出願第62/573,958号の米国特許法119条の下での優先権を主張し、当該出願の主題が全体として参照により本明細書に組み込まれる。
論理及びメモリデバイス等の半導体デバイスの様々な特徴及び複数の構造レベルが、典型的には、試料に適用される一連の処理ステップによって製造される。例えば、とりわけリソグラフィは、半導体ウェハ上にパターンを生成することを含む1つの半導体製造プロセスである。半導体製造プロセスの追加的な例としては、化学機械研磨、エッチング、堆積及びイオン注入が挙げられるが、これに限定されない。複数の半導体デバイスが、単一の半導体ウェハ上に製作され、次いで、個々の半導体デバイスに分離されてもよい。
計測プロセスが、半導体製造プロセス中の様々なステップにおいてウェハの欠陥を検出するために用いられて、より高い収率を促進させる。光学計測技術が、サンプル破壊のリスクを伴わずに高処理能力の可能性を提供する。散乱計測及び反射計測実装、並びに関連する分析アルゴリズムを含むいくつかの光学計測ベース技術が一般的に用いられて、ナノスケール構造についての限界寸法、膜厚、組成及び別のパラメータを特徴評価する。
デバイス(例えば、論理及びメモリデバイス)が、より小さいナノメートルスケールの寸法になるにつれて、特性評価がより困難になる。多様な物理特性を有する複合3次元幾何形状及び材料を組み込むデバイスが、特性評価困難性に寄与する。例えば、最新のメモリ構造は、しばしば、高アスペクト比の3次元構造であるため、光放射が底部層まで浸透することを困難にする。それに加えて、複雑な構造(例えば、FinFET)の特徴評価が必要とされるパラメータの数の増加が、パラメータ相関性の増加をもたらす。その結果として、標的を特徴評価するパラメータが、しばしば、利用可能な測定値によっては高信頼性を伴って分離され得ない。別の例では、不透明な高k材料が、最新の半導体構造に増々使用されてきている。光放射は、しばしば、これらの材料から構成された層に浸透することができない。その結果として、楕円偏光計又は反射計等の薄膜散乱計測ツールによる測定が、増々魅力的になっている。
それに応じて、より複雑な光学ツールが開発されてきた。例えば、複数の照明角度、長短の範囲にわたる照明波長、及び反射信号からのより完全な情報収集を有する(例えば、より通常の反射率又は偏光信号に加えて、複数のミュラー行列要素を測定する)ツールが開発されている。しかし、これらの手法は、多くの先進の標的(例えば、複雑な3D構造、10nm未満の構造、不透明材料を用いる構造)の測定並びに測定応用(例えば、ラインエッジ粗度及びライン幅粗度測定)と関連する基本的な課題を、高信頼性を伴っては克服しなかった。
原子間力顕微鏡(AFM)及び走査型トンネル顕微鏡(STM)が、原子分解を達成できるけれども、それらは試料の表面をプローブできるだけである。それに加えて、AFM及びSTM顕微鏡は、長い走査時間を必要とする。走査型電子顕微鏡(SEM)は、中程度の分解能レベルを達成するけれども、十分な深さまで構造に浸透することができない。このように、高アスペクト比孔は、うまく特徴評価されない。それに加えて、試料の必要な帯電が、結像性能に悪影響を及ぼす。
浸透深さ問題を克服するために、TEM、SEM等の従来の撮像技術が、集中イオンビーム(FIB)機械加工、イオンミリング、ブランケット又は選択エッチング等の破壊的サンプル調製技術と共に用いられる。例えば、透過型電子顕微鏡(TEM)は、高分解能レベルを達成し、任意の深さをプローブすることができるけれども、TEMは、試料の破壊的な切断を必要とする。材料除去及び測定のいくつかの反復は、通常、3次元構造全体にわたって限界計測パラメータを測定するのに必要な情報を提供する。しかし、これらの技術は、サンプル破壊及び長い処理時間を必要とする。これらのタイプの測定を完了するための複雑性及び時間は、エッチング及び計測ステップのドリフトに起因した大きい不正確さをもたらす。それに加えて、これらの技術は、位置合せ誤差をもたらす多数の反復を必要とする。
最近の計測課題への別の応答は、膜厚、組成、応力、表面粗度、ラインエッジ粗度及び気孔率を含む測定のためのX線計測の採択であった。
小角X線散乱計測(SAXS)システムが、挑戦的な測定応用を対象にすることの見込みを示した。限界寸法(CD-SAXS)及びオーバーレイ(OVL-SAXS)の測定へのSAXS技術の応用の様々な局面が、1)Zhuang及びFieldenへの、「High-brightness X-ray metrology」という名称の特許文献1、2)Bakeman、Shchegrov、Zhao、及びTanによる、「Model Building And Analysis Engine For Combined X-Ray And Optical Metrology」という名称の特許文献2、3)Veldman、Bakeman、Shchegrov、及びMieherによる、「Methods and Apparatus For Measuring Semiconductor Device Overlay Using X-Ray Metrology」という名称の特許文献3、4)Hench、Shchegrov、及びBakemanによる、「Measurement System Optimization For X-Ray Based Metrology」という名称の特許文献4、5)Dziura、Gellineau、及びShchegrovによる、「X-ray Metrology For High Aspect Ratio Structures」という名称の特許文献5、並びに、6)Gellineau、Dziura、Hench、Veldman、及びZalubovskyによる、「Full Beam Metrology for X-Ray Scatterometry Systems」という名称の特許文献6に記載されている。上記の特許文書は、KLA―Tencor Corporation(米国カリフォルニア州Milpitas)に譲渡されている。
半導体構造のCD-SAXS計測についての研究が、また、科学文献に記載されている。ほとんどの研究グループは、それらの巨大なサイズ、コスト等に起因する半導体製造設備での使用に適しない高輝度X線シンクロトロン源を用いてきた。かかるシステムの1つの例は、非特許文献1に記載されている。直近では、国立標準技術研究所(NIST)のグループが、特許文献1に記載されたものと同様のコンパクトで明るいX線源を用いる研究を開始した。この研究は、非特許文献2に記載されている。
SAXSは、また、適用に関連する材料及び別の非半導体の特性評価に適用されてきた。例示的システムは、Xenocs SAS(www.xenocs.com)、Bruker Corporation(www.bruker.com)及びRigaku Corporation(www.rigaku.com/en)を含むいくつかの企業によって商業化されてきた。
半導体製造において用いられる多くのX線計測技術は、高輝度X線源から恩恵を受けてもよい。例えば、限界寸法小角X線散乱(CD-SAXS)測定は、しばしば、特定材料の低い散乱に起因して長い積分時間を必要とする。高輝度源は、CD-SAXS測定の処理能力を改善してもよい。
超紫外線(EUV)リソグラフィの領域における開発努力が、光源に集中され、当該光源は、高電力レベル(例えば、照明器の中間焦点で210ワットの平均電力)において13ナノメートル(すなわち、92.6電子ボルト)に中心がある狭帯域放射(例えば+/-0.1nm)を放出する。EUVリソグラフィのための光源は、レーザ液滴プラズマ構成を用いて開発された。例えば、約100kHzのパルス反復周波数で動作するキセノン、スズ及びリチウム液滴標的が、COコヒーレント源によってポンプ送出される。実現された光は、高電力である(例えば、照明器の中間焦点での210ワットの平均電力は、13ナノメートルのリソグラフィツールのための目標である)。しかし、結果として生じる放射は、比較的低いエネルギ(92.6電子ボルト)であり、それは、計測適用でのこれらの照明源の実用性を厳しく制限する。例示的システムは、ASML Netherlands B.V.への特許文献7に記載され、当該特許の内容が全体として参照により本明細書に組み込まれる。
いくつかの例では、X線照明光が、回転式アノード標的材料等の固体標的材料の高エネルギ電子ビーム衝撃によって発生させられる。回転式アノードX線源は、医学撮像及び分析化学適用に一般に用いられる。断層撮影法、乳房撮影法、血管造影法等の医学撮像適用のための回転式アノードX線源の多数のバージョンが、Philips、General Electric、Siemens等の企業によって製造される。Rigaku Corporation及びBruker Corporationは、X線回折(XRD)、X線反射計測(XRR)、小角X線散乱計測(SAXS)、広角X線散乱計測(WAXS)等の分析化学適用のための連続作動型回転アノード源を製造する。
回転式アノード標的は、静止アノード標的と比較して、アノード材料からのより有効な熱除去を可能にする。電子ビーム衝突の場所をアノード表面上で連続的に動かすことは、焦点スポット衝突温度を減少させ、X線管電力負荷能力を改善する対流熱放散をもたらす。典型的な回転アノード源は、毎分回転数5,000~10,000以上でアノード材料を回転させる。焦点スポット場所でのアノード材料の線形速度は、100メートル/秒以上であってもよい。
アノード熱散逸及び熱伝導率の増加に向かって導かれる改善が提案されてきた。例えば、Rigaku Corporation(日本)によって製造されるFR-XモデルX線源、Bruker AXS GmbH(ドイツ)によって製造されるMicroMaxモデルX線源は、アノードで発生させられた熱を放散させるために水冷を用いる。
特許文献8は、高熱伝導度ダイヤモンド層を有する回転式アノード構造を記載している。特許文献9は、熱放散を改善するためのダイヤモンド-金属複合材料の使用を記載している。特許文献10は、ヒートパイプ効果によって熱を放散させる回転式アノード装置を記載している。特許文献11は、高熱伝導度マトリックス内に埋め込まれた高原子番号材料を含むミクロ構造アノードを記載している。特許文献12及び特許文献8は、静止アノード源に関連する類似のダイヤモンドベース熱管理溶液を記載している。上記の米国特許及び米国特許出願公開の内容は、全体として参照によって本明細書に組み込まれる。
電力負荷能力の改善にもかかわらず、回転アノード源は、有意な限界を受ける。実際、マイクロクラックが、反復熱サイクルに起因して、焦点軌道(すなわち、eビーム衝突を反復して受ける点の位置)上にある固体アノード材料の表面に形成する。これらのマイクロクラックは、吸収の増加に起因した損失をもたらす。いくつかの例では、X線束の20~30%の減少は、最初の1,000時間内の源動作において生じる。それに加えて、典型的な回転式アノードは、約3,000時間毎の再研磨(すなわち、アノード材料の表面の回復)を必要とする。それに加えて、いくつかの例では、高回転速度が、X線スポットのX線スポットサイズ及び空間安定性を制限する。
いくつかの別の例では、X線照明光が液体標的材料の高エネルギ電子ビーム衝撃によって発生させられることにより、固体アノード標的と関連する表面マイクロクラックの形成を軽減する。
これらの例のうちのいくつかでは、液体金属噴流アノードが用いられる。例示的な液体金属噴流X線照明システムは、Zhuang及びFieldenへの特許文献1に記載されており、当該特許の内容が全体として参照により本明細書に組み込まれる。別の例示的な液体金属噴流X線照明源は、特許文献13に記載されており、当該特許の内容が全体として参照により本明細書に組み込まれる。液体金属噴流は、連続的にアノード表面を有効に一新することにより、表面マイクロクラックの形成を除去する。しかし、液体金属アノード材料が蒸発して、X線源寿命を制限することがある金属蒸気を形成する。いくつかの例では、金属蒸気は、真空X線窓上で凝縮して、追加のX線吸収を生じさせる。いくつかの例では、金属蒸気は、カソード領域に拡散してカソードを汚染することにより、カソード寿命及びシステム出力を低減する。いくつかの例では、金属蒸気は、高電圧破壊を生じさせる電子ビーム加速領域中に拡散する。
いくつかの別の例では、液体金属アノードが、静止構造上を流される。特許文献14は、静止金属表面上を流れる液体金属アノード材料について記載し、当該特許の内容が全体として参照により本明細書に組み込まれる。特許文献15は、X線源真空筐体の内部表面上を流れる液体金属アノード材料を記載し、当該特許の内容が全体として参照により本明細書に組み込まれる。特許文献16及び特許文献17は、チャネル又は管を通って流れる液体金属アノード材料を記載し、当該特許それぞれの内容が全体として参照により本明細書に組み込まれる。高速移動液体金属は、電子ビーム浸透及びX線抽出を可能にするのに適した窓によって部分的に封入される。
電力負荷能力の改善に関わらず、液体アノード源が、有意な制限を受ける。実施において、別の表面上に薄い液体金属層を流すことが、比較的低速度流れに制限される。流速が増加するにつれて、乱流が生じ、当該乱流は、X線照明源を不安定化する。その結果として、別の表面上を流れる液体アノード材料を用いるX線源のアノード電力負荷が、かなり制限される。それに加えて、チャネル及び管内部に液体金属を流すことに基づく、X線照明源に対するアノード電力負荷が、流れを抑制して電子ビーム浸透及びX線抽出を可能にするために用いられるいずれかの窓の構造完全性によって制限される。
同様に、液体金属噴流X線照明源の安定運転は、層流液体金属噴流を必要とする。そのため、アノード電力負荷の増加に適応するための噴流速度のなんらかの増加は、噴流自体の層流―乱流遷移、及びなんらかの噴流速度の増加を達成するのに必要とされる超高圧噴流リターンループの実現可能性によって制限される。
残念なことに、X線ベース計測処理能力が、アノード上の電力負荷の制限によって弱められる。従来の固体金属アノード源の電力負荷の増加は、アノードの切除及び破壊を生じさせる。典型的な液体金属源に対して、電力負荷の増加は、X線照明源を不安定化する傾向がある。
米国特許第7,929,667号明細書 米国特許出願公開第2014/0019097号明細書 米国特許出願公開第2015/0117610号明細書 米国特許出願公開第2016/0202193号明細書 米国特許出願公開第2017/0167862号明細書 米国特許出願公開第2018/0106735号明細書 米国特許第7,518,134号明細書 米国特許第9,715,989号明細書 米国特許第8,243,884号明細書 米国特許第7,440,549号明細書 米国特許出願公開第2015/0092924号明細書 米国特許第9,159,524号明細書 米国特許第6,711,233号明細書 米国特許第4,953,191号明細書 米国特許第8,629,606号明細書 米国特許出願公開第2014/0369476号明細書 米国特許第8,565,381号明細書
将来の計測適用は、高い分解能要件、複数パラメータ相関性、増々複雑になる形状構造、及び不透明材料の使用の増加に起因する、計測についての課題を呈する。半導体適用のためのX線計測の採択は、最高の可能な輝度を有する改善されたX線源を必要とする。
高処理能力X線計測に適した高輝度の液体ベースX線源を実現するための方法及びシステムが、本明細書に示されている。
一局面では、高輝度X線源が、回転式液体金属アノード材料に電子の流れで衝撃を与えることによって生成されることにより、X線放射を発生させる。回転式アノード支持構造は、回転式アノード支持構造が一定角速度で回転している間、回転式アノード支持構造に対する固定位置に液体金属アノード材料を支持する。結果として生じるX線放出が収集されて、半導体試料に提供されることにより、試料においてX線ベース計測を実行する。
液体金属材料表面は、電子の流れによる周期的衝撃によって誘発された周期性熱応力の下で劣化しない(例えば、クラックを生じさせない)。液体金属材料表面は、有効に自己回復性であり、当該自己回復性であることは、固体アノード材料に対して有意に有益である。その結果として、回転式アノード液体金属X線源は、輝度及び信頼性を改善し、サービス間の期間を増加させ、それで、従来の回転式固体アノードX線源と比較して休止時間を減少させる。
別の局面では、X線光学部品は、ピーク強度における所望のエネルギバンド内のX線放出を捕捉するように、特定の収集角で構成される。いくつかの実施形態では、X線光学部品は、測定標的にX線放射を直接集中させるように設計されている。いくつかの実施形態では、X線収集光学部品は、収集角の範囲にわたってX線放射を収集することによって、X線輝度を最適化するような態様で指向させられる。
更なる局面では、並進作動装置が、回転式アセンブリに結合され、当該並進作動装置は、また、回転式アセンブリを回転軸線に平行な方向に並進させる。
別の更なる局面では、出力窓は、回転式アノード支持構造に結合され、そして、液体金属アノード材料によって放出されたX線は、出力窓を通して測定中の試料に向かって伝送される。
別の更なる局面では、封入窓が、回転式アノード支持構造に結合され、そして、電子の入射流れは、液体金属アノード材料への入射前に封入窓を通して伝送される。
上記は概要であり、そのため、必要に応じて、簡略化、一般化及び詳細の省略を含み、従って、当業者は、概要が例示的であり、いかなる形であれ限定ではないことを認識するであろう。本明細書に記載した装置及び/又はプロセスについての別の局面、発明的特徴並びの長所が、本明細書に述べられた非限定的な詳細説明において明らかになる。
少なくとも1つの局面における液体金属回転式アノード(LiMeRa)X線照明源を含む半導体計測測定を実行するためのX線計測システム100を示す線図である。 一実施形態における(LiMeRa)X線照明源の回転式アノードアセンブリの例を示す線図である。 図2Aに示す実施形態における(LiMeRa)X線照明源の回転式アノードアセンブリの別の例を示す線図である。 別の実施形態におけるLiMeRaX線照明源の回転式アノードアセンブリを示す。 更に別の実施形態におけるLiMeRaX線照明源の回転式アノードアセンブリを示す。 更に別の実施形態におけるLiMeRaX線照明源の回転式アノードアセンブリを示す。 更に別の実施形態における(LiMeRa)X線照明源の回転式アノードアセンブリの例を示す線図である。 図5Aに示す実施形態における(LiMeRa)X線照明源の回転式アノードアセンブリの別の例を示す線図である。 更に別の実施形態におけるLiMeRaX線照明源の回転式アノードアセンブリを示す。 試料101から離れた真空環境172内に含まれるX線計測システム100のX線検出器123を示す線図である。 LiMeRaX線照明源を含む半導体計測測定を実行するためのX線計測システム200を示す線図である。 LiMeRaX線照明源からX線放出を発生させるのに適した例示的方法300を示す流れ図である。
ここで、本発明の背景例及びいくつかの実施形態に詳細な参照がなされ、本発明の例が添付図面に示されている。
X線照明に基づく異なる半導体製造プロセスに関連する、構造及び材料特徴(例えば、構造及び膜の材料組成、寸法特徴等)を測定するために用いられるシステムが示される。より具体的には、高処理能力X線計測に適した高輝度液体ベースX線源を実現するための方法及びシステムが、本明細書に示される。
一局面では、高輝度X線源が、電子の流れによって回転式液体金属アノード材料に衝撃を与えてX線放射を発生させることによって生成される。結果として生じるX線放出が、収集されて、半導体試料に提供されることにより、試料においてX線ベース計測を実行する。
液体金属材料表面は、電子の流れによって周期的衝撃によって誘発された周期的熱応力の下で劣化する(例えば、亀裂が入る)ことがない。液体金属材料表面は、有効に自己回復性であり、これは、固体アノード材料に対して有意に有益である。その結果として、従来の回転式固体アノードX線源と比較して、回転式アノード液体金属X線源が、輝度及び信頼性を改善し、サービス間の時間区間を増加させ、休止時間を減少させる。
更に、表面劣化の問題を排除することによって、液体金属アノード材料での全体的な電力負荷が、増加させられてもよい。それに加えて、入射電子ビームが、より大きい強度によって集中されて、半導体計測に使用可能なより明るいX線放出を生じさせてもよい。
X線放射の高エネルギ性は、光学的に不透明な薄膜、埋設構造、高アスペクト比構造及び多くの薄膜層を具備するデバイス中へのX線の浸透を可能にする。半導体製造において用いられる多くのX線計測技術は、高輝度高信頼性X線源、例えば、限界寸法小角X線散乱(CD-SAXS)から利益を得る。
測定は、しばしば、多くの最新の半導体構造を備える材料の低い散乱効率に基づいて長い積分時間を必要とする。高輝度高電力液体金属回転式アノードX線源は、X線ベース測定、例えば、CD-SAXSの処理能力を改善する。
図1は、一実施形態における液体金属回転式アノード(LiMeRa)X線照明源を含むX線ベース計測システム100の実施形態を示す。非限定的な例では、X線計測システム100は、透過モードにおいて動作する。図1に表すように、LiMeRaX線照明源は、電子ビーム源103と、回転式アノードアセンブリ110と、を含む。
回転式アノードアセンブリ110は、回転式アノード支持構造111を角速度ωで回転軸線Aの周りで回転させる回転作動装置112を含む。回転式アノードアセンブリ110は、また、回転式アノード支持構造111によって支持された液体金属アノード材料113を含む。回転は、遠心力を液体金属アノード材料113上に誘発し、当該遠心力は、液体金属アノード材料113を回転式アノード支持構造111の形状に適合させ、そして、液体金属アノード材料113を回転式アノード支持構造111の外周に沿って均一に分布させる。一定角速度において、液体金属アノード材料113の定常分布が回転式アノード支持構造111の表面上に存在し、液体金属アノード材料113は、回転式アノード支持構造111に対して動いていない。言い換えると、液体金属アノード材料113と回転式アノード支持構造111とは、電子ビーム源103に対して一緒に動くけれども、互いに対しては動かない。
図1に示す実施形態では、計算システム130は、回転作動装置112に通信可能に結合されている。一例では、回転式アノード支持構造111の所望の角速度を示すコマンド信号136が、計算システム130から回転作動装置112まで通信される。それに応じて、回転作動装置112は、コマンド信号136に基づいて回転式アノード支持構造111の角速度を調整する。
図1に示す実施形態では、LiMeRaX線照明源は、検査領域102にわたって試料101に送達される高輝度X線照明を提供する。試料位置決めシステム140がサンプルとX線との角分解相互作用を生成するように試料を位置決めしながら、X線計測システム100は、試料101と相互作用するX線が検出器123によって収集されるように構成されている。いくつかの実施形態では、光電子、蛍光によって生成されたX線、又はイオン等の、相互作用中に生成されたいずれかの別の粒子が、また、検出されてもよい。
図1に示す実施形態では、LiMeRaX線照明源は、カソードから電子放出を発生させるように構成された電子ビーム源103(例えば、電子銃)を含む。示している実施形態では、電子ビーム源103は、自由電子105の安定した流れを発生させる。電子105の流れは、電子光学部品104によって成形され、回転式アノード支持構造111によって支持された液体金属アノード材料113に入射する。いくつかの実施形態では、電子ビーム源103は、連続電子ビームを発生させるように構成されている。いくつかの別の実施形態では、電子ビーム源103は、パルス状電子ビームを発生させるように構成されている。
図1に示す実施形態では、電子ビーム源103は、計算システム130に通信可能に結合され、そして、電子ビーム源103は、計算システム130から電子ビーム源103まで通信されるコマンド信号135に基づいてアクティブ制御される。いくつかの例では、コマンド信号135は、電子ビーム源103によって供給されるべき所望の電子ビームエネルギの表示を含む。それに応じて、電子ビーム源103は、所望の値に電子ビームエネルギ出力を調整する。いくつかの実施形態では、電子ビーム源103は、10kVを超える電圧差によって集中電子105の流れを加速化する。
電子光学部品104は、液体金属アノード材料113に向かって電子105の流れを導く及び/又は集中させるように構成されている。電子光学部品104は、電子ビームを集中させ、電子105の流れを導くための好適な電磁石、永久磁石、又は電磁石と永久磁石との任意の組合せを含む。いくつかの実施形態では、電子光学部品104は、ソレノイド、ハルバッハシリンダ等の四極子型レンズ、又はアインツェルレンズ等の静電要素を含むことにより、電子ビームを集中させて導いてもよい。それに加えて、電子光学部品104は、電子モノクロメータとして構成されてもよい。更に、電子光学部品104は、ビームを集中させて電子ビームノイズをより低減させるために用いられてもよい。
それに加えて、電子光学部品104は、計算システム130によってアクティブ制御するように構成されてもよい。いくつかの実施形態(図示せず)では、計算システム130は、電子光学部品104に通信可能に結合されている。いくつかの例では、電磁要素に供給される電流又は電圧は、計算システム130から電子光学部品104まで通信されたコマンド信号に基づいて、アクティブ制御されてもよい。別の例では、磁気要素(例えば、永久磁石)の位置が、計算システム130から電子光学部品104まで通信されたコマンド信号に基づいて、位置決めシステム(図示せず)によって操作されてもよい。このように、電子105の流れの集中及び誘導が、計算システム130の制御下で達成されることにより、液体金属アノード材料113に入射する電子105の安定した流れを達成する。
図1に表すように、X線光学部品106は、電子105の流れの入射スポット及び液体金属アノード材料113からX線放出を収集し、入射X線ビーム108を形成して、試料101まで導くように構成されている。
別の局面では、X線光学部品106は、ピーク強度における所望のエネルギバンド内のX線放出を捕捉するように、特定の収集角度において構成されている。いくつかの実施形態では、X線光学部品106は、X線放射を測定標的に直接集中させるように設計されている。高エネルギ集中電子ビームが、液体金属アノード標的に衝突するとき、刺激されたX線放出は、広帯域制動放射及び特徴ライン放出(すなわち、Kα、Kβ、Lα、Lβ等)を含む。いくつかの実施形態では、X線収集光学部品は、X線放射を収集角の範囲にわたって収集することによってX線輝度を最適化するように指向させられる。
いくつかの例では、X線光学部品106は、試料101に入射するX線ビームを単色化させる。いくつかの例では、X線光学部品106は、試料101の検査領域102上にX線ビーム108を視準又は集中させる。いくつかの実施形態では、X線光学部品106は、1つ又は複数のX線視準ミラー、X線開口、X線単色分光器、X線ビーム停止部、多層光学部品、屈折X線光学部品、ゾーンプレート等の回折光学部品、又はその任意の組合せを含む。
いくつかの実施形態では、ポリキャピラリX線光学部品等の先進X線光学部品、鏡光学部品、又はLoxley-Tanner-Bowen構成に配列された光学部品が、半導体試料の高輝度、小型スポットサイズ照明を達成するために用いられる。例えば、高強度X線ビームは、かすめ入射楕円鏡等の鏡X線光学部品、中空キャピラリX線導波管等のポリキャピラリ光学部品、多層光学部品、又はLoxley-Tanner-Bowenシステム等の結晶性光学部品を用いて、40マイクロメートル未満のスポットサイズに転送及び集中されてもよい。
好ましい実施形態では、X線光学部品106は、多層光学部品である。これらの実施形態のうちのいくつかでは、多層光学部品は、10-1未満のスペクトル純度δλ/λにX線ビーム108を単色化させるために用いられる。スペクトル純度についてのこのレベルは、X線反射率(XRR)、X線回折(XRD)、及びX線蛍光(XRF)等の計測技術に適している。いくつかの別の実施形態では、結晶モノクロメータが用いられて、10-6未満のスペクトル純度δλ/λにX線ビーム108を単色化する。スペクトル純度のこのレベルは、高分解能X線回折(HRXRD)等の計測技術に適している。
X線光学部品106は、計算システム130によってアクティブ制御するように構成されてもよい。いくつかの実施形態では、計算システム130は、X線光学部品106(図示せず)に通信可能に結合される。一例では、計算システム130からX線光学部品106まで通信されるコマンド信号が、光学要素の所望の位置を示す。光学要素の位置は、コマンド信号に基づいて位置決めシステム(図示せず)によって調整されてもよい。このように、X線ビーム108の集中及び誘導が、計算システム130の管理下で達成されることにより、試料101への安定した照明入射を達成する。いくつかの例では、計算システム130は、試料101に入射するX線ビーム108の位置決め及びスポットサイズを制御するように構成されている。いくつかの例では、計算システム130は、X線ビーム108の照明特性(例えば、強度、偏光、スペクトル等)を制御するように構成されている。
図1に表すように、X線検出器123は、入射X線照明に応じて試料101から散乱させられたX線放射122を収集し、入射X線放射に影響された試料101の特性を示す出力信号124を発生させる。散乱X線122は、X線検出器123によって収集され、同時に、試料位置決めシステム140が、試料101を設置及び指向させて角分解散乱X線を生成する。
図1に表すように、LiMeRaX線源は、真空槽120内部に維持された真空環境内に維持される。X線放射は、X線が液体金属アノード材料113からX線光学部品106に向かって伝播するとき、真空窓121を通過する。
図2Aは、一例として、図1に表す回転式アノードアセンブリ110を示す。図2Aに示す例では、回転式アノードアセンブリ110の角速度は、ゼロである(すなわち、静止している)。この例では、液体金属アノード材料113に作用する遠心力が存在しない。その結果として、液体金属アノード材料113に作用する重力が、動的な力を支配し、液体金属アノード材料113は、重力ベクトル(G)に垂直な方向において回転式アノード支持構造111の形状に合致する。回転式アノード支持構造111は、角速度がゼロであるときに、液体金属アノード材料113が回転式アノード支持構造111と接触した状態のままで拘束されるように成形される。言い換えると、回転式アノード支持構造111は、液体金属アノード材料113が、角速度がゼロであるときに、溢れず、そして失われないように成形されている。
図2Bは、別の例として、図1に示す回転式アノードアセンブリ110を示す。図2Bに示す例では、回転式アノードアセンブリ110の角速度は、一定値ωである。この例では、液体金属アノード材料113に作用する重力に加えて、遠心力が、液体金属アノード材料113に作用する。その結果として、液体金属アノード材料113は、また、回転軸線Aに平行な方向において回転式アノード支持構造111の形状に従う。角速度がゼロでないとき、回転式アノード支持構造111は、液体金属アノード材料113が回転式アノード支持構造111と接触した状態のままで拘束されるように成形される。言い換えると、角速度がゼロでないとき、回転式アノード支持構造111は、液体金属アノード材料113が溢れず、そして失われないように成形される。更なる局面では、回転式アノード支持構造が一定角速度で回転している間、回転式アノード支持構造は、回転式アノード支持構造に対する固定位置に液体金属アノード材料を支持する。言い換えると、回転式アノード支持構造が一定角速度で回転している間、液体金属アノード材料は、回転式アノード支持構造に対して流動しない。
更なる局面では、並進作動装置は、回転アセンブリに結合されて、回転アセンブリを回転軸線Aに平行な方向にもまた並進させる。
図3は、回転アセンブリ125を示し、当該回転アセンブリ125は、回転式アノード支持構造111と、回転作動装置112と、液体金属アノード材料113と、並進作動装置114と、を含む。図3に示す実施形態では、並進作動装置114は、回転作動装置112に結合されて、回転式アセンブリを回転軸線Aに平行な方向に振幅ΔHで振動させる。液体金属アノード材料113の回転運動が、液体金属アノード材料113に対する電子105の流れの入射位置を周方向に有効に変更させる。液体金属アノード材料113の並進運動は、液体金属アノード材料113に対する電子105の流れの入射位置を回転軸線に平行な方向に有効に変更させる。このことは、電子105の流れによって液体金属アノード材料113上に負わされた熱負荷をより大きい領域にわたって有効に広げる。
別の更なる局面では、出力窓が、回転式アノード支持構造に結合され、液体金属アノード材料によって放出されたX線が、測定中の試料に向かって出力窓を通して伝送される。
図4は、回転アセンブリ126を示し、当該回転アセンブリは、回転式アノード支持構造111と、回転作動装置112と、液体金属アノード材料113と、出力窓115と、を含む。図4に示す実施形態では、X線放射に対して実質的に透明である出力窓115が、回転式アノード支持構造111に結合されている。液体金属アノード材料113から放出されたX線117は、出力窓115を通して伝送される。計測システム100等の計測システムが、測定中の試料101に向かう透過X線放射117を収集及び誘導するX線光学要素を含む。このように、X線放射は、また、液体金属アノード材料113の露出面から直接収集されるX線放射(例えば、X線放射118)よりもむしろ、又はそれに追加して、透過モードで収集される。
別の更なる局面では、封入窓が、回転式アノード支持構造に結合され、そして、電子105の入射流れが、液体金属アノード材料113に入射する前に封入窓を通して伝送される。
図5は、回転式アセンブリ127を示し、当該回転式アセンブリは、回転式アノード支持構造111と、回転作動装置112と、液体金属アノード材料113と、出力窓115と、封入窓116と、を含む。図5に示す実施形態では、電子105の流れに対して実質的に透明である封入窓116が、回転式アノード支持構造111に結合されており、角速度に関わらず、回転式アノード支持構造に対して固定された形状に液体金属アノード材料113を有効に拘束する。図5に示すように、液体金属アノード材料113は、封入窓116と出力窓115との間に有効に捕捉される。このように、液体金属アノード材料113は、角速度に関わらず形状を変更させない。出力窓を用いない実施形態では、液体金属アノード材料113は、封入窓116と回転式アノード支持構造111との間に有効に捕捉される。図5に示す実施形態では、液体金属アノード材料113から放出されたX線117は、出力窓115を通して伝送され、そして、X線放射118は、また、液体金属アノード材料113の露出面から直接収集される。しかし、通常、X線放射は、液体金属アノード材料113の露出面から、出力窓115を通して、又はその両方で伝送されるとき、直接収集されてもよい。
図1~4は、回転式アノード支持構造の実施形態を示し、当該回転式アノード支持構造は、回転式アノード支持構造が異なる一定角速度で回転させられるとき、液体金属アノード材料が異なる形状を呈するように液体金属アノード材料を支持する。より詳細には、図1~4に示す液体金属アノード材料113の断面図が、回転軸線Aの周りで回転する液体金属アノード材料の断面を表し、まさにその断面形状は、回転式アノード支持構造の回転角速度に依存する。対照的に、図5は、回転式アノード支持構造の実施形態を示し、当該回転式アノード支持構造は、液体金属アノード材料が回転式アノード支持構造の角速度から独立したほぼ同じ形状を呈するように液体金属アノード材料を支持する。
一般に、多くの異なる形状が、考えられてもよい。図6A~6Bは、回転アセンブリ150の実施形態150を示し、当該回転アセンブリは、回転式アノード支持構造151と、回転作動装置152と、液体金属アノード材料153と、を含む。実施形態150において、回転支持構造151は、回転式アノード支持構造が一定角速度で回転させられている間、液体金属アノード材料がドーナツ型形状を呈するように液体金属アノード材料を支持する。より詳細には、図6A~6Bに示す液体金属アノード材料153の断面図は、回転式アノード支持構造151の半円形状に適合する液体金属アノード材料を表している。
図6Aは、一例における回転式アノードアセンブリ150を示す。図6Aに示す例において、回転式アノードアセンブリ150の角速度は、ゼロである(すなわち、静止している)。この例では、液体金属アノード材料153に作用する遠心力が存在しない。その結果として、液体金属アノード材料153に作用する重力が、動的な力を支配し、液体金属アノード材料153は、重力ベクトルGに垂直な方向に回転式アノード支持構造151の形状に適合する。回転式アノード支持構造151は、角速度がゼロであるとき、液体金属アノード材料153が回転式アノード支持構造151と接触した状態のまま拘束されるように成形されている。言い換えると、回転式アノード支持構造151は、角速度がゼロであるとき、液体金属アノード材料153が溢れず、そして失われないように成形されている。
図6Bは、別の例における回転式アノードアセンブリ150を示す。図6Bに示す例において、回転式アノードアセンブリ150の角速度は、一定値ωである。この例において、液体金属アノード材料153に作用する重力に加えて、遠心力が、液体金属アノード材料153に作用する。その結果として、液体金属アノード材料153は、また、回転軸線Aに平行な方向において、回転式アノード支持構造151の形状に適合する。回転式アノード支持構造151は、液体金属アノード材料153が、角速度がゼロでないときに回転式アノード支持構造151と接触した状態のままに拘束されるように成形されている。言い換えると、角速度がゼロでないとき、回転式アノード支持構造151は、液体金属アノード材料153が、溢れず、失われないように成形されている。
図7は、回転アセンブリ160を示し、当該回転アセンブリは、回転式アノード支持構造161と、回転作動装置162と、液体金属アノード材料163と、封入窓164と、を含む。図7に示す実施形態では、電子105の流れに対して実質的に透明である封入窓164は、回転式アノード支持構造161に結合され、角速度に関わらず、液体金属アノード材料163を回転式アノード支持構造に対して固定形状に有効に拘束する。図7に示すように、液体金属アノード材料163は、封入窓164と回転式アノード支持構造161との間に有効に捕捉される。このように、液体金属アノード材料163は、角速度に関わらず形状を変えない。液体金属アノード材料163は、封入窓164と回転式アノード支持構造161との間に有効に捕捉される。図7に示す実施形態では、液体金属アノード材料163から放出されたX線165は、封入窓164を通して伝送させられる。
一般に、回転式アノード支持構造、封入窓及び出力窓は、金属、グラファイト、ダイヤモンド又はその任意の組合せから製作されてもよい。
一般に、X線エネルギ及び発生効率は、アノード材料の原子番号Zと比例する。若干の例外はあるものの、原子番号が大きい程、X線エネルギ及び歩留効率がより大きくなる(すなわち、波長がより短くなる)。残念なことに、比較的大きい原子番号を有する多くの材料はまた、高い溶解温度を有する。
本明細書に記載するようなLiMeRaX線照明源内の液体金属アノード材料としての実装に適した液体金属材料として、ガリウム、インジウム、スズ、タリウム、カドミウム、ビスマス、リード、アンチモン、銀、金及びその任意の組合せが挙げられる。それに加えて、ガリウム、インジウム、スズ、タリウム、カドミウム、ビスマス、鉛、アンチモン、銀及び金のうちの任意のものを含む液体金属アノード合金が、また、本特許文書の範囲内にあると考えられてもよい。例示の合金は、ウッドメタルであって、約70℃(158°F)の融点を有する共晶可融合金である。それは、50重量%のビスマス、26.7重量%の鉛、13.3重量%のスズ及び10重量%のカドミウムを含む共晶合金である。
好ましい例では、液体金属アノード材料は、インジウム(Z=49)、又はインジウムを含む合金である。従来の金属又は耐火材料が用いられて、溶融インジウムを安定的に支持してもよい。更に、従来の加熱装置が用いられて、液体インジウムを156℃のそれの融点以上の温度で保持してもよい。同様に、従来の金属又は耐火材料が用いられて、溶融スズ(Z=50)を安定的に支持してもよく、そして、従来の加熱装置が用いられて、液体スズを232℃のそれの融点以上の温度に維持してもよい。
液体金属アノード113と電子105の流れとの一致点が、試料101の検査領域102に入射するX線放出108を生成する。LiMeRaX線照明源は、液体金属アノード材料から、Kシェル放出、Lシェル放出又はその組合せを収集する。いくつかの実施形態では、好ましくは、10keVから25keVまでの範囲内のX線源光子エネルギを有することにより、パターン付きシリコンウェハについての限界寸法及びオーバーレイ計測等の透過小角X線散乱(T-SAXS)ベース半導体計測適用に適した透過効率を有するシリコンウェハを貫通させる。
いくつかの実施形態では、試料101と液体金属アノード材料113との間の距離が長い(例えば、1メートル超である)。これらの実施形態では、ビーム経路内に存在する空気は、望ましくないビーム散乱をもたらす。それゆえに、いくつかの実施形態では、排出型飛行管を通してLiMeRa照明源から試料101までX線ビーム108を伝播させることが好ましい。
いくつかの実施形態では、X線検出器123は、試料101と同じ大気環境(例えば、窒素パージ環境)内に維持される。しかし、いくつかの実施形態では、試料101とX線検出器123との間の距離が長い(例えば、1メートル超である)。これらの実施形態では、ビーム経路内に存在する空気は、特に、LiMeRa照明源が硬X線(例えば、5keVを超える光子エネルギ)を発生させるように構成されているときに、望ましくないビーム散乱をもたらす。それゆえに、いくつかの実施形態では、X線検出器123は、真空窓によって試料(例えば、試料101)から分離された局在化真空環境内に維持される。図8は、X線検出器123を具備する真空槽170を示す線図である。好ましい実施形態では、真空槽170は、試料101とX線検出器123との間の経路の実質的な部分を含む。真空槽170の開口は、真空窓171によって覆われている。真空窓171は、X線放射に対して実質的に透明である任意の好適な材料(例えば、カプトン、ベリリウム等)から構成されてもよい。散乱X線放射122は、真空窓171を通過して、真空槽170に入り、そしてX線検出器123に入射する。好適な真空環境172が真空槽170内部に維持されることにより、散乱X線放射122に対する外乱を最小にする。
いくつかの実施形態では、X線照明ビーム108、試料101、収集ビーム122及び検出器123を排出された環境内に維持して、X線の吸収を最小化することが望ましい。このことは、LiMeRa照明源が、軟X線(例えば、5keV未満の光子エネルギ)を発生させるように構成されるならば、特に望ましい。
図9は、半導体計測測定を実行するためのX線計測システム200を示す。非限定的な例として、X線計測システム200は、かすめ入射モードで動作する。より具体的には、X線計測システム200は、かすめ入射小角X線散乱(GISAXS)測定システムとして構成されている。入射及び収集の典型的な角度は、試料の表面から測定されるとき約1度であるか、又は試料の表面の法線軸線から約89度である。X線計測システム200は、図1に関して記載したLiMeRaX線照明源を含む。図9に示すように、X線計測システム200は、図1に関して記載した類似の同様の番号付き要素を含む。X線計測システム200は、サンプルハンドラ(図示せず)が試料を位置決めしながら、試料から散乱させられたX線が検出器によって収集されるように構成される。それに加えて、イオン又は蛍光によって生成された光電子、X線等の、相互作用中に生成された任意の別の粒子が検出されてもよい。GISAXS測定を実行するように構成された計測システムが、高輝度X線源を必要とし、当該高輝度X線源は、小角で照明された比較的大きいサンプル領域にわたって十分な輝度を維持する。この理由から、LiMeRaX線照明源が、GISAXS測定に対して特に好適である。
非限定的な例として、図1に示すX線計測システム100は、透過小角X線散乱計(TSAXS)として構成され、図9に示すX線計測システム200は、かすめ入射小角X線散乱計(GISAXS)として構成されている。しかし、一般に、本明細書に記載するようなLiMeRaX線照明源を用いるX線計測システムは、以下の計測技術のうちの任意の1つ又は複数を用いてもよく、当該計測技術とは、透過小角X線散乱(TSAXS)、かすめ入射小角X線散乱(GISAXS)、広角X線散乱(WAXS)、X線反射計測(XRR)、かすめ入射X線反射計測(GXR)、X線回折(XRD)、かすめ入射X線回折(GIXRD)、高分解能X線回折(HRXRD)、X線光電子分光測定法(XPS)、X線蛍光(XRF)、全反射X線蛍光(TXRF)、かすめ入射X線蛍光(GIXRF)、X線断層撮影法、X線楕円偏光法、及び硬X線光電子放出分光測定法(HXPS)である。
X線計測ツール100は、また、計算システム130を含み、当該計算システムを用いて、X線検出器123によって発生させられた信号124を取得し、そして、取得された信号に少なくとも部分的に基づいて試料の特性を決定する。図1に示すように、計算システム130は、X線検出器123に通信可能に結合されている。一例では、X線検出器123は、X線分光計であり、測定データ124は、X線分光計によって実装された1つ又は複数のサンプリングプロセスに基づいて、試料の測定された分光応答の表示を含む。計算システム130は、試料のモデルを構築し、モデルに基づいてX線シミュレーションを作成し、シミュレーション及びX線検出器123から受け取った信号124を分析してサンプルの1つ又は複数の特徴(例えば、測定中の構造の関心のパラメータ180の値)を決定する。
更なる実施形態では、計算システム130は、リアルタイム限界寸法法(RTCD)を用いて、リアルタイムでモデルパラメータにアクセスするように構成されているか、又は、それは、予め計算されたモデルのライブラリにアクセスして、試料101に関連する少なくとも1つの試料パラメータ値の値を決定してもよい。一般に、なんらかの形式のCDエンジンが用いられて、試料の指定されたCDパラメータと測定された試料に関連するCDパラメータとの間の差を評価してもよい。試料パラメータ値を計算するための例示的方法及びシステムが、KLA-Tencor社に対して2010年11月2日に発行された米国特許第7,826,071号に記載されており、その特許の全体が参照により本明細書に組み込まれる。
一例では、測定データ124は、試料の測定されたX線応答についての表示を含む。検出器123の表面で測定されたX線応答の分布に基づいて、試料101でのX線ビーム108の入射位置及び領域が、計算システム130によって決定される。一例では、パターン認識技術が、計算システム130によって適用されて、測定データ124に基づいて、試料101上でのX線ビーム108の入射位置及び領域を決定する。それに応じて、計算システム130は、電子光学部品104及びX線光学部品106のうちの任意のものへのコマンド信号を発生させることにより、入射X線照明ビーム108の向き及び形状を変える。
別の局面では、特定の検査領域についてのX線測定が、いくつかの異なる面外方向において実行される。このことは、測定されたパラメータの精度及び正確度を増加させ、分析に利用可能なデータセットの数及び多様性を拡大することによってパラメータ間の相関性を低減することにより、様々な広角面外方向を含む。より深く、より多様なデータセットによって試料パラメータを測定することが、また、パラメータ間の相関性を低減し、測定精度を改善する。
図1に示すように、X線計測ツール100が、LiMeRaX線照明源に対して面外角方向の広範囲にわたって、試料101を整列させること及び試料101を指向させることの両方を行うように構成された試料位置決めシステム140を含む。言い換えると、試料位置決めシステム140は、試料101の表面と同一面内に整列させられた1つ又は複数の回転軸線の周りで広角範囲にわたって試料101を回転させるように構成されている。いくつかの実施形態では、試料位置決めシステム140は、試料101の表面と同一面内に整列させられた1つ又は複数の回転軸線の周りで少なくとも90度の範囲内で試料101を回転させるように構成されている。いくつかの実施形態では、試料位置決めシステムは、試料101の表面と同一面内に整列させられた1つ又は複数の回転軸線の周りで少なくとも60度の範囲内で試料101を回転させるように構成されている。いくつかの別の実施形態では、試料位置決めシステムは、試料101の表面と同一面内で整列させられた1つ又は複数の回転軸線の周りで少なくとも1度の範囲内で試料101を回転させるように構成されている。このように、試料101の角分解測定は、試料101の表面上の任意の数の場所にわたってX線計測システム100によって収集される。一例では、計算システム130は、試料101の所望の位置を示す試料位置決めシステム140の動作制御装置145にコマンド信号を通信する。それに応じて、動作制御装置145は、試料位置決めシステム140の様々な作動装置へのコマンド信号を発生させることにより、試料101の所望の位置決めを達成する。非限定的な例として、試料位置決めシステムは、ヘキサポッド、線形及び角ステージの任意の組合せを含んでもよい。
非限定的な例として、図1に示すように、試料位置決めシステム140は、試料101を試料位置決めシステム140に固定的に取り付けるためのエッジ把持チャック141を含む。回転作動装置142が、周辺フレーム143に対して、エッジ把持チャック141及び取り付けられた試料101を回転させるように構成されている。表している実施形態では、回転作動装置142は、図1に示す座標系146のx軸の周りに試料101を回転させるように構成されている。図1に表すように、z軸の周りでの試料101の回転が、試料101と同一平面内での回転である。x軸及びy軸(図示せず)の周りでの回転は、試料101の面外回転であり、当該面外回転は、計測システム100の計測要素に対して試料の表面を有効に傾ける。示さないけれども、第2回転作動装置が、y軸の周りで試料101を回転させるように構成されている。線形作動装置144が、x方向に周辺フレーム143を並進させるように構成されている。別の線形作動装置(図示せず)が、y方向に周辺フレーム143を並進させるように構成されている。このように、試料101の表面上の全ての場所が、面外角度位置の範囲にわたって測定に利用可能である。例えば、一実施形態では、試料101の場所は、試料101の法線方向に対して-45度から+45度までの範囲内でいくつかの角度刻み幅にわたって測定される。
試料位置決めシステム140の大きい、面外の角位置決め能力は、測定感度を拡大させ、そして、パラメータ間の相関性を低下させる。例えば、法線方向において、SAXSが、特徴の限界寸法を決定することができるけれども、特徴の側壁角度及び高さに全く無反応である。しかし、広範囲の面外角位置にわたって測定データを収集することが、いくつかの回折次数に関連する測定データの収集を可能にする。このことは、特徴の側壁角度及び高さが決定されることを可能にする。それに加えて、先進構造と関連する丸い又は任意の別の形状等の別の特徴が決定されてもよい。
本明細書に記載するような高輝度液体金属液滴X線源を用いるX線計測ツールは、源によって発生させられた高輝度及び短波長放射(例えば、500eV超の光子エネルギ)に基づいて、測定感度及び処理能力の増強を可能にする。非限定的な例として、X線計測ツールは、形状パラメータ(例えば、10ナノメートル未満の構造のピッチ、限界寸法(CD)、側壁角度(SWA)、ライン幅粗度(LWR)、及びラインエッジ粗度(LER))を測定できる。それに加えて、X線放射の高エネルギ特性は、光学的に不透明な薄膜、埋設構造、高アスペクト比構造及び多くの薄膜層を含むデバイスに浸透する。
本明細書に記載するような高輝度LiMeRaX線照明源を用いるX線計測システムが用いられて、半導体構造の特徴を決定してもよい。例示的な構造としては、FinFET、ナノワイヤ又はグラフェン等の低次元構造、サブ10nm構造、薄膜、リソグラフィ構造、シリコン貫通バイア(TSV)、DRAM、DRAM 4F2、FLASH(登録商標)等の記憶構造、及び高アスペクト比メモリ構造が挙げられるが、これに限定されない。例示的な構造特徴としては、ラインエッジ粗度等の形状パラメータ、ライン幅粗度、細孔サイズ、細孔密度、側壁角度、プロファイル、膜厚、限界寸法、ピッチ、並びに電子密度、結晶質粒構造、形態学、方向、応力及び歪等の材料パラメータが挙げられるが、これに限定されない。
理解されるべきは、本開示全体を通して記載した様々なステップは、単一の計算機システム130、又はその代替として複数の計算機システム130によって実行されてもよいということである。更に、試料位置決めシステム140等の、システム100の異なるサブシステムが、本明細書に記載したステップのうちの少なくとも一部分を実行するのに適した計算機システムを含んでもよい。そのため、上記の記載は、本発明についての限定としてではなく、単に例示として解釈されなければならない。更に、1つ又は複数の計算システム130は、本明細書に記載した方法実施形態のうちの任意のものの任意の別のステップを実行するように構成されてもよい。
それに加えて、計算機システム130は、当該技術分野で公知のいずれかの態様で、X線検出器123、電子光学部品104、X線光学部品106、電子ビーム源103、回転作動装置112、並進作動装置114、及び試料位置決めシステム140に通信可能に結合されてもよい。例えば、1つ又は複数の計算システム130は、X線検出器123、電子光学部品104、X線光学部品106、電子ビーム源103、回転作動装置112、並進作動装置114、及び試料位置決めシステム140とそれぞれ関連する計算システムに結合されてもよい。別の例では、X線検出器123、電子光学部品104、X線光学部品106、電子ビーム源103、回転作動装置112、並進作動装置114、及び試料位置決めシステム140のうちの任意のものが、計算機システム130に結合された単一の計算機システムによって直接制御されてもよい。
X線計測システム100の計算機システム130は、有線及び/又は無線部分を含んでもよい伝送媒体によって、システムのサブシステム(例えば、X線検出器123、電子光学部品104、X線光学部品106、電子ビーム源103、回転作動装置112、並進作動装置114、及び試料位置決めシステム140等)からデータ又は情報を受け取る及び/又は取得するように構成されてもよい。このように、伝送媒体は、計算機システム130とシステム100の別のサブシステムとの間のデータリンクとして機能してもよい。
計測システム100及び200の計算機システム130は、有線及び/又は無線部分を含んでもよい伝送媒体によって、別のシステムからデータ又は情報(例えば、測定結果、モデル化入力、モデル化結果等)を受け取る及び/又は取得するように構成されてもよい。このように、伝送媒体は、計算機システム130と別のシステム(例えば、メモリ搭載計測システム100、外部メモリ、又は外部システム)との間のデータリンクとして機能してもよい。例えば、計算システム130は、データリンクを介して記憶媒体(すなわち、メモリ132)から測定データ(例えば、出力信号124)を受け取るように構成されてもよい。例えば、X線検出器123の分光計を用いて取得された分光結果が、永久又は半永久メモリデバイス(例えば、メモリ132)内に記憶されてもよい。この点に関して、分光結果は、搭載メモリから又は外部メモリシステムから読み込まれてもよい。更に、計算機システム130は、伝送媒体を介して別のシステムにデータを送信してもよい。例えば、計算機システム130によって決定された試料パラメータ値180が、永久又は半永久メモリデバイス内に記憶されてもよい。この点に関して、測定結果は、別のシステムに書き出されてもよい。
計算システム130としては、パーソナルコンピュータシステム、メインフレームコンピュータシステム、ワークステーション、イメージコンピュータ、パラレルプロセッサ、又は当該技術分野で公知の別の装置が挙げられてもよいが、これに限定されない。一般に、用語「計算システム」とは、1つ又は複数のプロセッサを有する任意の装置を包含するように広く規定されてもよく、当該装置は、記憶媒体からの命令を実行する。
本明細書に記載したもの等の、方法を実施するプログラム命令134は、ワイヤ、ケーブル又は無線伝送リンク等の伝送媒体にわたって伝送されてもよい。例えば、図1に示すように、メモリ132に記憶されたプログラム命令は、バス133をわたってプロセッサ131まで伝送される。プログラム命令134は、計算機読取り可能媒体(例えば、メモリ132)内に記憶される。例示的な計算機可読媒体は、読取り専用メモリ、ランダムアクセスメモリ、磁気若しくは光学ディスク、又は磁気テープを含む。
いくつかの実施形態では、本明細書に記載したX線計測は、製造プロセスツールの部分として実装される。製造プロセスツールの例としては、リソグラフィ露光ツール、膜堆積ツール、インプラントツール、及びエッチングツールが挙げられるが、これに限定されない。このように、X線測定の結果が用いられて、製造プロセスを制御する。一例では、1つ又は複数の標的から収集されたX線測定データは、製造プロセスツールに送信される。X線データが解析され、結果が用いられて製造プロセスツールの動作を調整する。
図10は、本発明のX線計測システム100及び200による実施に適した方法300を示す。一局面では、認識されるべきは、方法300のいずれかのデータ処理要素は、計算システム130の1つ又は複数のプロセッサによって実行される予めプログラムされたアルゴリズムを介して実行されてもよいことである。以下の記載がX線計測システム100及び200に関連してなされるけれども、ここで認識されるべきは、X線計測システム100の特定の構造的局面は、限定ではなく、単に例示を示すとして理解されなければならないことである。
ブロック301において、電子の流れが、電子ビーム源のカソードから液体金属アノード材料に向かって放出される。電子の流れと液体金属アノード材料との相互作用がX線放出を生じさせる。
ブロック302において、回転式アノード支持構造は、一定角速度で回転軸線の周りを回転する。回転式アノード支持構造は、回転式アノード支持構造が一定角速度で回転している間、液体金属アノード材料を回転式アノード支持構造に対する固定位置に支持する。
ブロック303において、ある量のX線放出が、液体金属アノード材料から収集される。
本明細書に記載するように、用語「限界寸法」は、構造のいずれかの限界寸法(例えば、底部限界寸法、中央限界寸法、上部限界寸法、側壁角度、格子高さ等)、いずれかの2つ以上の構造間の限界寸法(例えば、2つの構造間の距離)、及び2つ以上の構造間の変位(例えば、オーバーレイ格子構造間のオーバーレイ変位等)を含む。構造は、3次元構造、パターン付き構造、オーバーレイ構造等を含んでもよい。
本明細書に記載するように、用語「限界寸法適用」又は「限界寸法測定適用」は、いずれかの限界寸法測定を含む。
本明細書に記載するように、用語「計測システム」は、限界寸法適用及びオーバーレイ計測適用を含む、いずれかの局面において、試料を少なくとも部分的に特徴付けるために用いられるいずれかのシステムを含む。しかし、技術についてのかかる用語は、本明細書に記載したような用語「計測システム」の範囲を限定しない。それに加えて、計測システム100は、パターン付きウェハ及び/又はパターン無しウェハの測定をするように構成されてもよい。計測システムは、LED検査ツール、エッジ検査ツール、裏面検査ツール、マクロ検査ツール、又はマルチモード検査ツール(同時に1つ又は複数の試料台からのデータを含む)、及び液滴X線源から利益を得るいずれかの別の計測又は検査ツールとして構成されてもよい。
試料を処理するために用いられてもよい半導体処理システム(例えば、検査システム又はリソグラフィシステム)についての様々な実施形態が、本明細書に記載されている。用語「試料」とは、ウェハ、レクチル、又は当該技術分野で公知の手段によって処理され(例えば、欠陥に対してプリントされ又は検査され)てもよい別のサンプルを指すために本明細書で用いられる。
本明細書において用いられるとき、用語「ウェハ」とは、通常、半導体又は非半導体材料から形成された基板を指す。例としては、単結晶シリコン、ヒ化ガリウム及びリン化インジウムが挙げられるが、これに限定されない。かかる基板は、一般に半導体製造設備内に見い出されるか及び/又は処理されてもよい。場合によっては、ウェハは、基板(すなわち、ベアウェハ)だけを含んでもよい。その代替として、ウェハは、基板上に形成された異なる材料の1つ又は複数の層を含んでもよい。ウェハ上に形成された1つ又は複数の層は、「パターン付き」又は「パターン無し」であってもよい。例えば、ウェハは、反復パターン特徴を有する複数のダイを含んでもよい。
「レクチル」は、レクチル製造プロセスのいずれかの段階におけるレクチル、或いは半導体製造設備での使用のために放出されてもよい又は放出されなくてもよい完成レクチルであってもよい。レクチル又は「マスク」は、通常、実質的に透明な領域として画定され、当該透明領域は、その上に実質的に不透明な領域が形成され、そしてあるパターンに構成されている。基板は、例えば、非晶質SiO等のガラス材料を含んでもよい。レクチルが、リソグラフィプロセスの露光ステップ中にレジスト被覆ウェハ上方に配設されることにより、レクチル上のパターンは、レジストに転写されてもよい。
ウェハ上に形成された1つ又は複数の層は、パターン付きでも、パターン無しであってもよい。例えば、ウェハが、複数のダイを含んでもよく、ダイのそれぞれは、反復パターン特徴を有する。材料のかかる層の形成及び処理は、最終的に完成デバイスをもたらしてもよい。多くの様々なタイプのデバイスが、ウェハ上に形成されてもよく、本明細書で使用されるような用語ウェハは、当該技術分野で公知の任意のタイプのデバイスが作製されているウェハを包含することが意図されている。
1つ又は複数の例示的な実施形態では、記載された機能は、ハードウェア、ソフトウェア、ファームウェア、又はその任意の組合せに実装されてもよい。ソフトウェアに実装される場合、機能は、計算機可読媒体に1つ又は複数の命令又はコードとして記憶されてもよく、又はそれにわたって伝送されてもよい。計算機可読媒体は、計算機記憶媒体、及び1つの場所から別の場所まで計算機プログラムの伝送を容易にする任意の媒体を含む通信媒体の両方を含む。記憶媒体は、汎用又は専用計算機によってアクセスされてもよい任意の利用可能なメディアであってもよい。例であって限定ではなく、かかる計算機可読メディアは、RAM、ROM、EEPROM、CD―ROM若しくは別の光学ディスク記憶装置、磁気ディスク記憶装置若しくは別の磁気記憶装置、又は任意の別の媒体を備えてもよく、当該別の媒体は、命令若しくはデータ構造の形式の所望のプログラムコード手段を伝達するか又は記憶するために用いられてもよく、そして、汎用若しくは専用コンピュータ、又は汎用若しくは専用プロセッサによってアクセスされてもよい。また、いずれかの接続は、適切には計算機可読媒体と呼ばれる。例えば、ソフトウェアが、ウェブサイト、サーバ、又は同軸ケーブル、光ファイバケーブル、ツイストペア、デジタル加入者ライン(DSL)若しくは赤外線、ラジオ及びマイクロ波等の無線技術を用いる別の遠隔源から伝送される場合、同軸ケーブル、光ファイバケーブル、ツイストペア、DSL又は赤外線、ラジオ及びマイクロ波等の無線技術が媒体の定義内に含まれる。本明細書で用いられるようなディスク(Disk及びdisc)は、コンパクトディスク(CD)、レーザディスク、光ディスク、デジタル多用途ディスク(DVD)、フロッピー(登録商標)ディスク及びブルーレイ(登録商標)ディスクであり、ここで、ディスク(disk)は、通常、磁気的にデータを複写し、一方、ディスク(disc)は、レーザによって光学的にデータを複写する。上記の組合せは、また、計算機可読メディアの範囲内に含まれなければならない。
ある特定の実施形態が教育目的のために記載されているけれども、本特許文書の教示は、一般適用性を有し、上記の特定の実施形態に限定されない。したがって、記載された実施形態の様々な特徴の様々な修正、適応及び組合せは、クレームに述べられるような本発明の範囲から逸脱することなく実施されてもよい。

Claims (22)

  1. 液体金属回転式アノードX線照明源であって、
    電子ビーム源であって、前記電子ビーム源は、電子の流れを前記電子ビーム源のカソードから液体金属アノード材料に向かって放出するように構成され、前記電子の流れと前記液体金属アノード材料との相互作用がX線放射を生じさせる、電子ビーム源と、
    回転式アノードアセンブリであって、
    一定角速度で回転軸線の周りを回転するように構成された回転式アノード支持構造であって、前記回転式アノード支持構造は、前記回転式アノード支持構造が前記一定角速度で回転させられている間、前記回転式アノード支持構造に対する固定位置に前記液体金属アノード材料を支持する、回転式アノード支持構造、及び
    前記回転式アノード支持構造に結合された回転作動装置であって、前記回転作動装置は、前記回転式アノード支持構造を前記一定角速度で回転させる、回転作動装置を備える、回転式アノードアセンブリと、
    を備え
    前記回転式アノード支持構造は、前記液体金属アノード材料が前記回転式アノード支持構造の回転角速度に依存する形状を呈するように、前記液体金属アノード材料を支持する、
    液体金属回転式アノードX線照明源。
  2. 前記液体金属アノード材料からある量の前記X線放射を収集するように構成された少なくとも1つのX線光学要素を更に備える、請求項1に記載の液体金属回転式アノードX線照明源。
  3. 前記収集されたある量のX線放射は、前記回転式アノードアセンブリの一部分を通して前記液体金属アノード材料から前記少なくとも1つのX線光学要素まで伝送される、請求項2に記載の液体金属回転式アノードX線照明源。
  4. 前記回転式アノードアセンブリは、前記回転式アノード支持構造に結合された出力窓を更に備え、
    前記出力窓は、前記X線放射に対して透明であり、前記収集されたある量のX線放射は、前記出力窓を通して前記液体金属アノード材料から前記少なくとも1つのX線光学要素まで伝送される、請求項3に記載の液体金属回転式アノードX線照明源。
  5. 前記回転式アノードアセンブリは、前記回転式アノード支持構造に結合された封入窓を更に備え、
    前記封入窓は、前記回転式アノード支持構造が前記一定角速度で回転させられている間、前記回転式アノード支持構造に対する固定位置に前記液体金属アノード材料を拘束し、前記封入窓は、前記電子の流れに対して透明であり、前記電子の流れは、前記封入窓を通して前記電子ビーム源から前記液体金属アノード材料まで伝送される、請求項1に記載の液体金属回転式アノードX線照明源。
  6. 前記回転式アノードアセンブリは、前記回転式アノード支持構造に結合された並進作動装置を更に備え、
    前記並進作動装置は、前記回転式アノード支持構造を前記回転軸線に平行な方向に並進させる、請求項1に記載の液体金属回転式アノードX線照明源。
  7. 前記回転式アノード支持構造は、前記液体金属回転式アノードX線照明源の焦点軌道に沿ったいずれかの場所における前記液体金属アノード材料の断面が前記回転式アノード支持構造の回転角速度から独立した一定形状を呈するように、前記液体金属アノード材料を支持する、請求項1に記載の液体金属回転式アノードX線照明源。
  8. X線ベース計測システムであって、
    入射X線ビームによって試料の検査領域を照明するように構成された液体金属回転式アノードX線照明源であって、前記液体金属回転式アノードX線照明源は、
    電子の流れを電子ビーム源のカソードから液体金属アノード材料に向かって放出するように構成された電子ビーム源であって、前記電子の流れと前記液体金属アノード材料との相互作用がX線放射を生じさせる、電子ビーム源、並びに
    回転式アノードアセンブリであって、
    一定角速度で回転軸線の周りを回転するように構成された回転式アノード支持構造であって、前記回転式アノード支持構造は、前記回転式アノード支持構造が前記一定角速度で回転させられている間、前記回転式アノード支持構造に対する固定位置に前記液体金属アノード材料を支持する、回転式アノード支持構造、及び
    前記回転式アノード支持構造に結合された回転作動装置であって、前記回転作動装置は、前記一定角速度で前記回転式アノード支持構造を回転させる、回転作動装置を備える、回転式アノードアセンブリを含む、液体金属回転式アノードX線照明源と、
    前記液体金属アノード材料からある量の前記X線放射を収集するように構成された少なくとも1つのX線光学要素と、
    前記入射X線ビームに応じて前記試料から放射を受け取り、前記試料の第1特性を示す信号を発生させるように構成されたX線検出器と、
    を備え
    前記回転式アノード支持構造は、前記液体金属アノード材料が前記回転式アノード支持構造の回転角速度に依存する形状を呈するように、前記液体金属アノード材料を支持する、
    X線ベース計測システム。
  9. 前記X線ベース計測システムは、透過又は反射モードで測定を実行するように構成された小角X線散乱計である、請求項8に記載のX線ベース計測システム。
  10. 前記測定は、限界寸法測定、オーバーレイ測定、又はその両方である、請求項9に記載のX線ベース計測システム。
  11. 前記X線ベース計測システムは、透過小角X線散乱計測システム、かすめ入射小角X線散乱計測システム、広角X線散乱計測システム、X線反射計測システム、かすめ入射X線反射計測システム、X線回折計測システム、かすめ入射X線回折計測システム、高分解能X線回折計測システム、X線光電子分光分析システム、X線蛍光計測システム、全反射X線蛍光計測システム、かすめ入射X線蛍光計測システム、X線断層撮影法システム、X線楕円偏光法システム、及び硬X線光電子放出分光測定法システムのうちのいずれかとして構成される、請求項8に記載のX線ベース計測システム。
  12. 前記収集されたある量のX線放射は、前記回転式アノードアセンブリの一部分を通して前記液体金属アノード材料から前記少なくとも1つのX線光学要素まで伝送される、請求項8に記載のX線ベース計測システム。
  13. 前記回転式アノードアセンブリは、前記回転式アノード支持構造に結合された出力窓を更に備え、
    前記出力窓は、前記X線放射に対して透明であり、前記収集されたある量のX線放射は、前記出力窓を通して前記液体金属アノード材料から前記少なくとも1つのX線光学要素まで伝送される、請求項12に記載のX線ベース計測システム。
  14. 前記回転式アノードアセンブリは、前記回転式アノード支持構造に結合された封入窓を更に備え、
    前記封入窓は、前記回転式アノード支持構造が前記一定角速度で回転させられている間、前記回転式アノード支持構造に対する固定位置に前記液体金属アノード材料を拘束し、前記封入窓は、前記電子の流れに対して透明であり、前記電子の流れは、前記封入窓を通して前記電子ビーム源から前記液体金属アノード材料まで伝送される、請求項8に記載のX線ベース計測システム。
  15. 前記回転式アノード支持構造は、前記液体金属回転式アノードX線照明源の焦点軌道に沿ったいずれかの場所における前記液体金属アノード材料の断面が、前記回転式アノード支持構造の回転角速度から独立した一定形状を呈するように、前記液体金属アノード材料を支持する、請求項8に記載のX線ベース計測システム。
  16. 電子の流れを電子ビーム源のカソードから液体金属アノード材料に向かって放出するステップであって、前記電子の流れと前記液体金属アノード材料との相互作用がX線放射を生じさせる、ステップと、
    回転式アノード支持構造を一定角速度で回転軸線の周りで回転させるステップであって、前記回転式アノード支持構造は、前記回転式アノード支持構造が前記一定角速度で回転している間、前記回転式アノード支持構造に対する固定位置に前記液体金属アノード材料を支持する、ステップと、
    ある量の前記X線放射を前記液体金属アノード材料から収集するステップと、を含み、
    前記回転式アノード支持構造は、前記液体金属アノード材料が前記回転式アノード支持構造の回転角速度に依存する形状を呈するように、前記液体金属アノード材料を支持する、
    方法。
  17. 前記液体金属アノード材料から収集された前記ある量の前記X線放射を含む入射X線ビームによって試料の検査領域を照明するステップと、
    前記入射X線ビームに応じて前記試料からある量の放射を検出するステップと、
    前記検出されたある量の放射に基づいて前記試料の第1特性を示す信号を発生させるステップと、を更に含む、請求項16に記載の方法。
  18. 前記収集されたある量のX線放射は、出力窓を通して前記液体金属アノード材料から少なくとも1つのX線光学要素まで伝送される、請求項16に記載の方法。
  19. 前記回転式アノード支持構造が前記一定角速度で回転させられている間、前記液体金属アノード材料を封入窓によって前記回転式アノード支持構造に対する固定位置に拘束するステップと、
    前記電子の流れを、前記封入窓を通して前記電子ビーム源から前記液体金属アノード材料まで伝送するステップと、を更に含む、請求項16に記載の方法。
  20. 液体金属回転式アノードX線照明源であって、
    電子ビーム源であって、前記電子ビーム源は、電子の流れを前記電子ビーム源のカソードから液体金属アノード材料に向かって放出するように構成され、前記電子の流れと前記液体金属アノード材料との相互作用がX線放射を生じさせる、電子ビーム源と、
    回転式アノードアセンブリであって、
    一定角速度で回転軸線の周りを回転するように構成された回転式アノード支持構造であって、前記回転式アノード支持構造は、前記回転式アノード支持構造が前記一定角速度で回転させられている間、前記回転式アノード支持構造に対する固定位置に前記液体金属アノード材料を支持する、回転式アノード支持構造、及び
    前記回転式アノード支持構造に結合された回転作動装置であって、前記回転作動装置は、前記回転式アノード支持構造を前記一定角速度で回転させる、回転作動装置を備える、回転式アノードアセンブリと、
    を備え、
    前記回転式アノードアセンブリは、前記回転式アノード支持構造に結合された封入窓を更に備え、
    前記封入窓は、前記回転式アノード支持構造が前記一定角速度で回転させられている間、前記回転式アノード支持構造に対する固定位置に前記液体金属アノード材料を拘束し、前記封入窓は、前記電子の流れに対して透明であり、前記電子の流れは、前記封入窓を通して前記電子ビーム源から前記液体金属アノード材料まで伝送される、液体金属回転式アノードX線照明源。
  21. 液体金属回転式アノードX線照明源であって、
    電子ビーム源であって、前記電子ビーム源は、電子の流れを前記電子ビーム源のカソードから液体金属アノード材料に向かって放出するように構成され、前記電子の流れと前記液体金属アノード材料との相互作用がX線放射を生じさせる、電子ビーム源と、
    回転式アノードアセンブリであって、
    一定角速度で回転軸線の周りを回転するように構成された回転式アノード支持構造であって、前記回転式アノード支持構造は、前記回転式アノード支持構造が前記一定角速度で回転させられている間、前記回転式アノード支持構造に対する固定位置に前記液体金属アノード材料を支持する、回転式アノード支持構造、及び
    前記回転式アノード支持構造に結合された回転作動装置であって、前記回転作動装置は、前記回転式アノード支持構造を前記一定角速度で回転させる、回転作動装置を備える、回転式アノードアセンブリと、
    を備え、
    前記回転式アノードアセンブリは、前記回転式アノード支持構造に結合された並進作動装置を更に備え、
    前記並進作動装置は、前記回転式アノード支持構造を前記回転軸線に平行な方向に並進させる、液体金属回転式アノードX線照明源。
  22. 液体金属回転式アノードX線照明源であって、
    電子ビーム源であって、前記電子ビーム源は、電子の流れを前記電子ビーム源のカソードから液体金属アノード材料に向かって放出するように構成され、前記電子の流れと前記液体金属アノード材料との相互作用がX線放射を生じさせる、電子ビーム源と、
    回転式アノードアセンブリであって、
    一定角速度で回転軸線の周りを回転するように構成された回転式アノード支持構造であって、前記回転式アノード支持構造は、前記回転式アノード支持構造が前記一定角速度で回転させられている間、前記回転式アノード支持構造に対する固定位置に前記液体金属アノード材料を支持する、回転式アノード支持構造、及び
    前記回転式アノード支持構造に結合された回転作動装置であって、前記回転作動装置は、前記回転式アノード支持構造を前記一定角速度で回転させる、回転作動装置を備える、回転式アノードアセンブリと、
    を備え、
    前記回転式アノード支持構造は、前記液体金属回転式アノードX線照明源の焦点軌道に沿ったいずれかの場所における前記液体金属アノード材料の断面が前記回転式アノード支持構造の回転角速度から独立した一定形状を呈するように、前記液体金属アノード材料を支持する、液体金属回転式アノードX線照明源。
JP2020520811A 2017-10-18 2018-10-18 半導体計測用の液体金属回転式アノードx線照明源、x線ベース計測システム、x線放射発生方法 Active JP7095083B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762573958P 2017-10-18 2017-10-18
US62/573,958 2017-10-18
US16/161,525 US10748736B2 (en) 2017-10-18 2018-10-16 Liquid metal rotating anode X-ray source for semiconductor metrology
US16/161,525 2018-10-16
PCT/US2018/056564 WO2019079630A1 (en) 2017-10-18 2018-10-18 X-RAY SOURCE WITH ROTATING METAL LIQUID ANODE FOR SEMICONDUCTOR METROLOGY

Publications (3)

Publication Number Publication Date
JP2021500702A JP2021500702A (ja) 2021-01-07
JP2021500702A5 JP2021500702A5 (ja) 2021-11-25
JP7095083B2 true JP7095083B2 (ja) 2022-07-04

Family

ID=66096553

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020520811A Active JP7095083B2 (ja) 2017-10-18 2018-10-18 半導体計測用の液体金属回転式アノードx線照明源、x線ベース計測システム、x線放射発生方法

Country Status (7)

Country Link
US (1) US10748736B2 (ja)
JP (1) JP7095083B2 (ja)
KR (1) KR102404613B1 (ja)
CN (1) CN111164724B (ja)
IL (1) IL273711B2 (ja)
TW (1) TWI765109B (ja)
WO (1) WO2019079630A1 (ja)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150117599A1 (en) 2013-10-31 2015-04-30 Sigray, Inc. X-ray interferometric imaging system
US10295485B2 (en) 2013-12-05 2019-05-21 Sigray, Inc. X-ray transmission spectrometer system
USRE48612E1 (en) 2013-10-31 2021-06-29 Sigray, Inc. X-ray interferometric imaging system
US10247683B2 (en) 2016-12-03 2019-04-02 Sigray, Inc. Material measurement techniques using multiple X-ray micro-beams
US10578566B2 (en) 2018-04-03 2020-03-03 Sigray, Inc. X-ray emission spectrometer system
US10845491B2 (en) 2018-06-04 2020-11-24 Sigray, Inc. Energy-resolving x-ray detection system
GB2591630B (en) 2018-07-26 2023-05-24 Sigray Inc High brightness x-ray reflection source
CN112602184A (zh) * 2018-07-31 2021-04-02 朗姆研究公司 确定图案化的高深宽比结构阵列中的倾斜角度
US10656105B2 (en) 2018-08-06 2020-05-19 Sigray, Inc. Talbot-lau x-ray source and interferometric system
DE112019004433T5 (de) 2018-09-04 2021-05-20 Sigray, Inc. System und verfahren für röntgenstrahlfluoreszenz mit filterung
CN112823280A (zh) 2018-09-07 2021-05-18 斯格瑞公司 用于深度可选x射线分析的系统和方法
US11467107B2 (en) * 2018-10-25 2022-10-11 Horiba, Ltd. X-ray analysis apparatus and x-ray generation unit
KR102428199B1 (ko) 2019-04-26 2022-08-02 이유브이 랩스, 엘티디. 회전하는 액체 금속 타겟을 가지는 x레이 소스 및 복사 생성 방법
WO2021011209A1 (en) 2019-07-15 2021-01-21 Sigray, Inc. X-ray source with rotating anode at atmospheric pressure
WO2021046059A1 (en) 2019-09-03 2021-03-11 Sigray, Inc. System and method for computed laminography x-ray fluorescence imaging
CN115003981A (zh) * 2019-12-26 2022-09-02 诺威有限公司 组合ocd与光反射的方法及系统
US11719652B2 (en) * 2020-02-04 2023-08-08 Kla Corporation Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
US11175243B1 (en) 2020-02-06 2021-11-16 Sigray, Inc. X-ray dark-field in-line inspection for semiconductor samples
JP7395775B2 (ja) 2020-05-18 2023-12-11 シグレイ、インコーポレイテッド 結晶解析装置及び複数の検出器素子を使用するx線吸収分光法のためのシステム及び方法
JP2023542674A (ja) 2020-09-17 2023-10-11 シグレイ、インコーポレイテッド X線を用いた深さ分解計測および分析のためのシステムおよび方法
WO2022126071A1 (en) 2020-12-07 2022-06-16 Sigray, Inc. High throughput 3d x-ray imaging system using a transmission x-ray source
CN114202533A (zh) * 2021-12-14 2022-03-18 西安奕斯伟材料科技有限公司 检测单晶炉同轴度的方法、装置、设备及计算机存储介质
US11882642B2 (en) 2021-12-29 2024-01-23 Innovicum Technology Ab Particle based X-ray source
CN114446743B (zh) * 2022-04-08 2022-07-01 安徽创谱仪器科技有限公司 X射线源
WO2023205358A1 (en) * 2022-04-20 2023-10-26 Arion Diagnostics, Inc. Diffractive analyzer of patient tissue
US11885755B2 (en) 2022-05-02 2024-01-30 Sigray, Inc. X-ray sequential array wavelength dispersive spectrometer
US20230403778A1 (en) * 2022-06-10 2023-12-14 Kla Corporation Rotating target for extreme ultraviolet source with liquid metal
US11955308B1 (en) * 2022-09-22 2024-04-09 Kla Corporation Water cooled, air bearing based rotating anode x-ray illumination source

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004519083A (ja) 2001-02-14 2004-06-24 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ X線発生装置
JP2007287643A (ja) 2006-03-22 2007-11-01 Tomohei Sakabe X線発生方法及びx線発生装置
US20070258563A1 (en) 2004-01-20 2007-11-08 Geoffrey Harding Anode Module for a Liquid Metal Anode X-Ray Source, and X-Ray Emitter Comprising an Anode Module
JP2007533093A (ja) 2004-04-13 2007-11-15 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 液体金属アノードを有するx線発生装置
JP2017522697A (ja) 2014-07-17 2017-08-10 シーメンス アクチエンゲゼルシヤフトSiemens Aktiengesellschaft X線管用の流体インジェクタおよび液体金属噴射により液体陽極を提供する方法

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4953191A (en) 1989-07-24 1990-08-28 The United States Of America As Represented By The United States Department Of Energy High intensity x-ray source using liquid gallium target
US4972449A (en) 1990-03-19 1990-11-20 General Electric Company X-ray tube target
US5541975A (en) * 1994-01-07 1996-07-30 Anderson; Weston A. X-ray tube having rotary anode cooled with high thermal conductivity fluid
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
DE19821939A1 (de) 1998-05-15 1999-11-18 Philips Patentverwaltung Röntgenstrahler mit einem Flüssigmetall-Target
JPH11339704A (ja) 1998-05-29 1999-12-10 Tomohei Sakabe 回転対陰極x線発生装置
US6192107B1 (en) 1999-03-24 2001-02-20 General Electric Company Liquid metal cooled anode for an X-ray tube
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US6711233B2 (en) 2000-07-28 2004-03-23 Jettec Ab Method and apparatus for generating X-ray or EUV radiation
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
AU2002360738A1 (en) 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US6891928B2 (en) 2003-05-07 2005-05-10 Ge Medical Systems Liquid metal gasket in x-ray tubes
JP3898684B2 (ja) 2003-10-17 2007-03-28 株式会社リガク 回転集電装置および回転対陰極x線管
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
US7440549B2 (en) 2006-06-21 2008-10-21 Bruker Axs Inc. Heat pipe anode for x-ray generator
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
JP2008091190A (ja) 2006-10-02 2008-04-17 Hitachi Medical Corp 回転陽極型x線管装置及びx線検査装置
CN101553896B (zh) 2006-12-04 2012-06-06 株式会社东芝 旋转阳极型x射线管
US7518134B2 (en) 2006-12-06 2009-04-14 Asml Netherlands B.V. Plasma radiation source for a lithographic apparatus
US8553844B2 (en) 2007-08-16 2013-10-08 Koninklijke Philips N.V. Hybrid design of an anode disk structure for high prower X-ray tube configurations of the rotary-anode type
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
JP2009081069A (ja) * 2007-09-26 2009-04-16 Toshiba Corp 回転陽極型x線管
AT10598U1 (de) 2007-09-28 2009-06-15 Plansee Metall Gmbh Ríntgenanode mit verbesserter warmeableitung
DE102008026938A1 (de) 2008-06-05 2009-12-17 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Strahlungsquelle und Verfahren zum Erzeugen von Röntgenstrahlung
US20100002842A1 (en) 2008-07-01 2010-01-07 Bruker Axs, Inc. Cathode assembly for rapid electron source replacement in a rotating anode x-ray generator
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
EP2415065A1 (en) * 2009-04-03 2012-02-08 Excillum AB Supply of a liquid-metal target in x-ray generation
JP5422311B2 (ja) 2009-09-08 2014-02-19 株式会社東芝 回転陽極型x線管および回転陽極型x線管装置
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
HUP1000635A2 (en) 2010-11-26 2012-05-29 Ge Hungary Kft Liquid anode x-ray source
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
JP6114981B2 (ja) 2012-10-17 2017-04-19 株式会社リガク X線発生装置
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
JP6104689B2 (ja) * 2013-04-18 2017-03-29 東芝電子管デバイス株式会社 X線管装置及びx線コンピュータ断層撮影装置
US20140369476A1 (en) 2013-06-14 2014-12-18 Morpho Detection, Inc. Device for generating x-rays having a liquid metal anode
US9693439B1 (en) 2013-06-20 2017-06-27 Kla-Tencor Corporation High brightness liquid droplet X-ray source for semiconductor metrology
US20150092924A1 (en) 2013-09-04 2015-04-02 Wenbing Yun Structured targets for x-ray generation
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US9594036B2 (en) 2014-02-28 2017-03-14 Sigray, Inc. X-ray surface analysis and measurement apparatus
US9494535B2 (en) * 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US9726624B2 (en) 2014-06-18 2017-08-08 Bruker Jv Israel Ltd. Using multiple sources/detectors for high-throughput X-ray topography measurement
JP2016071991A (ja) 2014-09-29 2016-05-09 株式会社東芝 回転陽極型x線管
DE102014226813A1 (de) * 2014-12-22 2016-06-23 Siemens Aktiengesellschaft Metallstrahlröntgenröhre
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
US9715989B2 (en) 2015-04-09 2017-07-25 General Electric Company Multilayer X-ray source target with high thermal conductivity
KR102512180B1 (ko) 2015-04-28 2023-03-20 케이엘에이 코포레이션 계산 효율적인 x 선 기반의 오버레이 측정
DE102015224143B3 (de) 2015-12-03 2017-02-23 Incoatec Gmbh Verfahren zur Justage der Primärseite eines Röntgendiffraktometers und zugehöriges Röntgendiffraktometer
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004519083A (ja) 2001-02-14 2004-06-24 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ X線発生装置
US20070258563A1 (en) 2004-01-20 2007-11-08 Geoffrey Harding Anode Module for a Liquid Metal Anode X-Ray Source, and X-Ray Emitter Comprising an Anode Module
JP2007533093A (ja) 2004-04-13 2007-11-15 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 液体金属アノードを有するx線発生装置
JP2007287643A (ja) 2006-03-22 2007-11-01 Tomohei Sakabe X線発生方法及びx線発生装置
JP2017522697A (ja) 2014-07-17 2017-08-10 シーメンス アクチエンゲゼルシヤフトSiemens Aktiengesellschaft X線管用の流体インジェクタおよび液体金属噴射により液体陽極を提供する方法

Also Published As

Publication number Publication date
IL273711A (en) 2020-05-31
CN111164724A (zh) 2020-05-15
TW201928339A (zh) 2019-07-16
IL273711B1 (en) 2023-03-01
US20190115184A1 (en) 2019-04-18
IL273711B2 (en) 2023-07-01
KR102404613B1 (ko) 2022-05-31
TWI765109B (zh) 2022-05-21
US10748736B2 (en) 2020-08-18
JP2021500702A (ja) 2021-01-07
CN111164724B (zh) 2021-07-06
WO2019079630A1 (en) 2019-04-25
KR20200058563A (ko) 2020-05-27

Similar Documents

Publication Publication Date Title
JP7095083B2 (ja) 半導体計測用の液体金属回転式アノードx線照明源、x線ベース計測システム、x線放射発生方法
KR102536604B1 (ko) 다색 연엑스선 회절에 기초한 반도체 계측을 위한 방법 및 시스템
JP6815401B2 (ja) 高アスペクト比構造向けx線スキャタロメトリ計量
JP6821700B2 (ja) 小スポットサイズ透過型小角x線スキャタロメトリ用ビーム整形スリット
KR20200097353A (ko) 결합된 x 선 반사 측정법 및 광전자 분광법을 위한 시스템 및 방법
US11719652B2 (en) Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
US9693439B1 (en) High brightness liquid droplet X-ray source for semiconductor metrology
KR102557179B1 (ko) 파장 분해 연질 x 선 반사 측정법에 기초한 반도체 계측을 위한 방법 및 시스템
Akhsakhalyan et al. Current status and development prospects for multilayer X-ray optics at the Institute for Physics of Microstructures, Russian Academy of Sciences
JP2020516900A (ja) 透過型小角x線散乱計量システム
JP2001305077A (ja) 半導体基板上の構造の検査方法
CN111052872B (zh) 用于基于x射线的计量的明亮且干净的x射线源
WO2003102564A2 (en) Element-specific x-ray fluorescence microscope using multiple imaging systems comprising a zone plate
KR20220163446A (ko) 필터링이 개선된 소프트 x-선 광학계
US11272607B2 (en) Laser produced plasma illuminator with low atomic number cryogenic target
US10012606B1 (en) X-ray based metrology with primary and secondary illumination sources
US11955308B1 (en) Water cooled, air bearing based rotating anode x-ray illumination source
KR20220140826A (ko) X-선 기반 계측을 위한 웨이퍼 기울기 측정 및 제어
JP2011158356A (ja) 欠陥検査装置および欠陥検査方法
RU2524792C1 (ru) Устройство для осуществления контроля шероховатости поверхности

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211012

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211012

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20211012

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220208

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220425

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220531

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220622

R150 Certificate of patent or registration of utility model

Ref document number: 7095083

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150