TW201928339A - 用於半導體計量之液態金屬旋轉陽極x射線源 - Google Patents

用於半導體計量之液態金屬旋轉陽極x射線源 Download PDF

Info

Publication number
TW201928339A
TW201928339A TW107136769A TW107136769A TW201928339A TW 201928339 A TW201928339 A TW 201928339A TW 107136769 A TW107136769 A TW 107136769A TW 107136769 A TW107136769 A TW 107136769A TW 201928339 A TW201928339 A TW 201928339A
Authority
TW
Taiwan
Prior art keywords
ray
liquid metal
support structure
rotating anode
rotating
Prior art date
Application number
TW107136769A
Other languages
English (en)
Other versions
TWI765109B (zh
Inventor
瑟吉 札盧博史基
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW201928339A publication Critical patent/TW201928339A/zh
Application granted granted Critical
Publication of TWI765109B publication Critical patent/TWI765109B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/04Electrodes ; Mutual position thereof; Constructional adaptations therefor
    • H01J35/08Anodes; Anti cathodes
    • H01J35/10Rotary anodes; Arrangements for rotating anodes; Cooling rotary anodes
    • H01J35/101Arrangements for rotating anodes, e.g. supporting means, means for greasing, means for sealing the axle or means for shielding or protecting the driving
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/04Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/04Electrodes ; Mutual position thereof; Constructional adaptations therefor
    • H01J35/08Anodes; Anti cathodes
    • H01J35/10Rotary anodes; Arrangements for rotating anodes; Cooling rotary anodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/04Electrodes ; Mutual position thereof; Constructional adaptations therefor
    • H01J35/08Anodes; Anti cathodes
    • H01J35/10Rotary anodes; Arrangements for rotating anodes; Cooling rotary anodes
    • H01J35/105Cooling of rotating anodes, e.g. heat emitting layers or structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/16Vessels; Containers; Shields associated therewith
    • H01J35/18Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2235/00X-ray tubes
    • H01J2235/08Targets (anodes) and X-ray converters
    • H01J2235/081Target material
    • H01J2235/082Fluids, e.g. liquids, gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2235/00X-ray tubes
    • H01J2235/10Drive means for anode (target) substrate
    • H01J2235/1026Means (motors) for driving the target (anode)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • X-Ray Techniques (AREA)

Abstract

本文中提出用於實現適用於高處理量X射線計量之一高亮度、基於液體之X射線源的方法及系統。藉由使用一電子流轟擊一旋轉液態金屬陽極材料來產生X射線輻射以產生一高亮度X射線源。一旋轉陽極支撐結構在按恆定角速度旋轉時,將該液態金屬陽極材料支撐在相對於該支撐結構之一固定位置中。在另一態樣中,一平移致動器經耦合至旋轉總成,以在平行於旋轉軸之一方向上平移該液態金屬陽極。在另一態樣中,一輸出窗經耦合至該旋轉陽極支撐結構。經發射X射線經透射穿過該輸出窗朝向所量測樣品。在另一進一步態樣中,一圍阻窗獨立於旋轉角速度維持該液態金屬陽極材料之形狀。

Description

用於半導體計量之液態金屬旋轉陽極X射線源
所述實施例係關於計量系統及方法,且更特定言之係關於用於改良之照明之方法及系統。
半導體裝置(諸如邏輯及記憶體裝置)之各種特徵及多個結構層級通常係由應用於一樣品之一系列處理步驟製造。例如,微影尤其係涉及在一半導體晶圓上產生一圖案之一個半導體製程。半導體製程之額外實例包含(但不限於)化學機械拋光、蝕刻、沈積及離子植入。多個半導體裝置可在一單一半導體晶圓上製造且接著分離成個別半導體裝置。
在一半導體製程期間之各個步驟使用計量程序以偵測晶圓上之缺陷以促進較高產率。光學計量技術提供高處理量之潛力而無樣本破壞之風險。通常使用包含散射量測及反射量測實施方案以及相關聯之分析演算法之若干基於光學計量之技術以特性化奈米級結構之臨界尺寸、膜厚度、組合物及其他參數。
隨著裝置(例如,邏輯及記憶體裝置)邁向更小奈米級尺寸,特性化變得更困難。併入複雜三維幾何形狀及具有多種物理性質之材料之裝置造成特性化困難。例如,現代記憶體結構通常係高高寬比三維結構,此使得光學輻射難以穿透至底層。另外,特性化複雜結構(例如,FinFET)所需之增大數目個參數導致增大之參數相關性。因此,特性化靶之參數通常無法可靠地脫離可用量測。在另一實例中,在現代半導體結構中愈來愈多地採用不透明高介電係數材料。光學輻射通常無法穿透由此等材料構成之層。因此,使用薄膜散射量測工具(諸如橢偏儀或反射計)之量測變得愈來愈具挑戰性。
作為回應,已開發更複雜的光學工具。例如,已開發具有多個照明角度、較短且較寬照明波長範圍及自反射信號之更完整資訊擷取(例如,除了較習知的反射率或橢偏量測信號之外,亦量測多個穆勒(Mueller)矩陣元素)之工具。然而,此等方法未可靠地克服與許多先進靶(例如,複雜3D結構、小於10 nm之結構、採用不透明材料之結構)之量測及量測應用(例如,線邊緣粗糙度及線寬度粗糙計量測)相關聯之根本挑戰。
原子力顯微鏡(AFM)及掃描穿隧顯微鏡(STM)能夠達成原子解析度,但其等僅可探測樣品之表面。另外,AFM及STM顯微鏡需要長掃描時間。掃描電子顯微鏡(SEM)達成中間解析度位準,但無法穿透結構至足夠深度。因此,未良好特性化高高寬比孔。另外,樣品之所需充電對成像效能具有一不利影響。
為了克服穿透深度問題,結合破壞性樣本製備技術(諸如聚焦離子束(FIB)加工、離子銑削、毯覆式或選擇性蝕刻等)採用傳統成像技術(諸如TEM、SEM等)。例如,透射電子顯微鏡(TEM)達成高解析度位準且能夠探測任意深度,但TEM需要樣品之破壞性分段。材料移除及量測之若干反覆通常提供遍及一三維結構量測臨界計量參數所需之資訊。然而,此等技術需要樣本破壞及長處理時間。完成此等類型之量測之複雜性及時間歸因於蝕刻及計量步驟之漂移而引入大的不準確度。另外,此等技術需要引入對位誤差之若干反覆。
對於最近計量挑戰之另一回應為採用用於包含膜厚度、組合物、應變、表面粗糙度、線邊緣粗糙度及孔隙率之量測之X射線計量。
小角度X射線散射量測(SAXS)系統已展示出有希望解決具挑戰性的量測應用。在以下各案中描述將SAXS技術應用至臨界尺寸(CD-SAXS)及疊對(OVL-SAXS)之量測之各種態樣:1) Zhuang及Fielden之標題為「High-brightness X-ray metrology」之美國專利第7,929,667號;2) Bakeman、Shchegrov、Zhao及Tan之標題為「Model Building And Analysis Engine For Combined X-Ray And Optical Metrology」之美國專利公開案第2014/0019097號;3) Veldman、Bakeman、Shchegrov及Mieher之標題為「Methods and Apparatus For Measuring Semiconductor Device Overlay Using X-Ray Metrology」之美國專利公開案第2015/0117610號;4) Hench、Shchegrov及Bakeman之標題為「Measurement System Optimization For X-Ray Based Metrology」之美國專利公開案第2016/0202193號;5) Dziura、Gellineau及Shchegrov之標題為「X-ray Metrology For High Aspect Ratio Structures」之美國專利公開案第2017/0167862號;及6) Gellineau、Dziura、Hench、Veldman及Zalubovsky之標題為「Full Beam Metrology for X-Ray Scatterometry Systems」之美國專利公開案第2018/0106735號。前述專利文件被讓渡給(美國)加利福尼亞州Milpitas之KLA-Tencor Corporation。
在科學文獻中亦描述對半導體結構之CD-SAXS計量之研究。大多數研究小組已採用歸因於其等巨大的大小、成本等而不適合用於一半導體製造設施中之高亮度X射線同步加速器源。在Lemaillet、Germer、Kline等人之標題為「Intercomparison between optical and x-ray scatterometry measurements of FinFET structures」,Proc.SPIE,第8681卷,第86810Q頁(2013年)之文章中描述此一系統之一個實例。最近,美國國家標準與技術研究院(NIST)之一小組已起始採用類似於美國專利第7,929,667號中所描述者之小型且明亮X射線源的研究。在標題為「X-ray scattering critical dimensional metrology using a compact x-ray source for next generation semiconductor devices」,J. Micro/Nanolith. MEMS MOEMS 16(1),014001 (2017年1月至3月)之一文章中描述此研究。
SAXS亦已應用至材料之特性化及其他非半導體相關應用。例示性系統已由若干公司商業化,包含Xenocs SAS (www.xenocs.com)、Bruker Corporation (www.bruker.com)及Rigaku Corporation (www.rigaku.com/en)。
在半導體製造中使用之許多X射線計量技術可獲益於高亮度X射線源。例如,歸因於某些材料之低散射,臨界尺寸小角度X射線散射(CD-SAXS)量測通常需要長整合時間。一高亮度源可改良CD-SAXS量測之處理量。
在極紫外(EUV)微影領域之開發努力集中於在高功率位準(例如,在照明器之中間焦點處之210瓦特之平均功率)下發射以13奈米(即,92.6電子伏特)為中心之窄頻輻射(例如,+/-0.1 nm)之光源。已使用一雷射微滴電漿架構開發用於EUV微影之光源。例如,在近似100 kHz之脈衝重複頻率下操作之氙、錫及鋰微滴靶由CO2 同調源泵抽。所實現之光係高功率的(例如,在照明器之中間焦點處之210瓦特之平均功率係13奈米微影工具之靶)。然而,所得輻射係相對低能量(92.6電子伏特),其嚴重地限制此等照明源在計量應用中之實用性。在頒予ASML Netherlands B.V.之美國專利第7,518,134號中描述一例示性系統,該專利之內容以全文引用的方式併入本文中。
在一些實例中,藉由一固態靶材料(諸如旋轉陽極靶材料)之高能量電子束轟擊而產生X射線照明光。旋轉陽極X射線源通常用於醫學成像及分析化學應用。旋轉陽極X射線源之數個版本由諸如Philips、General Electric、Siemens等之公司製造用於醫學成像應用(諸如斷層掃描、乳房攝影、血管攝影等)。Rigaku Corporation及Bruker Corporation製造連續操作之旋轉陽極源用於分析化學應用,諸如X射線繞射(XRD)、X射線反射量測(XRR)、小角度X射線散射量測(SAXS)、廣角X射線散射量測(WAXS)等。
旋轉陽極靶實現相較於固定陽極靶更有效地自陽極材料移除熱。連續移動電子束照射於陽極表面上之位置導致對流熱耗散,該對流熱耗散降低焦點衝擊溫度且改良X射線管功率負載能力。一典型旋轉陽極源按5,000至10,000轉/分鐘或更高旋轉陽極材料。陽極材料在焦點位置處之線性速度可係100米/秒或更高。
已提出針對增加之陽極熱耗散及導熱性之改良。例如,由Rigaku Corporation (日本)製造之FR-X型號X射線源及由Bruker AXS GmbH (德國)製造之MicroMax型號X射線源採用水冷卻以耗散在陽極處產生之熱。
美國專利第9,715,989號描述具有高導熱性金剛石層之一旋轉陽極結構。美國專利第8,243,884號描述使用金剛石-金屬複合材料以改良熱耗散。美國專利第7,440,549號描述藉由一熱管效應耗散熱之一旋轉陽極裝置。美國專利公開案第2015/0092924號描述包含嵌入一高導熱性基質中之一高原子序數材料之一微結構陽極。美國專利第9,159,524號及美國專利第9,715,989號描述在固定陽極源之背景內容中之類似基於金剛石之熱管理解決方案。前述美國專利及美國專利公開案之內容以全文引用的方式併入本文中。
儘管存在改良之功率負載能力,然旋轉陽極源遭受顯著限制。實務上,歸因於重複熱循環,微裂縫形成於定位於焦點軌道(即,重複經受電子束照射之點之軌跡)上之固態陽極材料之表面處。歸因於增加之吸收,此等微裂縫引入損耗。在一些實例中,在源操作之前1,000個小時內發生X射線通量之一20%至30%之下降。另外,一典型旋轉陽極近似每3,000小時需要重新拋光(即,陽極材料之表面之恢復)。另外,在一些實例中,高旋轉速度限制X射線光點大小及X射線光點之空間穩定性。
在一些其他實例中,X射線照明光由一液態靶材料之高能量電子束轟擊產生以緩解與固態陽極靶相關聯之表面微裂縫之形成。
在一些此等實例中,採用一液態金屬噴流陽極。在頒予Zhuang及Fielden之美國專利第7,929,667號中描述一例示性液態金屬噴流X射線照明系統,該專利之內容以全文引用的方式併入本文中。在美國專利第6,711,233號中描述另一例示性液態金屬噴流X射線照明源,該專利之內容以全文引用的方式併入本文中。液態金屬噴流有效地連續再新陽極表面以消除表面微裂縫之形成。然而,液態金屬陽極材料確實蒸發且形成可限制X射線源壽命之一金屬蒸氣。在一些實例中,金屬蒸氣在真空X射線窗上冷凝,從而引起額外X射線吸收。在一些實例中,金屬蒸氣擴散至陰極區中且污染陰極,從而減少陰極壽命及系統輸出。在一些實例中,金屬蒸氣擴散至電子束加速區中,從而引起高電壓崩潰。
在一些其他實例中,一液態金屬陽極在一固定結構上方流動。美國專利第4,953,191號描述在一固定金屬表面上方流動之一液態金屬陽極材料,該專利之內容以全文引用的方式併入本文中。美國專利第8,629,606號描述在一X射線源真空圍封件之內表面上流動之一液態金屬陽極材料,該專利之內容以全文引用的方式併入本文中。美國專利公開案第2014/0369476號及美國專利第8,565,381號描述流動通過一通道或管之一液態金屬陽極材料,該等專利之各者之內容以全文引用的方式併入本文中。快速移動之液體金屬部分由適合窗圍封以容許電子束穿透及X射線提取。
儘管存在改良之功率負載能力,然液態陽極源遭受顯著限制。實務上,其他表面上方之流動薄液態金屬層限於相對低速度流動。隨著流動速度增加,紊流出現,此使X射線照明源不穩定。因此,採用在另一表面上方流動之液體陽極材料之一X射線源之陽極功率負載顯著受限。另外,基於通道及管內部之流動液態金屬之用於X射線照明源之陽極功率負載由經採用以圍阻流動且容許電子束穿透及X射線提取之任何窗之結構完整性限制。
類似地,一液態金屬噴流X射線照明源之穩定操作需要一層流液態金屬噴流流動。因此,用於適應增加之陽極功率負載之噴流速度之任何增加由噴流自身之層流-亂流轉變及達成任何增加之噴流速度所需之一超高壓噴流返回迴路之可行性限制。
不幸地,基於X射線之計量處理量由陽極上之有限功率負載損害。一習知固態金屬陽極源之功率負載之一增加引起陽極之消融及破壞。針對典型液態金屬源,功率負載之一增加趨於使X射線照明源不穩定。
未來計量應用歸因於愈來愈高的解析度要求、多參數相關性、愈來愈複雜的幾何結構及不透明材料之愈來愈多的使用而提出計量挑戰。用於半導體應用之X射線計量之採用需要具有最高可能亮度之改良之X射線源。
本文中呈現用於實現適用於高處理量X射線計量之一高亮度、基於液體之X射線源之方法及系統。
在一個態樣中,藉由使用一電子流轟擊一旋轉液態金屬陽極材料以產生X射線輻射而產生一高亮度X射線源。當一旋轉陽極支撐結構按恆定角速度旋轉時,該旋轉陽極支撐結構將液態金屬陽極材料支撐於相對於該旋轉陽極支撐結構之一固定位置中。所得X射線發射經收集並提供至一半導體樣品以對該樣品執行基於X射線之計量。
液態金屬材料表面在由電子流之週期性轟擊引發之循環熱應力下不劣化(例如,開裂)。液態金屬材料表面有效地自修復,此為優於固態陽極材料之一顯著優點。因此,相較於傳統旋轉固態陽極X射線源,旋轉陽極液態金屬X射線源改良亮度及可靠性,增加維修之間之時間間隔且減少停機時間。
在另一態樣中,X射線光學器件按特定收集角組態以擷取處於峰值強度之所要能帶中之X射線發射。在一些實施例中,X射線光學器件經設計以直接地將X射線輻射聚焦至量測靶。在一些實施例中,X射線收集光學器件經定向使得藉由收集在一收集角範圍內之X射線輻射而最佳化X射線亮度。
在一進一步態樣中,一平移致動器耦合至旋轉總成,該平移致動器引起該旋轉總成亦在平行於旋轉軸之一方向上平移。
在另一進一步態樣中,一輸出窗耦合至該旋轉陽極支撐結構,且由該液態金屬陽極材料發射之X射線經透射穿過該輸出窗朝向所量測樣品。
在另一進一步態樣中,一圍阻窗耦合至該旋轉陽極支撐結構,且入射電子流在入射於液態金屬陽極材料之前透射穿過該圍阻窗。
前述係發明內容且因此必然含有細節之簡化、概括及省略;因此,熟習此項技術者將瞭解,發明內容僅係闡釋性且不以任何方式限制。本文中所述之裝置及/或程序之其他態樣、發明特徵及優點將在本文中所陳述之非限制性詳細描述中變得顯而易見。
相關申請案之交叉參考
本專利申請案根據35 U.S.C. §119規定主張2017年10月18日申請之標題為「X-Ray Source with Liquid Metal Rotating Anode (LiMeRa) for Semiconductor Metrology」之美國臨時專利申請案第62/573,958號的優先權,該案之標的物係以全文引用的方式併入本文中。
現在將詳細參考本發明之背景實例及一些實施例,在隨附圖式中繪示其等之實例。
呈現經採用以基於X射線照明來量測與不同半導體製程相關聯之結構及材料特性(例如,結構及膜之材料組合物、尺寸特性等)的系統。更具體言之,本文中呈現用於實現適用於高處理量X射線計量之一高亮度、基於液體之X射線源的方法及系統。
在一個態樣中,藉由使用一電子流轟擊一旋轉液態金屬陽極材料來產生X射線輻射以產生一高亮度X射線源。所得X射線發射經收集並被提供至一半導體樣品,以對該樣品執行基於X射線之計量。
液態金屬材料表面在由電子流之週期性轟擊引發的循環熱應力下不劣化(例如,開裂)。液態金屬材料表面有效地自修復,此為優於固態陽極材料之一顯著優點。因此,相較於傳統旋轉固態陽極X射線源,旋轉陽極、液態金屬X射線源改良亮度及可靠性,增加維修之間的時間間隔且減少停機時間。
此外,藉由消除表面劣化之問題,可增加液態金屬陽極材料上之總體功率負載。另外,可以更大強度聚焦入射電子束以產生可用於半導體計量的更亮X射線發射。
X射線輻射之高能量性質容許X射線穿透至光學不透明薄膜、埋入式結構、高高寬比結構及含有許多薄膜層之裝置中。半導體製造中使用之許多X射線計量技術獲益於一高亮度、可靠X射線源,例如,臨界尺寸小角度X射線散射(CD-SAXS)。
歸因於包括許多現代半導體結構之材料之低散射效率,量測通常需要長整合時間。一高亮度高功率液態金屬旋轉陽極X射線源改良基於X射線之量測(例如,CD-SAXS)的處理量。
圖1繪示在一項實施例中之包含一液態金屬旋轉陽極(LiMeRa) X射線照明源之一基於X射線之計量系統100之一實施例。藉由非限制性實例,X射線計量系統100在一透射模式中操作。如圖1中描繪,LiMeRa X射線照明源包含一電子束源103及一旋轉陽極總成110。
旋轉陽極總成110包含一旋轉致動器112,該旋轉致動器112使一旋轉陽極支撐結構111繞一旋轉軸A按一角速度ω旋轉。旋轉陽極總成110亦包含由旋轉陽極支撐結構111支撐之一液態金屬陽極材料113。旋轉在液態金屬陽極材料113上引發使液態金屬陽極材料113與旋轉陽極支撐結構111之形狀相符之一離心力,且沿著旋轉陽極支撐結構111之圓周均勻地分佈液態金屬陽極材料113。按恆定角速度,液態金屬陽極材料113在旋轉陽極支撐結構111之表面上之穩態分佈及液態金屬陽極材料113不相對於旋轉陽極支撐結構111移動。換言之,液態金屬陽極材料113及旋轉陽極支撐結構111相對於電子束源103一起移動,但不相對於彼此移動。
在圖1中描繪之實施例中,運算系統130通信地耦合至旋轉致動器112。在一個實例中,自運算系統130傳達指示旋轉陽極支撐結構111之一所要角速度之命令信號136至旋轉致動器112。作為回應,旋轉致動器112基於命令信號136而調整旋轉陽極支撐結構111之角速度。
在圖1中描繪之實施例中,LiMeRa X射線照明源提供遞送至一樣品101遍及一檢測區域102之高亮度X射線照明。X射線計量系統100經組態使得與樣品101相互作用之X射線由一偵測器123收集,同時一樣品定位系統140定位樣品以產生樣本與X射線之角度解析之相互作用。在一些實施例中,亦可偵測在相互作用期間產生之任何其他粒子,諸如光電子、透過螢光分析產生之X射線或離子。
在圖1中描繪之實施例中,LiMeRa X射線照明源包含經組態以自一陰極產生電子發射之一電子束源103 (例如,電子槍)。在所描繪實施例中,電子束源103產生一穩定自由電子流105。電子流105由電子光學器件104塑形且入射於由旋轉陽極支撐結構111支撐之液態金屬陽極材料113上。在一些實施例中,電子束源103經組態以產生一連續電子束。在一些其他實施例中,電子束源103經組態以產生一脈衝電子束。
在圖1中描繪之實施例中,電子束源103通信地耦合至運算系統130,且基於自運算系統130傳達至電子束源103之命令信號135而主動地控制電子束源103。在一些實例中,命令信號135包含待由電子束源103供應之所要電子束能量之一指示。作為回應,電子束源103將電子束能量輸出調整至所要值。在一些實施例中,電子束源103使用大於10 kV之一電壓差加速聚焦電子流105。
電子光學器件104經組態以引導及/或聚焦電子流105朝向液態金屬陽極材料113。電子光學器件104包含適合電磁體、永久磁體或用於聚焦電子束且引導電子流105之電磁體及永久磁體之任何組合。在一些實施例中,電子光學器件104可包含螺線管、四極透鏡(諸如海爾貝克(Halbach)圓柱體)或靜電元件(諸如單透鏡)以聚焦且引導電子束。另外,電子光學器件104可經組態為一電子單色器。再者,電子光學器件104可經採用以聚焦光束以進一步減少電子束雜訊。
另外,電子光學器件104可經組態用於由運算系統130主動控制。在一些實施例(未展示)中,運算系統130通信地耦合至電子光學器件104。在一些實例中,可基於自運算系統130傳達至電子光學器件104之命令信號而主動地控制供應至電磁元件之電流或電壓。在另一實例中,一磁性元件(例如,一永久磁體)之位置可由一定位系統(未展示)基於自運算系統130傳達至電子光學器件104之命令信號而操縱。以此方式,在運算系統130之控制下達成電子流105之聚焦及引導以達成入射於液態金屬陽極材料113上之一穩定電子流105。
如圖1中描繪,X射線光學器件106經組態以自電子流105及液態金屬陽極材料113之入射點收集X射線發射且塑形並引導入射X射線光束108至樣品101。
在另一態樣中,X射線光學器件106按特定收集角組態以擷取處於峰值強度之所要能帶中之X射線發射。在一些實施例中,X射線光學器件106經設計以直接地將X射線輻射聚焦至量測靶。當一高能量聚焦電子束照射於一液態金屬陽極靶上時,受激X射線發射包含寬頻致動輻射及特性線發射(即,Kα、Kβ、Lα、Lβ等)。在一些實施例中,X射線收集光學器件經定向使得藉由收集在一收集角範圍內之X射線輻射而最佳化X射線亮度。
在一些實例中,X射線光學器件106使入射於樣品101上之X射線光束單色化。在一些實例中,X射線光學器件106將X射線光束108準直或聚焦至樣品101之檢測區域102上。在一些實施例中,X射線光學器件106包含一或多個X射線準直鏡、X射線孔徑、X射線單色器及X射線光束截斷器、多層光學器件、折射X射線光學器件、繞射光學器件(諸如波帶片)或其等之任何組合。
在一些實施例中,採用先進X射線光學器件(諸如多毛細管X射線光學器件、鏡面光學器件或以一Loxley-Tanner-Bowen組態配置之光學器件)以達成一半導體樣品之高亮度、小光點大小照明。例如,可使用鏡面X射線光學器件(諸如掠入射橢球面鏡)、多毛細管光學器件(諸如中空毛細管X射線光導)、多層光學器件或結晶光學器件(諸如一Loxley-Tanner-Bowen系統)將高強度X射線光束運送且聚焦至小於40微米之光點大小。
在較佳實施例中,X射線光學器件106係多層光學器件。在一些此等實施例中,採用多層光學器件以使X射線光束108單色化至小於10-1之一光譜純度δλ/λ。此位準之光譜純度適用於諸如X射線反射法(XRR)、X射線繞射(XRD)及X射線螢光分析(XRF)之計量技術。在一些其他實施例中,採用晶體單色器以使X射線光束108單色化至小於10-6之一光譜純度δλ/λ。此位準之光譜純度適用於諸如高解析度X射線繞射(HRXRD)之計量技術。
X射線光學器件106可經組態用於由運算系統130主動控制。在一些實施例中,運算系統130通信地耦合至X射線光學器件106 (未展示)。在一個實例中,自運算系統130傳達至X射線光學器件106之命令信號指示一光學元件之一所要位置。可藉由一定位系統(未展示)基於命令信號調整光學元件之位置。以此方式,在運算系統130之控制下達成X射線光束108之聚焦及引導以達成入射於樣品101上之一穩定照明。在一些實例中,運算系統130經組態以控制入射於樣品101上之X射線光束108之定位及光點大小。在一些實例中,運算系統130經組態以控制X射線光束108之照明性質(例如,強度、偏光、光譜等)。
如圖1中描繪,X射線偵測器123收集回應於入射X射線照明而自樣品101散射之X射線輻射122且產生指示對入射X射線輻射敏感之樣品101之性質之一輸出信號124。由X射線偵測器123收集經散射X射線122,同時樣品定位系統140定位且定向樣品101以產生角度解析之經散射X射線。
如圖1中描繪,LiMeRa X射線源被維持於維持在真空腔室120內之一真空環境中。隨著X射線自液態金屬陽極材料113朝向X射線光學器件106傳播,X射線發射穿過真空窗121。
圖2A描繪在一個例項中之圖1中描繪之旋轉陽極總成110。在圖2A中描繪之例項中,旋轉陽極總成110之角速度為零(即,靜止)。在此例項中,不存在作用於液態金屬陽極材料113上之離心力。因此,作用於液態金屬陽極材料113上之重力主導動態力,且液態金屬陽極材料113在垂直於重力向量G之方向上與旋轉陽極支撐結構111之形狀相符。旋轉陽極支撐結構111經塑形使得當角速度為零時,液態金屬陽極材料113被約束至保持與旋轉陽極支撐結構111接觸。換言之,旋轉陽極支撐結構111經塑形使得當角速度為零時,液態金屬陽極材料113不溢出且不損失。
圖2B描繪在另一例項中之圖1中描繪之旋轉陽極總成110。在圖2B中描繪之例項中,旋轉陽極總成110之角速度係一恆定值ω。在此例項中,除了作用於液態金屬陽極材料113上之重力之外,離心力亦作用於液態金屬陽極材料113上。因此,液態金屬陽極材料113亦在平行於旋轉軸A之方向上與旋轉陽極支撐結構111之形狀相符。旋轉陽極支撐結構111經塑形使得當角速度非零時,液態金屬陽極材料113被約束至保持與旋轉陽極支撐結構111接觸。換言之,旋轉陽極支撐結構111經塑形使得當角速度非零時,液態金屬陽極材料113不溢出且不損失。在一進一步態樣中,當旋轉陽極支撐結構按恆定角速度旋轉時,旋轉陽極支撐結構將液態金屬陽極材料支撐於相對於旋轉陽極支撐結構之一固定位置中。換言之,當旋轉陽極支撐結構按恆定角速度旋轉時,液態金屬陽極材料不相對於旋轉陽極支撐結構流動。
在一進一步態樣中,一平移致動器耦合至旋轉總成,該平移致動器引起旋轉總成亦在平行於旋轉軸A之一方向上平移。
圖3描繪一旋轉總成125,其包含旋轉陽極支撐結構111、旋轉致動器112、液態金屬陽極材料113及一平移致動器114。在圖3中描繪之實施例中,平移致動器114耦合至旋轉致動器112且在平行於旋轉軸A之一方向上以一振幅ΔH振盪旋轉總成。液態金屬陽極材料113之旋轉運動有效地圓周改變電子流105相對於液態金屬陽極材料113之入射位置。液態金屬陽極材料113之平移運動在平行於旋轉軸之一方向上有效地改變電子流105相對於液態金屬陽極材料113之入射位置。此將由電子流105施加於液態金屬陽極材料113上之熱負載有效地散佈遍及一較大區域。
在另一進一步態樣中,一輸出窗耦合至旋轉陽極支撐結構,且由液態金屬陽極材料發射之X射線經透射穿過輸出窗朝向所量測樣品。
圖4描繪一旋轉總成126,其包含旋轉陽極支撐結構111、旋轉致動器112、液態金屬陽極材料113及一輸出窗115。在圖4中描繪之實施例中,對X射線輻射實質上透明之一輸出窗115耦合至旋轉陽極支撐結構111。自液態金屬陽極材料113發射之X射線117經透射穿過輸出窗115。一計量系統(諸如計量系統100)包含收集且引導經透射X射線輻射117朝向所量測樣品101之X射線光學元件。以此方式,作為直接自液態金屬陽極材料113之曝露表面收集之X射線輻射(例如,X射線輻射118)之代替或補充,亦在一透射模式中收集X射線輻射。
在另一進一步態樣中,一圍阻窗耦合至旋轉陽極支撐結構,且入射電子流105在入射於液態金屬陽極材料113之前透射穿過圍阻窗。
圖5描繪一旋轉總成127,其包含旋轉陽極支撐結構111、旋轉致動器112、液態金屬陽極材料113、輸出窗115及圍阻窗116。在圖5中描繪之實施例中,對電子流105實質上透明之一圍阻窗116耦合至旋轉陽極支撐結構111,且將液態金屬陽極材料113有效地約束至相對於旋轉陽極支撐結構之一固定形狀而無關於角速度。如圖4B中描繪,液態金屬陽極材料113有效地截留於圍阻窗116與輸出窗115之間。以此方式,液態金屬陽極材料113不改變形狀而無關於角速度。在不採用一輸出窗之實施例中,液態金屬陽極材料113有效地截留於圍阻窗116與旋轉陽極支撐結構111之間。在圖5中描繪之實施例中,自液態金屬陽極材料113發射之X射線117經透射穿過輸出窗115,且X射線輻射118亦自液態金屬陽極材料113之曝露表面直接收集。然而,一般言之,X射線輻射可自液態金屬陽極材料113之曝露表面直接收集、如經透射穿過輸出窗115或兩者。
圖1至圖4描繪支撐液態金屬陽極材料,使得當旋轉陽極支撐結構按不同恆定角速度旋轉時,液態金屬陽極材料呈現一不同形狀之旋轉陽極支撐結構之實施例。更具體言之,圖1至圖4中繪示之液態金屬陽極材料113之橫截面視圖展示繞旋轉軸A周轉之液態金屬陽極材料之一橫截面,且確切橫截面形狀取決於旋轉陽極支撐結構之旋轉之角速度。相比之下,圖5描繪支撐液態金屬陽極材料,使得液態金屬陽極材料獨立於旋轉陽極支撐結構之角速度呈現近似相同形狀之旋轉陽極支撐結構之一實施例。
一般言之,可預期許多不同形狀。圖6A至圖6B描繪包含一旋轉陽極支撐結構151、一旋轉致動器152及一液態金屬陽極材料153之一旋轉總成150之一實施例150。在實施例150中,旋轉支撐結構151支撐液態金屬陽極材料,使得當旋轉陽極支撐結構按恆定角速度旋轉時,液態金屬陽極材料呈現一環形形狀。更具體言之,圖6A至圖6B中繪示之液態金屬陽極材料153之橫截面視圖展示與旋轉陽極支撐結構151之一半圓形形狀相符之液態金屬陽極材料。
圖6A描繪在一個例項中之旋轉陽極總成150。在圖6A中描繪之例項中,旋轉陽極總成150之角速度為零(即,靜止)。在此例項中,不存在作用於液態金屬陽極材料153上之離心力。因此,作用於液態金屬陽極材料153上之重力主導動態力,且液態金屬陽極材料153在垂直於重力向量G之方向上與旋轉陽極支撐結構151之形狀相符。旋轉陽極支撐結構151經塑形使得當角速度為零時,液態金屬陽極材料153被約束至保持與旋轉陽極支撐結構151接觸。換言之,旋轉陽極支撐結構151經塑形使得當角速度為零時,液態金屬陽極材料153不溢出且不損失。
圖6B描繪在另一例項中之旋轉陽極總成150。在圖6B中描繪之例項中,旋轉陽極總成150之角速度係一恆定值ω。在此例項中,除了作用於液態金屬陽極材料153上之重力之外,離心力亦作用於液態金屬陽極材料153上。因此,液態金屬陽極材料153亦在平行於旋轉軸A之方向上與旋轉陽極支撐結構151之形狀相符。旋轉陽極支撐結構151經塑形使得當角速度非零時,液態金屬陽極材料153被約束至保持與旋轉陽極支撐結構151接觸。換言之,旋轉陽極支撐結構111經塑形使得當角速度非零時,液態金屬陽極材料153不溢出且不損失。
圖7描繪一旋轉總成160,其包含旋轉陽極支撐結構161、旋轉致動器162、液態金屬陽極材料163及圍阻窗164。在圖7中描繪之實施例中,對電子流105實質上透明之一圍阻窗164耦合至旋轉陽極支撐結構161,且將液態金屬陽極材料163有效地約束至相對於旋轉陽極支撐結構之一固定形狀而無關於角速度。如圖7中描繪,液態金屬陽極材料163有效地截留於圍阻窗164與旋轉陽極支撐結構161之間。以此方式,液態金屬陽極材料163不改變形狀而無關於角速度。液態金屬陽極材料163有效地截留於圍阻窗164與旋轉陽極支撐結構161之間。在圖7中描繪之實施例中,自液態金屬陽極材料163發射之X射線165經透射穿過圍阻窗164。
一般言之,一旋轉陽極支撐結構、一圍阻窗及一輸出窗可由金屬、石墨、金剛石或其等之任何組合製造。
一般言之,X射線能量及產生效率隨陽極材料之元素原子序數Z按比例調整。除了一些例外,原子序數愈高,X射線能量(即,波長愈短)及產率效率愈高。不幸地,具有一相對高原子序數之許多材料亦具有高熔融溫度。
適用於在如本文中描述之一LiMeRa X射線照明源中被實施為一液態金屬陽極材料之液態金屬材料包含鎵、銦、錫、鉈、鎘、鉍、鉛、銻、銀、金及其等之任何組合。另外,包含鎵、銦、錫、鉈、鎘、鉍、鉛、銻、銀及金之任何者的液態金屬陽極合金亦可係涵蓋於此專利文件的範疇內。一例示性合金係伍氏金屬(Wood's metal),其係具有近似70°C (158°F)之一熔點之一共熔易熔合金。該伍氏金屬係一共熔合金,其包含50重量%之鉍、26.7重量%之鉛、13.3重量%之錫,及10重量%之鎘。
在一較佳實例中,液態金屬陽極材料係銦(Z=49)或包含銦之一合金。可採用習知金屬或耐火材料以穩定地支撐熔融銦。此外,可採用習知加熱裝置以將液態銦維持在高於156°C之其熔點之一溫度下。類似地,可採用習知金屬或耐火材料以穩定地支撐熔融錫(Z=50),且可採用習知加熱裝置以將液態錫維持在高於232°C之其熔點之一溫度下。
液態金屬陽極113與電子流105之重合產生入射於樣品101之檢測區域102上之X射線發射108。一LiMeRa X射線照明源自液態金屬陽極材料收集K殼發射、L殼發射或其等之一組合。在一些實施例中,較佳具有在自10 keV至25 keV之一範圍中之一X射線源光子能量以依適用於基於透射小角度X射線散射(T-SAXS)之半導體計量應用(諸如圖案化矽晶圓上之臨界尺寸及疊對計量)之透射效率穿透一矽晶圓。
在一些實施例中,樣品101與液態金屬陽極材料113之間之距離很長(例如,大於1米)。在此等實施例中,存在於光束路徑中之空氣引入非所要光束散射。因此,在一些實施例中,較佳使X射線光束108通過一抽空飛行管自LiMeRa照明源傳播至樣品101。
在一些實施例中,X射線偵測器123維持於與樣品101相同之大氣環境(例如,氣體沖洗環境)中。然而,在一些實施例中,樣品101與X射線偵測器123之間之距離很長(例如,大於1米)。在此等實施例中,尤其當LiMeRa照明源經組態以產生硬X射線(例如,大於5 keV之光子能量)時,存在於光束路徑中之空氣引入非所要光束散射。因此,在一些實施例中,X射線偵測器123維持於藉由一真空窗而與樣品(例如,樣品101)分離之一局部真空環境中。圖8係繪示含有X射線偵測器123之一真空腔室170之一圖式。在一較佳實施例中,真空腔室170包含樣品101與X射線偵測器123之間之路徑之一大部分。真空腔室170之一開口由真空窗171覆蓋。真空窗171可由對X射線輻射實質上透明之任何適合材料(例如,Kapton、鈹等)構成。經散射X射線輻射122穿過真空窗171,進入真空腔室170且入射於X射線偵測器123上。一適合真空環境172維持於真空腔室170內以最小化對經散射X射線輻射122之干擾。
在一些實施例中,可期望將X射線照明光束108、樣品101、收集光束122及偵測器123維持於一抽空環境中以最小化X射線之吸收。若LiMeRa照明源經組態以產生軟X射線(例如,小於5 keV之光子能量),則可尤其期望此。
圖9繪示用於執行半導體計量量測之一X射線計量系統200。藉由非限制性實例,X射線計量系統200在一掠入射模式中操作。更具體言之,X射線計量系統200經組態為一掠入射小角度X射線散射(GISAXS)量測系統。典型入射角及收集角如自樣品之表面量測為近似1度,或自法向於樣品之表面之一軸為近似89度。X射線計量系統200包含如參考圖1描述之一LiMeRa X射線照明源。如圖9中繪示,X射線計量系統200包含參考圖1描述之類似、相同編號之元件。X射線計量系統200經組態使得自樣品散射之X射線由一偵測器收集,同時一樣本處置器(未展示)定位樣品。另外,可偵測在相互作用期間產生之任何其他粒子,諸如光電子、透過螢光分析產生之X射線或離子。經組態以執行GISAXS量測之計量系統需要一高亮度X射線源以維持遍及按小角度照明之相對大樣本區域之足夠亮度。有鑑於此,一LiMeRa X射線照明源尤其良好適用於GISAXS量測。
藉由非限制性實例,在圖1中繪示之X射線計量系統100經組態為一透射小角度X射線散射計(TSAXS)且圖9中繪示之X射線計量系統200經組態為一掠入射小角度X射線散射計(GISAXS)。然而,一般言之,採用如本文中描述之一LiMeRa X射線照明源之一X射線計量系統可採用以下計量技術之任何一或多者:透射小角度X射線散射(TSAXS)、掠入射小角度X射線散射(GISAXS)、廣角X射線散射(WAXS)、X射線反射量測(XRR)、掠入射X射線反射量測(GXR)、X射線繞射(XRD)、掠入射X射線繞射(GIXRD)、高解析度X射線繞射(HRXRD)、X射線光電子光譜法(XPS)、X射線螢光分析(XRF)、全反射X射線螢光分析(TXRF)、掠入射X射線螢光分析(GIXRF)、X射線斷層掃描、X射線橢偏量測及硬X射線光電子發射光譜測定法(HXPS)。
X射線計量工具100亦包含經採用以擷取由X射線偵測器123產生之信號124且至少部分基於經擷取信號判定樣品之性質之運算系統130。如圖1中繪示,運算系統130通信地耦合至X射線偵測器123。在一個實例中,X射線偵測器123係一X射線光譜儀且量測資料124包含基於由X射線光譜儀實施之一或多個取樣程序之樣品之經量測光譜回應之一指示。運算系統130經組態以建立樣品之模型,基於模型而產生X射線模擬,且分析模擬及自X射線偵測器123接收之信號124以判定樣本之一或多個特性(例如,所量測之一結構之一所關注參數180之一值)。
在一進一步實施例中,運算系統130經組態以採用即時臨界尺寸(RTCD)即時存取模型參數,或其可存取預運算模型庫以判定與樣品101相關聯之至少一個樣品參數值之一值。一般言之,可使用某個形式之CD引擎以評估一樣品之經指派CD參數同與經量測樣品相關聯之CD參數之間之差異。在2010年11月2日頒予KLA-Tencor Corp.之美國專利第7,826,071號中描述用於運算樣品參數值之例示性方法及系統,該專利之全文以引用的方式併入本文中。
在一個實例中,量測資料124包含樣品之經量測X射線回應之一指示。基於經量測X射線回應在偵測器123之表面上之分佈,藉由運算系統130判定X射線光束108在樣品101上之入射位置及區域。在一個實例中,藉由運算系統130應用圖案辨識技術以基於量測資料124判定X射線光束108在樣品101上之入射位置及區域。作為回應,運算系統130產生命令信號至電子光學器件104及X射線光束器件109之任何者以重新引導且重新塑形X射線照明光束108。
在另一態樣中,在數個不同平面外定向下執行一特定檢測區域之X射線量測。此藉由擴展可用於分析之資料集之數目及多樣性以包含各種大角度、平面外定向來增加經量測參數之精確度及準確度且減少參數之間的相關性。量測具有一較深、較多樣化資料集之樣品參數亦減少參數之間之相關性且改良量測準確度。
如圖1中繪示,X射線計量工具100包含經組態以在相對於LiMeRa X射線照明源之平面外角定向之一大範圍內對準樣品101且定向樣品101兩者之一樣品定位系統140。換言之,樣品定位系統140經組態以繞與樣品101之表面平面內對準之一或多個旋轉軸在一大角度範圍內旋轉樣品101。在一些實施例中,樣品定位系統140經組態以繞與樣品101之表面平面內對準之一或多個旋轉軸在至少90度之一範圍內旋轉樣品101。在一些實施例中,樣品定位系統經組態以繞與樣品101之表面平面內對準之一或多個旋轉軸在至少60度之一範圍內旋轉樣品101。在一些其他實施例中,樣品定位系統經組態以繞與樣品101之表面平面內對準之一或多個旋轉軸在至少1度之一範圍內旋轉樣品101。以此方式,樣品101之角度解析之量測由X射線計量系統100在樣品101之表面上之任何數目個位置上收集。在一個實例中,運算系統130將指示樣品101之所要位置之命令信號傳達至樣品定位系統140之運動控制器145。作為回應,運動控制器145產生命令信號至樣品定位系統140之各種致動器以達成樣品101之所要定位。藉由非限制性實例,一樣品定位系統可包含一六腳、線性及角度載物台之任何組合。
藉由非限制性實例,如圖1中繪示,樣品定位系統140包含一邊緣夾持卡盤141以將樣品101固定地附接至樣品定位系統140。一旋轉致動器142經組態以相對於一周邊框架143旋轉邊緣夾持卡盤141及經附接樣品101。在所描繪實施例中,旋轉致動器142經組態以繞圖1中繪示之座標系統146之x軸旋轉樣品101。如圖1中描繪,樣品101繞z軸之一旋轉係樣品101之一平面內旋轉。繞x軸及y軸(未展示)之旋轉係樣品101之平面外旋轉,該等旋轉有效地使樣品之表面相對於計量系統100之計量元件傾斜。雖然未經繪示,但一第二旋轉致動器經組態以繞y軸旋轉樣品101。一線性致動器144經組態以在x方向上平移周邊框架143。另一線性致動器(未展示)經組態以在y方向上平移周邊框架143。以此方式,樣品101之表面上之每一位置可用於在平面外角度位置之一範圍內之量測。例如,在一項實施例中,在相對於樣品101之法向定向之在-45度至+45度之一範圍內之若干角度增量內量測樣品101之一位置。
樣品定位系統140之大平面外角度定位能力擴展量測靈敏度且減少參數之間之相關性。例如,在一法向定向中,SAXS能夠解析一特徵之臨界尺寸,但對於一特徵之側壁角及高度大體上不靈敏。然而,收集在平面外角位置之一廣範圍內之量測資料實現與數個繞射級相關聯之量測資料之收集。此使一特徵之側壁角及高度能被解析。另外,可解析其他特徵,諸如與先進結構相關聯之圓形或任何其他形狀。
採用如本文中描述之一高亮度液態金屬微滴X射線源之一X射線計量工具歸因於由該源產生之高亮度及短波長輻射(例如,大於500 eV之光子能量)而實現增加之量測靈敏度及處理量。藉由非限制性實例,X射線計量工具能夠量測小於10奈米之結構之幾何參數(例如,節距、臨界尺寸(CD)、側壁角(SWA)、線寬度粗糙度(LWR)及線邊緣粗糙度(LER) )。另外,高能量性質之X射線輻射穿透光學不透明薄膜、埋入式結構、高高寬比結構及包含許多薄膜層之裝置。
採用如本文中描述之一高亮度LiMeRa X射線照明源之一X射線計量系統可用於判定半導體結構之特性。例示性結構包含(但不限於) FinFET、低維結構(諸如奈米線或石墨烯)、亞10 nm結構、薄膜、微影結構、矽穿孔(TSV)、記憶體結構(諸如DRAM、DRAM 4F2、FLASH)及高高寬比記憶體結構。例示性結構特性包含(但不限於)幾何參數(諸如線邊緣粗糙度、線寬度粗糙度、孔徑、孔密度、側壁角、輪廓、膜厚度、臨界尺寸、節距)及材料參數(諸如電子密度、結晶晶粒結構、形態、定向、應力及應變)。
應認知,可由一單一電腦系統130或替代地一多電腦系統130實行貫穿本發明描述之各個步驟。再者,系統100之不同子系統(諸如樣品定位系統140)可包含適用於實行本文中描述之步驟之至少一部分之一電腦系統。因此,不應將前述描述解譯為對本發明之一限制,而僅為一闡釋。此外,一或多個運算系統130可經組態以執行本文中描述之任何方法實施例之任何(若干)其他步驟。
另外,電腦系統130可以此項技術中已知之任何方式通信地耦合至X射線偵測器123、電子光學器件104、X射線光學器件106、電子束源103、旋轉致動器112、平移致動器114及樣品定位系統140。例如,一或多個運算系統130可分別耦合至與X射線偵測器123、電子光學器件104、X射線光學器件106、電子束源103、旋轉致動器112、平移致動器114及樣品定位系統140相關聯之運算系統。在另一實例中,X射線偵測器123、電子光學器件104、X射線光學器件106、電子束源103、旋轉致動器112、平移致動器114及樣品定位系統140之任何者可由耦合至電腦系統130之一單一電腦系統直接控制。
X射線計量系統100之電腦系統130可經組態以藉由可包含有線及/或無線部分之一傳輸媒體自系統之子系統(例如,X射線偵測器123、電子光學器件104、X射線光學器件106、電子束源103、旋轉致動器112、平移致動器114及樣品定位系統140及類似者)接收及/或擷取資料或資訊。以此方式,傳輸媒體可充當電腦系統130與系統100之其他子系統之間之一資料鏈路。
計量系統100及200之電腦系統130可經組態以藉由可包含有線及/或無線部分之一傳輸媒體自其他系統接收及/或擷取資料或資訊(例如,量測結果、模型化輸入、模型化結果等)。以此方式,傳輸媒體可充當電腦系統130與其他系統(例如,記憶體板上計量系統100、外部記憶體或外部系統)之間之一資料鏈路。例如,運算系統130可經組態以經由一資料鏈路而自一儲存媒體(即,記憶體132)接收量測資料(例如,輸出信號124)。例如,使用X射線偵測器123之一光譜儀獲得之光譜結果可儲存於一永久或半永久記憶體裝置(例如,記憶體132)中。在此方面,可自板上記憶體或自一外部記憶體系統匯入光譜結果。再者,電腦系統130可經由一傳輸媒體將資料發送至其他系統。例如,由電腦系統130判定之樣品參數值180可儲存於一永久或半永久記憶體裝置中。在此方面,可將量測結果匯出至另一系統。
運算系統130可包含(但不限於)一個人電腦系統、主機電腦系統、工作站、影像電腦、平行處理器或此項技術中已知之任何其他裝置。一般言之,術語「運算系統」可廣泛地定義為涵蓋具有執行來自一記憶體媒體之指令之一或多個處理器之任何裝置。
可經由諸如一導線、電纜或無線傳輸鏈路之一傳輸媒體傳輸實施諸如本文中描述之方法之方法之程式指令134。例如,如圖1中繪示,經由匯流排133將儲存於記憶體132中之程式指令傳輸至處理器131。程式指令134儲存於一電腦可讀媒體(例如,記憶體132)中。例示性電腦可讀媒體包含唯讀記憶體、一隨機存取記憶體、一磁碟或光碟或一磁帶。
在一些實施例中,實施如本文中描述之X射線計量作為一製程工具之部分。製程工具之實例包含(但不限於)微影曝光工具、膜沈積工具、植入工具及蝕刻工具。以此方式,使用X射線量測之結果以控制一製程。在一個實例中,將自一或多個靶收集之X射線量測資料發送至一製程工具。分析X射線資料且使用結果以調整製程工具之操作。
圖10繪示適合於由本發明之X射線計量系統100及200實施之一方法300。在一個態樣中,應認知,可經由由運算系統130之一或多個處理器執行之一預程式化演算法實行方法300之任何資料處理元素。雖然在X射線計量系統100及200之背景內容中提出以下描述,但本文中應認知,X射線計量系統100之特定結構態樣不表示限制且應僅解譯為闡釋性。
在方塊301中,自一電子束源之一陰極朝向一液態金屬陽極材料發射一電子流。電子流與液態金屬陽極材料之相互作用引起一X射線發射。
在方塊302中,旋轉陽極支撐結構按一恆定角速度繞一旋轉軸旋轉。當旋轉陽極支撐結構按恆定角速度旋轉時,旋轉陽極支撐結構將液態金屬陽極材料支撐於相對於旋轉陽極支撐結構之一固定位置中。
在方塊303中,自液態金屬陽極材料收集一X射線發射量。
如本文中描述,術語「臨界尺寸」包含一結構之任何臨界尺寸(例如,底部臨界尺寸、中間臨界尺寸、頂部臨界尺寸、側壁角、光柵高度等)、任何兩個或兩個以上結構之間之一臨界尺寸(例如,兩個結構之間之距離)及兩個或兩個以上結構之間之一位移(例如,疊對光柵結構之間之疊對位移等)。結構可包含三維結構、圖案化結構、疊對結構等。
如本文中描述,術語「臨界尺寸應用」或「臨界尺寸量測應用」包含任何臨界尺寸量測。
如本文中描述,術語「計量系統」包含至少部分採用以在任何態樣中特性化一樣品之任何系統,包含臨界尺寸應用及疊對計量應用。然而,此等技術術語不限制如本文中描述之術語「計量系統」之範疇。另外,計量系統100可經組態以量測圖案化晶圓及/或未經圖案化晶圓。計量系統可組態為一LED檢測工具、邊緣檢測工具、背側檢測工具、巨集檢測工具或多模式檢測工具(涉及同時來自一或多個平台之資料)及受益於一液態微滴X射線源之任何其他計量或檢測工具。
本文中針對可用於處理一樣品之一半導體處理系統(例如,一檢測系統或一微影系統)描述各項實施例。術語「樣品」在本文中用以指一晶圓、一倍縮光罩或可藉由此項技術中已知之手段處理(例如,印刷或檢測缺陷)之任何其他樣本。
如本文中使用,術語「晶圓」大體上係指由一半導體或非半導體材料形成之基板。實例包含(但不限於)單晶矽、砷化鎵及磷化銦。此等基板通常可在半導體製造廠中找到及/或處理。在一些情況中,一晶圓可僅包含基板(即,裸晶圓)。替代地,一晶圓可包含形成於一基板上之一或多個不同材料層。形成於一晶圓上之一或多個層可「經圖案化」或「未經圖案化」。例如,一晶圓可包含具有可重複圖案特徵之複數個晶粒。
一「倍縮光罩」可為處於一倍縮光罩製程之任何階段之一倍縮光罩,或為可能經釋放或可能未經釋放以於一半導體製造廠中使用之一成品倍縮光罩。一倍縮光罩或一「遮罩」大體上定義為具有形成於其上且以一圖案組態之實質上不透明區之一實質上透明基板。基板可包含(例如)一玻璃材料,諸如非晶SiO2 。可在一微影程序之一曝光步驟期間將一倍縮光罩安置於一覆蓋有光阻劑之晶圓上方,使得可將倍縮光罩上之圖案轉印至光阻劑。
形成於一晶圓上之一或多個層可經圖案化或未經圖案化。例如,一晶圓可包含各具有可重複圖案特徵之複數個晶粒。此等材料層之形成及處理最終可產生成品裝置。許多不同類型的裝置可形成於一晶圓上,且如本文中使用之術語晶圓意欲涵蓋其上製造此項技術中已知之任何類型的裝置之一晶圓。
在一或多項例示性實施例中,所描述之功能可實施於硬體、軟體、韌體或其等之任何組合中。若在軟體中實施,則功能可作為一或多個指令或程式碼儲存於一電腦可讀媒體上或經由該電腦可讀媒體傳輸。電腦可讀媒體包含電腦儲存媒體及通信媒體兩者,包含促進一電腦程式自一位置至另一位置之傳送之任何媒體。一儲存媒體可為可藉由一通用電腦或專用電腦存取之任何可用媒體。例如(且非限制),此等電腦可讀媒體可包括RAM、ROM、EEPROM、CD-ROM或其他光碟儲存器、磁碟儲存器或其他磁性儲存裝置或可用於載送或儲存呈指令或資料結構之形式之所要程式碼構件且可藉由一通用電腦或專用電腦或一通用或專用處理器存取之任何其他媒體。再者,任何連接被適當地稱為一電腦可讀媒體。例如,若使用一同軸電纜、光纖電纜、雙絞線、數位用戶線(DSL)或無線技術(諸如紅外線、無線電及微波)自一網站、伺服器或其他遠端源傳輸軟體,則同軸電纜、光纖電纜、雙絞線、DSL或無線技術(諸如紅外線、無線電及微波)包含於媒體之定義中。如本文中使用,磁碟及光碟包含光碟片(CD)、雷射光碟、光碟、數位多功能光碟(DVD)、軟碟及藍光光碟,其中磁碟通常磁性地重現資料而光碟用雷射光學地重現資料。上述組合亦應包含於電腦可讀媒體之範疇內。
儘管上文為指導目的而描述某些特定實施例,但本專利文件之教示具有一般適用性且不限於上文中描述之特定實施例。因此,在不脫離如發明申請專利範圍中闡述之本發明之範疇之情況下,可實踐所述實施例之各種特徵之各種修改、調適及組合。
100‧‧‧X射線計量系統
101‧‧‧樣品
102‧‧‧檢測區域
103‧‧‧電子束源
104‧‧‧電子光學器件
105‧‧‧電子流
106‧‧‧X射線光學器件
108‧‧‧X射線光束
110‧‧‧旋轉陽極總成
111‧‧‧旋轉陽極支撐結構
112‧‧‧旋轉致動器
113‧‧‧液態金屬陽極材料
114‧‧‧平移致動器
115‧‧‧輸出窗
116‧‧‧圍阻窗
117‧‧‧X射線輻射
118‧‧‧X射線輻射
120‧‧‧真空腔室
121‧‧‧真空窗
122‧‧‧X射線輻射/收集光束
123‧‧‧X射線偵測器
124‧‧‧輸出信號/量測資料
125‧‧‧旋轉總成
126‧‧‧旋轉總成
127‧‧‧旋轉總成
130‧‧‧運算系統/電腦系統
131‧‧‧處理器
132‧‧‧記憶體
133‧‧‧匯流排
134‧‧‧程式指令
135‧‧‧命令信號
136‧‧‧命令信號
140‧‧‧樣品定位系統
141‧‧‧邊緣夾持卡盤
142‧‧‧旋轉致動器
143‧‧‧周邊框架
144‧‧‧線性致動器
145‧‧‧運動控制器
146‧‧‧座標系統
150‧‧‧旋轉總成/旋轉陽極總成
151‧‧‧旋轉陽極支撐結構
152‧‧‧旋轉致動器
153‧‧‧液態金屬陽極材料
160‧‧‧旋轉總成
161‧‧‧旋轉陽極支撐結構
162‧‧‧旋轉致動器
163‧‧‧液態金屬陽極材料
164‧‧‧圍阻窗
165‧‧‧X射線
170‧‧‧真空腔室
171‧‧‧真空窗
172‧‧‧真空環境
180‧‧‧所關注參數
200‧‧‧X射線計量系統
300‧‧‧方法
301‧‧‧方塊
302‧‧‧方塊
303‧‧‧方塊
A‧‧‧旋轉軸
G‧‧‧重力向量
ω‧‧‧角速度
圖1係繪示在至少一個態樣中之包含一液態金屬旋轉陽極(LiMeRa) X射線照明源之用於執行半導體計量量測之一X射線計量系統100之一圖式。
圖2A係繪示在一項實施例中之一(LiMeRa) X射線照明源之一旋轉陽極總成之一例項之一圖式。
圖2B係繪示在圖2A中繪示之實施例中之一(LiMeRa) X射線照明源之旋轉陽極總成之另一例項之一圖式。
圖3描繪在另一實施例中之一LiMeRa X射線照明源之一旋轉陽極總成。
圖4描繪在又一實施例中之一LiMeRa X射線照明源之一旋轉陽極總成。
圖5描繪在又一實施例中之一LiMeRa X射線照明源之一旋轉陽極總成。
圖6A係繪示在又一實施例中之一(LiMeRa) X射線照明源之一旋轉陽極總成之一例項之一圖式。
圖6B係繪示在圖5A中繪示之實施例中之一(LiMeRa) X射線照明源之旋轉陽極總成之另一例項之一圖式。
圖7描繪在又一實施例中之一LiMeRa X射線照明源之一旋轉陽極總成。
圖8係繪示在與樣品101分開之一真空環境172中含有之X射線計量系統100之一X射線偵測器123之一圖式。
圖9係繪示包含一LiMeRa X射線照明源之用於執行半導體計量量測之一X射線計量系統200之一圖式。
圖10係繪示適用於自一LiMeRa X射線照明源產生X射線發射之一例示性方法300之一流程圖。

Claims (21)

  1. 一種液態金屬旋轉陽極X射線照明源,其包括: 一電子束源,其經組態以自該電子束源之一陰極朝向一液態金屬陽極材料發射一電子流,該電子流與該液態金屬陽極材料之相互作用引起一X射線發射;及 一旋轉陽極總成,其包括: 一旋轉陽極支撐結構,其經組態以按一恆定角速度繞一旋轉軸旋轉,其中當該旋轉陽極支撐結構按該恆定角速度旋轉時,該旋轉陽極支撐結構將該液態金屬陽極材料支撐於相對於該旋轉陽極支撐結構之一固定位置中;及 一旋轉致動器,其經耦合至該旋轉陽極支撐結構,其中該旋轉致動器使該旋轉陽極支撐結構按該恆定角速度旋轉。
  2. 如請求項1之液態金屬旋轉陽極X射線照明源,進一步包括: 至少一個X射線光學元件,其經組態以收集來自該液態金屬陽極材料之一X射線發射量。
  3. 如請求項2之液態金屬旋轉陽極X射線照明源,其中該經收集量之X射線發射係自該液態金屬陽極材料透射穿過該旋轉陽極總成之一部分至該至少一個X射線光學元件。
  4. 如請求項3之液態金屬旋轉陽極X射線照明源,該旋轉陽極總成進一步包括: 一輸出窗,其經耦合至該旋轉陽極支撐結構,其中該輸出窗對該X射線發射透明,且其中該經收集量之X射線發射係自該液態金屬陽極材料透射穿過該輸出窗至該至少一個X射線光學元件。
  5. 如請求項1之液態金屬旋轉陽極X射線照明源,該旋轉陽極總成進一步包括: 一圍阻窗,其經耦合至該旋轉陽極支撐結構,其中當該旋轉陽極支撐結構按該恆定角速度旋轉時,該圍阻窗將該液態金屬陽極材料約束至相對於該旋轉陽極支撐結構之一固定位置,其中該圍阻窗對該電子流透明,且其中該電子流係自該電子束源透射穿過該圍阻窗至該液態金屬陽極材料。
  6. 如請求項1之液態金屬旋轉陽極X射線照明源,該旋轉陽極總成進一步包括: 一平移致動器,其經耦合至該旋轉陽極支撐結構,其中該平移致動器在平行於該旋轉軸之一方向上平移該旋轉陽極支撐結構。
  7. 如請求項1之液態金屬旋轉陽極X射線照明源,其中該旋轉陽極支撐結構支撐該液態金屬陽極材料,使得該液態金屬陽極材料呈現取決於該旋轉陽極支撐結構之旋轉之一角速度之一形狀。
  8. 如請求項1之液態金屬旋轉陽極X射線照明源,其中該旋轉陽極支撐結構支撐該液態金屬陽極材料,使得該液態金屬陽極材料在沿著該液態金屬旋轉陽極X射線照明源之一焦點軌道之任何位置處之一橫截面獨立於該旋轉陽極支撐結構之旋轉之一角速度而呈現一恆定形狀。
  9. 一種基於X射線之計量系統,其包括: 一液態金屬旋轉陽極X射線照明源,其經組態以使用一入射X射線光束來照明一樣品之一檢測區域,其中該液態金屬旋轉陽極X射線照明源包含, 一電子束源,其經組態以自該電子束源之一陰極朝向一液態金屬陽極材料發射一電子流,該電子流與該液態金屬陽極材料之相互作用引起一X射線發射; 一旋轉陽極總成,其包括: 一旋轉陽極支撐結構,其經組態以按一恆定角速度繞一旋轉軸旋轉,當該旋轉陽極支撐結構按該恆定角速度旋轉時,該旋轉陽極支撐結構將該液態金屬陽極材料支撐在相對於該旋轉陽極支撐結構之一固定位置中;及 一旋轉致動器,其經耦合至該旋轉陽極支撐結構,其中該旋轉致動器使該旋轉陽極支撐結構按該恆定角速度旋轉; 至少一個X射線光學元件,其經組態以收集來自該液態金屬陽極材料之一X射線發射量;及 一X射線偵測器,其經組態以接收回應於該入射X射線光束而來自該樣品的輻射,且產生指示該樣品之一第一性質的信號。
  10. 如請求項9之基於X射線之計量系統, 其中該基於X射線之計量系統係經組態以在一透射或一反射模式中執行量測之一小角度X射線散射計。
  11. 如請求項10之基於X射線之計量系統, 其中該等量測係臨界尺寸量測、疊對量測或兩者。
  12. 如請求項9之基於X射線之計量系統, 其中該基於X射線之計量系統經組態為一透射小角度X射線散射量測系統、一掠入射小角度X射線散射量測系統、一廣角X射線散射量測系統、一X射線反射量測系統、一掠入射X射線反射量測系統、一X射線繞射量測系統、一掠入射X射線繞射量測系統、一高解析度X射線繞射量測系統、一X射線光電子光譜測定系統、一X射線螢光分析計量系統、一全反射X射線螢光分析計量系統、一掠入射X射線螢光分析計量系統、一X射線斷層掃描系統、一X射線橢偏量測系統及一硬X射線光電子發射光譜測定系統中之任何者。
  13. 如請求項9之基於X射線之計量系統, 其中該經收集量之X射線發射係自該液態金屬陽極材料透射穿過該旋轉陽極總成之一部分至該至少一個X射線光學元件。
  14. 如請求項13之基於X射線之計量系統,該旋轉陽極總成進一步包括: 一輸出窗,其經耦合至該旋轉陽極支撐結構,其中該輸出窗對該X射線發射透明,且其中該經收集量之X射線發射係自該液態金屬陽極材料透射穿過該輸出窗至該至少一個X射線光學元件。
  15. 如請求項9之基於X射線之計量系統,該旋轉陽極總成進一步包括: 一圍阻窗,其經耦合至該旋轉陽極支撐結構,其中當該旋轉陽極支撐結構按該恆定角速度旋轉時,該圍阻窗將該液態金屬陽極材料約束至相對於該旋轉陽極支撐結構之一固定位置,其中該圍阻窗對該電子流透明,且其中該電子流係自該電子束源透射穿過該圍阻窗至該液態金屬陽極材料。
  16. 如請求項9之基於X射線之計量系統,其中該旋轉陽極支撐結構支撐該液態金屬陽極材料,使得該液態金屬陽極材料呈現取決於該旋轉陽極支撐結構之旋轉之一角速度之一形狀。
  17. 如請求項9之基於X射線之計量系統,其中該旋轉陽極支撐結構支撐該液態金屬陽極材料,使得該液態金屬陽極材料在沿著該液態金屬旋轉陽極X射線照明源之一焦點軌道之任何位置處之一橫截面獨立於該旋轉陽極支撐結構之旋轉之一角速度而呈現一恆定形狀。
  18. 一種方法,其包括: 自一電子束源之一陰極朝向一液態金屬陽極材料發射一電子流,該電子流與該液態金屬陽極材料之相互作用引起一X射線發射; 使一旋轉陽極支撐結構按一恆定角速度繞一旋轉軸旋轉,當該旋轉陽極支撐結構按該恆定角速度旋轉時,該旋轉陽極支撐結構將該液態金屬陽極材料支撐在相對於該旋轉陽極支撐結構之一固定位置中,及 自該液態金屬陽極材料收集一X射線發射量。
  19. 如請求項18之方法,進一步包括: 使用包括自該液態金屬陽極材料收集之該X射線發射量之一入射X射線光束來照明一樣品之一檢測區域; 偵測回應於該入射X射線光束而來自該樣品之一輻射量;及 基於該經偵測量的輻射來產生指示該樣品之一第一性質的信號。
  20. 如請求項18之方法,其中該經收集量之X射線發射係自該液態金屬陽極材料透射穿過一輸出窗至該至少一個X射線光學元件。
  21. 如請求項18之方法,進一步包括: 當該旋轉陽極支撐結構按該恆定角速度旋轉時,藉由一圍阻窗將該液態金屬陽極材料約束至相對於該旋轉陽極支撐結構之一固定位置;及 使該等電子流自該電子束源透射穿過該圍阻窗至該液態金屬陽極材料。
TW107136769A 2017-10-18 2018-10-18 用於半導體計量之液態金屬旋轉陽極x射線源 TWI765109B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762573958P 2017-10-18 2017-10-18
US62/573,958 2017-10-18
US16/161,525 US10748736B2 (en) 2017-10-18 2018-10-16 Liquid metal rotating anode X-ray source for semiconductor metrology
US16/161,525 2018-10-16

Publications (2)

Publication Number Publication Date
TW201928339A true TW201928339A (zh) 2019-07-16
TWI765109B TWI765109B (zh) 2022-05-21

Family

ID=66096553

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107136769A TWI765109B (zh) 2017-10-18 2018-10-18 用於半導體計量之液態金屬旋轉陽極x射線源

Country Status (7)

Country Link
US (1) US10748736B2 (zh)
JP (1) JP7095083B2 (zh)
KR (1) KR102404613B1 (zh)
CN (1) CN111164724B (zh)
IL (1) IL273711B2 (zh)
TW (1) TWI765109B (zh)
WO (1) WO2019079630A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI798614B (zh) * 2019-12-26 2023-04-11 以色列商諾威股份有限公司 光學臨界尺寸與光反射組合裝置、系統及方法
TWI815602B (zh) * 2021-12-14 2023-09-11 大陸商西安奕斯偉材料科技股份有限公司 檢測單晶爐同軸度的方法、裝置、設備及電腦存儲介質

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150117599A1 (en) 2013-10-31 2015-04-30 Sigray, Inc. X-ray interferometric imaging system
US10295485B2 (en) 2013-12-05 2019-05-21 Sigray, Inc. X-ray transmission spectrometer system
USRE48612E1 (en) 2013-10-31 2021-06-29 Sigray, Inc. X-ray interferometric imaging system
US10247683B2 (en) 2016-12-03 2019-04-02 Sigray, Inc. Material measurement techniques using multiple X-ray micro-beams
US10578566B2 (en) 2018-04-03 2020-03-03 Sigray, Inc. X-ray emission spectrometer system
US10845491B2 (en) 2018-06-04 2020-11-24 Sigray, Inc. Energy-resolving x-ray detection system
GB2591630B (en) 2018-07-26 2023-05-24 Sigray Inc High brightness x-ray reflection source
KR20210028276A (ko) * 2018-07-31 2021-03-11 램 리써치 코포레이션 고 종횡비 구조체들의 패터닝된 어레이들 내의 틸팅 각도 결정
US10656105B2 (en) 2018-08-06 2020-05-19 Sigray, Inc. Talbot-lau x-ray source and interferometric system
DE112019004433T5 (de) 2018-09-04 2021-05-20 Sigray, Inc. System und verfahren für röntgenstrahlfluoreszenz mit filterung
WO2020051221A2 (en) 2018-09-07 2020-03-12 Sigray, Inc. System and method for depth-selectable x-ray analysis
DE112019005321T5 (de) * 2018-10-25 2021-08-05 Horiba, Ltd. Röntgenanalyseeinrichtung und röntgenstrahl-erzeugungseinheit
EP3926656B1 (en) * 2019-04-26 2023-11-22 Isteq B.V. X-ray source with rotating liquid-metal target
WO2021011209A1 (en) 2019-07-15 2021-01-21 Sigray, Inc. X-ray source with rotating anode at atmospheric pressure
CN114729907B (zh) 2019-09-03 2023-05-23 斯格瑞公司 用于计算机层析x射线荧光成像的系统和方法
US11719652B2 (en) * 2020-02-04 2023-08-08 Kla Corporation Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
US11175243B1 (en) 2020-02-06 2021-11-16 Sigray, Inc. X-ray dark-field in-line inspection for semiconductor samples
CN115667896B (zh) 2020-05-18 2024-06-21 斯格瑞公司 使用晶体分析器和多个检测元件的x射线吸收光谱的系统和方法
JP2023542674A (ja) 2020-09-17 2023-10-11 シグレイ、インコーポレイテッド X線を用いた深さ分解計測および分析のためのシステムおよび方法
US11686692B2 (en) 2020-12-07 2023-06-27 Sigray, Inc. High throughput 3D x-ray imaging system using a transmission x-ray source
US11882642B2 (en) 2021-12-29 2024-01-23 Innovicum Technology Ab Particle based X-ray source
WO2023177981A1 (en) 2022-03-15 2023-09-21 Sigray, Inc. System and method for compact laminography utilizing microfocus transmission x-ray source and variable magnification x-ray detector
CN114446743B (zh) * 2022-04-08 2022-07-01 安徽创谱仪器科技有限公司 X射线源
WO2023205358A1 (en) * 2022-04-20 2023-10-26 Arion Diagnostics, Inc. Diffractive analyzer of patient tissue
WO2023215204A1 (en) 2022-05-02 2023-11-09 Sigray, Inc. X-ray sequential array wavelength dispersive spectrometer
US20230403778A1 (en) * 2022-06-10 2023-12-14 Kla Corporation Rotating target for extreme ultraviolet source with liquid metal
US11955308B1 (en) * 2022-09-22 2024-04-09 Kla Corporation Water cooled, air bearing based rotating anode x-ray illumination source

Family Cites Families (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4953191A (en) 1989-07-24 1990-08-28 The United States Of America As Represented By The United States Department Of Energy High intensity x-ray source using liquid gallium target
US4972449A (en) 1990-03-19 1990-11-20 General Electric Company X-ray tube target
US5541975A (en) * 1994-01-07 1996-07-30 Anderson; Weston A. X-ray tube having rotary anode cooled with high thermal conductivity fluid
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
DE19821939A1 (de) 1998-05-15 1999-11-18 Philips Patentverwaltung Röntgenstrahler mit einem Flüssigmetall-Target
JPH11339704A (ja) 1998-05-29 1999-12-10 Tomohei Sakabe 回転対陰極x線発生装置
US6192107B1 (en) 1999-03-24 2001-02-20 General Electric Company Liquid metal cooled anode for an X-ray tube
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US6711233B2 (en) 2000-07-28 2004-03-23 Jettec Ab Method and apparatus for generating X-ray or EUV radiation
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
DE10106740A1 (de) 2001-02-14 2002-08-22 Philips Corp Intellectual Pty Röntgenstrahler mit einem Target aus einem flüssigen Metall
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
WO2003054475A2 (en) 2001-12-19 2003-07-03 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US6891928B2 (en) 2003-05-07 2005-05-10 Ge Medical Systems Liquid metal gasket in x-ray tubes
JP3898684B2 (ja) 2003-10-17 2007-03-28 株式会社リガク 回転集電装置および回転対陰極x線管
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
DE102004015590B4 (de) 2004-03-30 2008-10-09 GE Homeland Protection, Inc., Newark Anodenmodul für eine Flüssigmetallanoden-Röntgenquelle sowie Röntgenstrahler mit einem Anodenmodul
JP2007533093A (ja) 2004-04-13 2007-11-15 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 液体金属アノードを有するx線発生装置
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
JP5426810B2 (ja) 2006-03-22 2014-02-26 知平 坂部 X線発生方法及びx線発生装置
US7440549B2 (en) 2006-06-21 2008-10-21 Bruker Axs Inc. Heat pipe anode for x-ray generator
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
JP2008091190A (ja) 2006-10-02 2008-04-17 Hitachi Medical Corp 回転陽極型x線管装置及びx線検査装置
EP2099055A4 (en) 2006-12-04 2016-04-13 Toshiba Kk X-RAY TUBE WITH ROTATING ANODE
US7518134B2 (en) 2006-12-06 2009-04-14 Asml Netherlands B.V. Plasma radiation source for a lithographic apparatus
JP5461400B2 (ja) 2007-08-16 2014-04-02 コーニンクレッカ フィリップス エヌ ヴェ 回転陽極型の高出力x線管構成に対する陽極ディスク構造のハイブリッド設計
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
JP2009081069A (ja) * 2007-09-26 2009-04-16 Toshiba Corp 回転陽極型x線管
AT10598U1 (de) 2007-09-28 2009-06-15 Plansee Metall Gmbh Ríntgenanode mit verbesserter warmeableitung
DE102008026938A1 (de) 2008-06-05 2009-12-17 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Strahlungsquelle und Verfahren zum Erzeugen von Röntgenstrahlung
US20100002842A1 (en) 2008-07-01 2010-01-07 Bruker Axs, Inc. Cathode assembly for rapid electron source replacement in a rotating anode x-ray generator
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
EP2415065A1 (en) * 2009-04-03 2012-02-08 Excillum AB Supply of a liquid-metal target in x-ray generation
JP5422311B2 (ja) 2009-09-08 2014-02-19 株式会社東芝 回転陽極型x線管および回転陽極型x線管装置
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
HUP1000635A2 (en) 2010-11-26 2012-05-29 Ge Hungary Kft Liquid anode x-ray source
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
JP6114981B2 (ja) 2012-10-17 2017-04-19 株式会社リガク X線発生装置
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
JP6104689B2 (ja) * 2013-04-18 2017-03-29 東芝電子管デバイス株式会社 X線管装置及びx線コンピュータ断層撮影装置
US20140369476A1 (en) 2013-06-14 2014-12-18 Morpho Detection, Inc. Device for generating x-rays having a liquid metal anode
US9693439B1 (en) 2013-06-20 2017-06-27 Kla-Tencor Corporation High brightness liquid droplet X-ray source for semiconductor metrology
US20150092924A1 (en) 2013-09-04 2015-04-02 Wenbing Yun Structured targets for x-ray generation
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US9594036B2 (en) 2014-02-28 2017-03-14 Sigray, Inc. X-ray surface analysis and measurement apparatus
US9494535B2 (en) * 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US9726624B2 (en) 2014-06-18 2017-08-08 Bruker Jv Israel Ltd. Using multiple sources/detectors for high-throughput X-ray topography measurement
WO2016010448A1 (en) 2014-07-17 2016-01-21 Siemens Aktiengesellschaft Fluid injector for x-ray tubes and method to provide a liquid anode by liquid metal injection
JP2016071991A (ja) 2014-09-29 2016-05-09 株式会社東芝 回転陽極型x線管
DE102014226813A1 (de) * 2014-12-22 2016-06-23 Siemens Aktiengesellschaft Metallstrahlröntgenröhre
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
US9715989B2 (en) 2015-04-09 2017-07-25 General Electric Company Multilayer X-ray source target with high thermal conductivity
US10545104B2 (en) 2015-04-28 2020-01-28 Kla-Tencor Corporation Computationally efficient X-ray based overlay measurement
DE102015224143B3 (de) 2015-12-03 2017-02-23 Incoatec Gmbh Verfahren zur Justage der Primärseite eines Röntgendiffraktometers und zugehöriges Röntgendiffraktometer
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI798614B (zh) * 2019-12-26 2023-04-11 以色列商諾威股份有限公司 光學臨界尺寸與光反射組合裝置、系統及方法
TWI815602B (zh) * 2021-12-14 2023-09-11 大陸商西安奕斯偉材料科技股份有限公司 檢測單晶爐同軸度的方法、裝置、設備及電腦存儲介質

Also Published As

Publication number Publication date
IL273711B1 (en) 2023-03-01
WO2019079630A1 (en) 2019-04-25
US10748736B2 (en) 2020-08-18
JP7095083B2 (ja) 2022-07-04
JP2021500702A (ja) 2021-01-07
CN111164724A (zh) 2020-05-15
IL273711B2 (en) 2023-07-01
TWI765109B (zh) 2022-05-21
US20190115184A1 (en) 2019-04-18
CN111164724B (zh) 2021-07-06
KR102404613B1 (ko) 2022-05-31
KR20200058563A (ko) 2020-05-27
IL273711A (en) 2020-05-31

Similar Documents

Publication Publication Date Title
TWI765109B (zh) 用於半導體計量之液態金屬旋轉陽極x射線源
KR102625635B1 (ko) X-선 산란계측 시스템들을 위한 전체 빔 계측
TWI805594B (zh) 基於多色軟性x射線繞射之用於半導體度量之方法及系統
KR102363266B1 (ko) 고 애스펙트비 구조체에 대한 x 선 산란측정법 계측
JP7376666B2 (ja) 透過型小角x線散乱計量システム
JP6821700B2 (ja) 小スポットサイズ透過型小角x線スキャタロメトリ用ビーム整形スリット
US11719652B2 (en) Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
CN112105917B (zh) 用于基于x射线的测量系统的校准及对准的多层目标
US9693439B1 (en) High brightness liquid droplet X-ray source for semiconductor metrology
CN110603437A (zh) 用于具有高空间分辨率的x射线束的特性化的方法及系统
CN111052872B (zh) 用于基于x射线的计量的明亮且干净的x射线源
US9826614B1 (en) Compac X-ray source for semiconductor metrology
TW201840957A (zh) 用於小角度x射線散射量測之x射線變焦鏡頭
US10012606B1 (en) X-ray based metrology with primary and secondary illumination sources
KR20220140826A (ko) X-선 기반 계측을 위한 웨이퍼 기울기 측정 및 제어
Zschech et al. High-resolution X-ray imaging—a powerful nondestructive technique for applications in semiconductor industry
US11955308B1 (en) Water cooled, air bearing based rotating anode x-ray illumination source