CN111164724B - 用于半导体计量的液态金属旋转阳极x射线源 - Google Patents

用于半导体计量的液态金属旋转阳极x射线源 Download PDF

Info

Publication number
CN111164724B
CN111164724B CN201880064258.4A CN201880064258A CN111164724B CN 111164724 B CN111164724 B CN 111164724B CN 201880064258 A CN201880064258 A CN 201880064258A CN 111164724 B CN111164724 B CN 111164724B
Authority
CN
China
Prior art keywords
ray
liquid metal
support structure
anode
anode material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880064258.4A
Other languages
English (en)
Other versions
CN111164724A (zh
Inventor
S·佐卢布斯基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN111164724A publication Critical patent/CN111164724A/zh
Application granted granted Critical
Publication of CN111164724B publication Critical patent/CN111164724B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/04Electrodes ; Mutual position thereof; Constructional adaptations therefor
    • H01J35/08Anodes; Anti cathodes
    • H01J35/10Rotary anodes; Arrangements for rotating anodes; Cooling rotary anodes
    • H01J35/101Arrangements for rotating anodes, e.g. supporting means, means for greasing, means for sealing the axle or means for shielding or protecting the driving
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/04Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/04Electrodes ; Mutual position thereof; Constructional adaptations therefor
    • H01J35/08Anodes; Anti cathodes
    • H01J35/10Rotary anodes; Arrangements for rotating anodes; Cooling rotary anodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/04Electrodes ; Mutual position thereof; Constructional adaptations therefor
    • H01J35/08Anodes; Anti cathodes
    • H01J35/10Rotary anodes; Arrangements for rotating anodes; Cooling rotary anodes
    • H01J35/105Cooling of rotating anodes, e.g. heat emitting layers or structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/16Vessels; Containers; Shields associated therewith
    • H01J35/18Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2235/00X-ray tubes
    • H01J2235/08Targets (anodes) and X-ray converters
    • H01J2235/081Target material
    • H01J2235/082Fluids, e.g. liquids, gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2235/00X-ray tubes
    • H01J2235/10Drive means for anode (target) substrate
    • H01J2235/1026Means (motors) for driving the target (anode)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Immunology (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Pathology (AREA)
  • Biochemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • X-Ray Techniques (AREA)

Abstract

本文中提出用于实现适用于高处理量x射线计量的高亮度、基于液体的x射线源的方法及系统。通过使用电子流轰击旋转液态金属阳极材料来产生x射线辐射以产生高亮度x射线源。旋转阳极支撑结构在按恒定角速度旋转时,将所述液态金属阳极材料支撑在相对于所述支撑结构的固定位置中。在另一方面中,平移致动器经耦合到旋转组合件,以在平行于旋转轴的方向上平移所述液态金属阳极。在另一方面中,输出窗经耦合到所述旋转阳极支撑结构。经发射x射线经透射穿过所述输出窗朝向所测量样品。在另一方面中,围阻窗独立于旋转角速度维持所述液态金属阳极材料的形状。

Description

用于半导体计量的液态金属旋转阳极X射线源
相关申请案的交叉参考
本专利申请案根据35U.S.C.§119规定主张2017年10月18日申请的标题为“用于半导体计量的具有液态金属旋转阳极(LiMeRa)的X射线源(X-Ray Source with LiquidMetal Rotating Anode(LiMeRa)for Semiconductor Metrology)”的第62/573,958号美国临时专利申请案的优先权,所述申请案的标的物以其全文引用的方式并入本文中。
技术领域
所描述实施例涉及计量系统及方法,且更特定来说,涉及用于改进的照明的方法及系统。
背景技术
半导体装置(例如逻辑及存储器装置)的各种特征及多个结构层级通常是由应用于样品的一系列处理步骤制造。例如,光刻尤其是涉及在半导体晶片上产生图案的一种半导体制造工艺。半导体制造工艺的额外实例包含(但不限于)化学机械抛光、蚀刻、沉积及离子植入。多个半导体装置可在单个半导体晶片上制造且接着分离成个别半导体装置。
在半导体制造工艺期间的各个步骤使用计量过程以检测晶片上的缺陷以促进较高产率。光学计量技术提供高处理量的潜力而无样本破坏的风险。通常使用包含散射测量及反射测量实施方案以及相关联的分析算法的若干基于光学计量的术以特性化纳米级结构的临界尺寸、膜厚度、组合物及其它参数。
随着装置(例如,逻辑及存储器装置)迈向更小纳米级尺寸,特性化变得更困难。并入复杂三维几何形状及具有多种物理性质的材料的装置造成特性化困难。例如,现代存储器结构通常是高高宽比三维结构,此使得光学辐射难以穿透到底层。另外,特性化复杂结构(例如,FinFET)所需的增大数目个参数导致增大的参数相关性。因此,特性化目标的参数通常无法可靠地脱离可用测量。在另一实例中,在现代半导体结构中越来越多地采用不透明高k材料。光学辐射通常无法穿透由这些材料构成的层。因此,使用薄膜散射测量工具(例如椭偏仪或反射计)的测量变得越来越具挑战性。
作为响应,已开发更复杂的光学工具。例如,已开发具有多个照明角度、较短且较宽照明波长范围及从反射信号的更完整信息获取(例如,除了较常规的反射率或椭偏测量信号之外,还测量多个穆勒(Mueller)矩阵元素)的工具。然而,这些方法未可靠地克服与许多先进目标(例如,复杂3D结构、小于10nm的结构、采用不透明材料的结构)的测量及测量应用(例如,线边缘粗糙度及线宽度粗糙度测量)相关联的根本挑战。
原子力显微镜(AFM)及扫描穿隧显微镜(STM)能够实现原子分辨率,但其仅可探测样品的表面。另外,AFM及STM显微镜需要长扫描时间。扫描电子显微镜(SEM)实现中间分辨率级,但无法穿透结构到足够深度。因此,未良好特性化高高宽比孔。另外,样品的所需充电对成像性能具有不利影响。
为了克服穿透深度问题,结合破坏性样本制备技术(例如聚焦离子束(FIB)加工、离子铣削、毯覆式或选择性蚀刻等)采用传统成像技术(例如TEM、SEM等)。例如,透射电子显微镜(TEM)实现高分辨率级且能够探测任意深度,但TEM需要样品的破坏性分段。材料移除及测量的若干迭代通常提供遍及三维结构测量临界计量参数所需的信息。然而,这些技术需要样本破坏及长处理时间。完成这些类型的测量的复杂性及时间归因于蚀刻及计量步骤的漂移而引入大的不准确度。另外,这些技术需要引入配准误差的若干迭代。
对于最近计量挑战的另一响应为采用用于包含膜厚度、组合物、应变、表面粗糙度、线边缘粗糙度及孔隙率的测量的x射线计量。
小角度X射线散射测量(SAXS)系统已展示出有希望解决具挑战性的测量应用。在以下各案中描述将SAXS技术应用到临界尺寸(CD-SAXS)及叠加(OVL-SAXS)的测量的各种方面:1)庄(Zhuang)及菲尔登(Fielden)的标题为“高亮度X射线计量(High-brightness X-ray metrology)”的第7,929,667号美国专利;2)裴珂曼(Bakeman)、谢格鲁夫(Shchegrov)、赵(Zhao)及谭(Tan)的标题为“用于组合X射线及光学计量的模型建立及分析引擎(ModelBuilding And Analysis Engine For Combined X-Ray And Optical Metrology)”的第2014/0019097号美国专利公开案;3)韦德曼(Veldman)、裴珂曼(Bakeman)、谢格鲁夫(Shchegrov)及米亚(Mieher)的标题为“用于使用X射线计量测量半导体装置叠加的方法及设备(Methods and Apparatus For Measuring Semiconductor Device Overlay UsingX-Ray Metrology)”的第2015/0117610号美国专利公开案;4)亨奇(Hench)、谢格鲁夫(Shchegrov)及裴珂曼(Bakeman)的标题为“用于基于X射线的计量的测量系统优化(Measurement System Optimization For X-Ray Based Metrology)”的第2016/0202193号美国专利公开案;5)德佐(Dziura)、格林尼(Gellineau)及谢格鲁夫(Shchegrov)的标题为“用于高高宽比结构的X射线计量(X-ray Metrology For High Aspect RatioStructures)”的第2017/0167862号美国专利公开案;及6)格林尼(Gellineau)、德佐(Dziura)、亨奇(Hench)、韦德曼(Veldman)及兹鲁伯韦斯凯(Zalubovsky)的标题为“用于X射线散射测量的全束计量(Full Beam Metrology for X-Ray Scatterometry Systems)”的第2018/0106735号美国专利公开案。前述专利文档被让渡给(美国)加利福尼亚州苗必达(Milpitas)的KLA-Tencor公司(KLA-Tencor Corporation)。
在科学文献中也描述对半导体结构的CD-SAXS计量的研究。大多数研究小组已采用归因于其巨大的大小、成本等而不适合用于半导体制造设施中的高亮度X射线同步加速器源。在了梅里特(Lemaillet)、戈尔莫(Germer)、克莱恩(Kline)等人的标题为“FinFET结构的光学与x射线散射测量之间的相互比较(Intercomparison between optical and x-ray scatterometry measurements of FinFET structures)”,国际光学工程学会学报(Proc.SPIE),第8681卷,第86810Q页(2013年)的文章中描述此系统的一个实例。最近,美国国家标准与技术研究院(NIST)的小组已开始采用类似于第7,929,667号美国专利中所描述者的小型且明亮X射线源的研究。在标题为“针对下一代半导体装置使用紧凑x射线源的X射线散射临界尺寸计量(X-ray scattering critical dimensional metrology using acompact x-ray source for next generation semiconductor devices)”,微米光刻/纳米光刻微电子机械系统微光机电系统(J.Micro/Nanolith.MEMS MOEMS)16(1),014001(2017年1到3月)的文章中描述此研究。
SAXS还已应用到材料的特性化及其它非半导体相关应用。示范性系统已由若干公司商业化,包含Xenocs SAS(www.xenocs.com)、布鲁克公司(Bruker Corporation)(www.bruker.com)及理学公司(Rigaku Corporation)(www.rigaku.com/en)。
在半导体制造中使用的许多x射线计量技术可获益于高亮度x射线源。例如,归因于某些材料的低散射,临界尺寸小角度X射线散射(CD-SAXS)测量通常需要长集成时间。高亮度源可改进CD-SAXS测量的处理量。
在极紫外(EUV)光刻领域的开发努力集中于在高功率电平(例如,在照明器的中间焦点处的210瓦特的平均功率)下发射以13纳米(即,92.6电子伏特)为中心的窄带辐射(例如,+/-0.1nm)的光源。已使用激光微滴等离子架构开发用于EUV光刻的光源。例如,在近似100kHz的脉冲重复频率下操作的氙、锡及锂微滴目标由CO2同调源泵抽。所实现的光是高功率的(例如,在照明器的中间焦点处的210瓦特的平均功率是13纳米光刻工具的目标)。然而,所得辐射是相对低能量(92.6电子伏特),其严重地限制这些照明源在计量应用中的实用性。在颁予荷兰阿斯麦有限责任公司(ASML Netherlands B.V.)的第7,518,134号美国专利中描述示范性系统,所述专利的内容以全文引用的方式并入本文中。
在一些实例中,通过固态目标材料(例如旋转阳极目标材料)的高能量电子束轰击而产生x射线照明光。旋转阳极X射线源通常用于医学成像及分析化学应用。旋转阳极X射线源的数个版本由例如飞利浦(Philips)、通用电气(General Electric)、西门子(Siemens)等的公司制造用于医学成像应用(例如断层扫描、乳房摄影、血管摄影等)。理学公司(Rigaku Corporation)及布鲁克公司(Bruker Corporation)制造连续操作的旋转阳极源用于分析化学应用,例如X射线衍射(XRD)、X射线反射测量(XRR)、小角度X射线散射测量(SAXS)、广角X射线散射测量(WAXS)等。
旋转阳极目标实现相较于固定阳极目标更有效地从阳极材料移除热。连续移动电子束照射于阳极表面上的位置导致对流热耗散,所述对流热耗散降低焦点冲击温度且改进X射线管功率负载能力。典型旋转阳极源按5,000到10,000转/分钟或更高旋转阳极材料。阳极材料在焦点位置处的线性速度可为100米/秒或更高。
已提出针对增加的阳极热耗散及导热性的改进。例如,由理学公司(RigakuCorporation)(日本)制造的FR-X型号X射线源及由布鲁克(Bruker)AXS GmbH(德国)制造的MicroMax型号X射线源采用水冷却以耗散在阳极处产生的热。
第9,715,989号美国专利描述具有高导热性金刚石层的旋转阳极结构。第8,243,884号美国专利描述使用金刚石-金属复合材料以改进热耗散。第7,440,549号美国专利描述通过热管效应耗散热的旋转阳极装置。第2015/0092924号美国专利公开案描述包含嵌入高导热性基质中的高原子序数材料的微结构阳极。第9,159,524号美国专利及第9,715,989号美国专利描述在固定阳极源的上下文中的类似基于金刚石的热管理解决方案。前述美国专利及美国专利公开案的内容以全文引用的方式并入本文中。
尽管存在改进的功率负载能力,但旋转阳极源遭受显著限制。在实践上,归因于重复热循环,微裂缝形成于定位于焦点轨道(即,重复经受电子束照射的点的轨迹)上的固态阳极材料的表面处。归因于增加的吸收,这些微裂缝引入损耗。在一些实例中,在源操作的前1,000个小时内发生X射线通量的20%到30%的下降。另外,典型旋转阳极近似每3,000小时需要重新抛光(即,阳极材料的表面的恢复)。另外,在一些实例中,高旋转速度限制X射线光点大小及X射线光点的空间稳定性。
在一些其它实例中,x射线照明光由液态目标材料的高能量电子束轰击产生以缓解与固态阳极目标相关联的表面微裂缝的形成。
在一些这些实例中,采用液态金属喷流阳极。在颁予庄(Zhuang)及菲尔登(Fielden)的第7,929,667号美国专利中描述示范性液态金属喷流x射线照明系统,所述专利的内容以全文引用的方式并入本文中。在第6,711,233号美国专利中描述另一示范性液态金属喷流x射线照明源,所述专利的内容以全文引用的方式并入本文中。液态金属喷流有效地连续刷新阳极表面以消除表面微裂缝的形成。然而,液态金属阳极材料确实蒸发且形成可限制x射线源寿命的金属蒸气。在一些实例中,金属蒸气在真空x射线窗上冷凝,从而引起额外x射线吸收。在一些实例中,金属蒸气扩散到阴极区中且污染阴极,从而减少阴极寿命及系统输出。在一些实例中,金属蒸气扩散到电子束加速区中,从而引起高电压击穿。
在一些其它实例中,液态金属阳极在固定结构上方流动。第4,953,191号美国专利描述在固定金属表面上方流动的液态金属阳极材料,所述专利的内容以全文引用的方式并入本文中。第8,629,606号美国专利描述在X射线源真空围封件的内表面上流动的液态金属阳极材料,所述专利的内容以全文引用的方式并入本文中。第2014/0369476号美国专利公开案及第8,565,381号美国专利描述流动通过通道或管的液态金属阳极材料,所述专利的每一者的内容以全文引用的方式并入本文中。快速移动的液体金属部分由适合窗围封以允许电子束穿透及X射线提取。
尽管存在改进的功率负载能力,但液态阳极源遭受显著限制。在实践上,其它表面上方的流动薄液态金属层限于相对低速度流动。随着流动速度增加,紊流出现,此使X射线照明源不稳定。因此,采用在另一表面上方流动的液体阳极材料的X射线源的阳极功率负载显著受限。另外,基于通道及管内部的流动液态金属的用于X射线照明源的阳极功率负载由经采用以围阻流动且允许电子束穿透及X射线提取的任何窗的结构完整性限制。
类似地,液态金属喷流X射线照明源的稳定操作需要层流液态金属喷流流动。因此,用于适应增加的阳极功率负载的喷流速度的任何增加由喷流自身的层流-乱流转变及实现任何增加的喷流速度所需的超高压喷流返回环路的可行性限制。
不幸地,基于X射线的计量处理量由阳极上的有限功率负载损害。常规固态金属阳极源的功率负载的增加引起阳极的消融及破坏。针对典型液态金属源,功率负载的增加趋于使X射线照明源不稳定。
未来计量应用归因于越来越高的分辨率要求、多参数相关性、越来越复杂的几何结构及不透明材料的越来越多的使用而提出计量挑战。用于半导体应用的x射线计量的采用需要具有最高可能亮度的改进的x射线源。
发明内容
本文中呈现用于实现适用于高处理量x射线计量的高亮度、基于液体的x射线源的方法及系统。
在一个方面中,通过使用电子流轰击旋转液态金属阳极材料以产生x射线辐射而产生高亮度x射线源。当旋转阳极支撑结构按恒定角速度旋转时,所述旋转阳极支撑结构将液态金属阳极材料支撑于相对于所述旋转阳极支撑结构的固定位置中。所得x射线发射经收集并提供到半导体样品以对所述样品执行基于x射线的计量。
液态金属材料表面在由电子流的周期性轰击引发的循环热应力下不劣化(例如,开裂)。液态金属材料表面有效地自修复,此为优于固态阳极材料的显著优点。因此,相较于传统旋转固态阳极x射线源,旋转阳极液态金属x射线源改进亮度及可靠性,增加维修之间的时间间隔且减少停机时间。
在另一方面中,x射线光学器件按特定收集角配置以获取处于峰值强度的所要能带中的x射线发射。在一些实施例中,x射线光学器件经设计以直接地将x射线辐射聚焦到测量目标。在一些实施例中,x射线收集光学器件经定向使得通过收集在收集角范围内的x射线辐射而优化x射线亮度。
在另一方面中,平移致动器耦合到旋转组合件,所述平移致动器引起所述旋转组合件也在平行于旋转轴的方向上平移。
在另一方面中,输出窗耦合到所述旋转阳极支撑结构,且由所述液态金属阳极材料发射的x射线经透射穿过所述输出窗朝向所测量样品。
在另一方面中,围阻窗耦合到所述旋转阳极支撑结构,且入射电子流在入射于液态金属阳极材料之前透射穿过所述围阻窗。
前述是发明内容且因此必然含有细节的简化、概括及省略;因此,所属领域的技术人员将了解,发明内容仅是说明性且不以任何方式限制。本文中所描述的装置及/或过程的其它方面、发明特征及优点将在本文中所陈述的非限制性详细描述中变得显而易见。
附图说明
图1是说明在至少一个方面中的包含液态金属旋转阳极(LiMeRa)x射线照明源的用于执行半导体计量测量的x射线计量系统100的图式。
图2A是说明在一个实施例中的(LiMeRa)x射线照明源的旋转阳极组合件的例子的图式。
图2B是说明在图2A中说明的实施例中的(LiMeRa)x射线照明源的旋转阳极组合件的另一例子的图式。
图3描绘在另一实施例中的LiMeRa x射线照明源的旋转阳极组合件。
图4描绘在又另一实施例中的LiMeRa x射线照明源的旋转阳极组合件。
图5描绘在又另一实施例中的LiMeRa x射线照明源的旋转阳极组合件。
图6A是说明在又另一实施例中的(LiMeRa)x射线照明源的旋转阳极组合件的例子的图式。
图6B是说明在图5A中说明的实施例中的(LiMeRa)x射线照明源的旋转阳极组合件的另一例子的图式。
图7描绘在又另一实施例中的LiMeRa x射线照明源的旋转阳极组合件。
图8是说明在与样品101分开的真空环境172中含有的x射线计量系统100的x射线检测器123的图式。
图9是说明包含LiMeRa x射线照明源的用于执行半导体计量测量的x射线计量系统200的图式。
图10是说明适用于从LiMeRa x射线照明源产生x射线发射的示范性方法300的流程图。
具体实施方式
现在将详细参考本发明的背景实例及一些实施例,在附图中说明其实例。
呈现经采用以基于x射线照明来测量与不同半导体制造工艺相关联的结构及材料特性(例如,结构及膜的材料组合物、尺寸特性等)的系统。更具体来说,本文中呈现用于实现适用于高处理量x射线计量的高亮度、基于液体的x射线源的方法及系统。
在一个方面中,通过使用电子流轰击旋转液态金属阳极材料来产生x射线辐射以产生高亮度x射线源。所得x射线发射经收集并被提供到半导体样品,以对所述样品执行基于x射线的计量。
液态金属材料表面在由电子流的周期性轰击引发的循环热应力下不劣化(例如,开裂)。液态金属材料表面有效地自修复,此为优于固态阳极材料的显著优点。因此,相较于传统旋转固态阳极x射线源,旋转阳极、液态金属x射线源改进亮度及可靠性,增加维修之间的时间间隔且减少停机时间。
此外,通过消除表面劣化的问题,可增加液态金属阳极材料上的总体功率负载。另外,可以更大强度聚焦入射电子束以产生可用于半导体计量的更亮x射线发射。
x射线辐射的高能量性质允许x射线穿透到光学不透明薄膜、埋入式结构、高高宽比结构及含有许多薄膜层的装置中。半导体制造中使用的许多x射线计量技术获益于高亮度、可靠x射线源,例如,临界尺寸小角度x射线散射(CD-SAXS)。
归因于包括许多现代半导体结构的材料的低散射效率,测量通常需要长集成时间。高亮度高功率液态金属旋转阳极x射线源改进基于x射线的测量(例如,CD-SAXS)的处理量。
图1说明在一个实施例中的包含液态金属旋转阳极(LiMeRa)x射线照明源的基于x射线的计量系统100的实施例。通过非限制性实例,x射线计量系统100在透射模式中操作。如图1中描绘,LiMeRa x射线照明源包含电子束源103及旋转阳极组合件110。
旋转阳极组合件110包含旋转致动器112,旋转致动器112使旋转阳极支撑结构111绕旋转轴A按角速度ω旋转。旋转阳极组合件110还包含由旋转阳极支撑结构111支撑的液态金属阳极材料113。旋转在液态金属阳极材料113上引发使液态金属阳极材料113与旋转阳极支撑结构111的形状相符的离心力,且沿着旋转阳极支撑结构111的圆周均匀地分布液态金属阳极材料113。按恒定角速度,液态金属阳极材料113在旋转阳极支撑结构111的表面上的稳态分布及液态金属阳极材料113不相对于旋转阳极支撑结构111移动。换句话来说,液态金属阳极材料113及旋转阳极支撑结构111相对于电子束源103一起移动,但不相对于彼此移动。
在图1中描绘的实施例中,计算系统130通信地耦合到旋转致动器112。在一个实例中,从计算系统130传达指示旋转阳极支撑结构111的所要角速度的命令信号136到旋转致动器112。作为响应,旋转致动器112基于命令信号136而调整旋转阳极支撑结构111的角速度。
在图1中描绘的实施例中,LiMeRa x射线照明源提供递送到样品101遍及检验区域102的高亮度x射线照明。X射线计量系统100经配置使得与样品101相互作用的x射线由检测器123收集,同时样品定位系统140定位样品以产生样本与x射线的角度分辨的相互作用。在一些实施例中,也可检测在相互作用期间产生的任何其它粒子,例如光电子、透过荧光分析产生的x射线或离子。
在图1中描绘的实施例中,LiMeRa x射线照明源包含经配置以从阴极产生电子发射的电子束源103(例如,电子枪)。在所描绘实施例中,电子束源103产生稳定自由电子流105。电子流105由电子光学器件104塑形且入射于由旋转阳极支撑结构111支撑的液态金属阳极材料113上。在一些实施例中,电子束源103经配置以产生连续电子束。在一些其它实施例中,电子束源103经配置以产生脉冲电子束。
在图1中描绘的实施例中,电子束源103通信地耦合到计算系统130,且基于从计算系统130传达到电子束源103的命令信号135而主动地控制电子束源103。在一些实例中,命令信号135包含待由电子束源103供应的所要电子束能量的指示。作为响应,电子束源103将电子束能量输出调整到所要值。在一些实施例中,电子束源103使用大于10kV的电压差加速聚焦电子流105。
电子光学器件104经配置以引导及/或聚焦电子流105朝向液态金属阳极材料113。电子光学器件104包含适合电磁体、永久磁体或用于聚焦电子束且引导电子流105的电磁体及永久磁体的任何组合。在一些实施例中,电子光学器件104可包含螺线管、四极透镜(例如海尔贝克(Halbach)圆柱体)或静电元件(例如单透镜)以聚焦且引导电子束。另外,电子光学器件104可经配置为电子单色器。此外,电子光学器件104可经采用以聚焦光束以进一步减少电子束噪声。
另外,电子光学器件104可经配置用于由计算系统130主动控制。在一些实施例(未展示)中,计算系统130通信地耦合到电子光学器件104。在一些实例中,可基于从计算系统130传达到电子光学器件104的命令信号而主动地控制供应到电磁元件的电流或电压。在另一实例中,磁性元件(例如,永久磁体)的位置可由定位系统(未展示)基于从计算系统130传达到电子光学器件104的命令信号而操纵。以此方式,在计算系统130的控制下实现电子流105的聚焦及引导以实现入射于液态金属阳极材料113上的稳定电子流105。
如图1中描绘,x射线光学器件106经配置以从电子流105及液态金属阳极材料113的入射点收集x射线发射且塑形并引导入射x射线光束108到样品101。
在另一方面中,x射线光学器件106按特定收集角配置以获取处于峰值强度的所要能带中的x射线发射。在一些实施例中,x射线光学器件106经设计以直接地将x射线辐射聚焦到测量目标。当高能量聚焦电子束照射于液态金属阳极目标上时,受激x射线发射包含宽带致动辐射及特性线发射(即,Kα、Kβ、Lα、Lβ等)。在一些实施例中,x射线收集光学器件经定向使得通过收集在收集角范围内的x射线辐射而优化x射线亮度。
在一些实例中,x射线光学器件106使入射于样品101上的x射线光束单色化。在一些实例中,x射线光学器件106将x射线光束108准直或聚焦到样品101的检验区域102上。在一些实施例中,x射线光学器件106包含一或多个x射线准直镜、x射线孔径、x射线单色器及x射线光束光阑、多层光学器件、折射x射线光学器件、衍射光学器件(例如波带片)或其任何组合。
在一些实施例中,采用先进x射线光学器件(例如多毛细管x射线光学器件、镜面光学器件或以Loxley-Tanner-Bowen配置布置的光学器件)以实现半导体样品的高亮度、小光点大小照明。例如,可使用镜面x射线光学器件(例如掠入射椭球面镜)、多毛细管光学器件(例如中空毛细管x射线光导)、多层光学器件或结晶光学器件(例如Loxley-Tanner-Bowen系统)将高强度x射线光束运送且聚焦到小于40微米的光点大小。
在优选实施例中,x射线光学器件106是多层光学器件。在一些这些实施例中,采用多层光学器件以使x射线光束108单色化到小于10-1的光谱纯度δλ/λ。此级别的光谱纯度适用于例如x射线反射法(XRR)、x射线衍射(XRD)及x射线荧光分析(XRF)的计量技术。在一些其它实施例中,采用晶体单色器以使x射线光束108单色化到小于10-6的光谱纯度δλ/λ。此等级的光谱纯度适用于例如高分辨率x射线衍射(HRXRD)的计量技术。
X射线光学器件106可经配置用于由计算系统130主动控制。在一些实施例中,计算系统130通信地耦合到x射线光学器件106(未展示)。在一个实例中,从计算系统130传达到x射线光学器件106的命令信号指示光学元件的所要位置。可通过定位系统(未展示)基于命令信号调整光学元件的位置。以此方式,在计算系统130的控制下实现x射线光束108的聚焦及引导以实现入射于样品101上的稳定照明。在一些实例中,计算系统130经配置以控制入射于样品101上的x射线光束108的定位及光点大小。在一些实例中,计算系统130经配置以控制x射线光束108的照明性质(例如,强度、偏光、光谱等)。
如图1中描绘,x射线检测器123收集响应于入射x射线照明而从样品101散射的x射线辐射122且产生指示对入射x射线辐射敏感的样品101的性质的输出信号124。由x射线检测器123收集经散射x射线122,同时样品定位系统140定位且定向样品101以产生角度分辨的经散射x射线。
如图1中描绘,LiMeRa x射线源被维持于维持在真空腔室120内的真空环境中。随着x射线从液态金属阳极材料113朝向x射线光学器件106传播,X射线发射穿过真空窗121。
图2A描绘在一个例子中的图1中描绘的旋转阳极组合件110。在图2A中描绘的例子中,旋转阳极组合件110的角速度为零(即,静止)。在此例子中,不存在作用于液态金属阳极材料113上的离心力。因此,作用于液态金属阳极材料113上的重力主导动态力,且液态金属阳极材料113在垂直于重力向量G的方向上与旋转阳极支撑结构111的形状相符。旋转阳极支撑结构111经塑形使得当角速度为零时,液态金属阳极材料113被约束到保持与旋转阳极支撑结构111接触。换句话来说,旋转阳极支撑结构111经塑形使得当角速度为零时,液态金属阳极材料113不溢出且不损失。
图2B描绘在另一例子中的图1中描绘的旋转阳极组合件110。在图2B中描绘的例子中,旋转阳极组合件110的角速度是恒定值ω。在此例子中,除了作用于液态金属阳极材料113上的重力之外,离心力也作用于液态金属阳极材料113上。因此,液态金属阳极材料113也在平行于旋转轴A的方向上与旋转阳极支撑结构111的形状相符。旋转阳极支撑结构111经塑形使得当角速度非零时,液态金属阳极材料113被约束到保持与旋转阳极支撑结构111接触。换句话来说,旋转阳极支撑结构111经塑形使得当角速度非零时,液态金属阳极材料113不溢出且不损失。在另一方面中,当旋转阳极支撑结构按恒定角速度旋转时,旋转阳极支撑结构将液态金属阳极材料支撑于相对于旋转阳极支撑结构的固定位置中。换句话来说,当旋转阳极支撑结构按恒定角速度旋转时,液态金属阳极材料不相对于旋转阳极支撑结构流动。
在另一方面中,平移致动器耦合到旋转组合件,所述平移致动器引起旋转组合件也在平行于旋转轴A的方向上平移。
图3描绘旋转组合件125,其包含旋转阳极支撑结构111、旋转致动器112、液态金属阳极材料113及平移致动器114。在图3中描绘的实施例中,平移致动器114耦合到旋转致动器112且在平行于旋转轴A的方向上以振幅ΔH振荡旋转组合件。液态金属阳极材料113的旋转运动有效地圆周改变电子流105相对于液态金属阳极材料113的入射位置。液态金属阳极材料113的平移运动在平行于旋转轴的方向上有效地改变电子流105相对于液态金属阳极材料113的入射位置。此将由电子流105施加于液态金属阳极材料113上的热负载有效地散布遍及较大区域。
在另一方面中,输出窗耦合到旋转阳极支撑结构,且由液态金属阳极材料发射的x射线经透射穿过输出窗朝向所测量样品。
图4描绘旋转组合件126,其包含旋转阳极支撑结构111、旋转致动器112、液态金属阳极材料113及输出窗115。在图4中描绘的实施例中,对x射线辐射基本上透明的输出窗115耦合到旋转阳极支撑结构111。从液态金属阳极材料113发射的X射线117经透射穿过输出窗115。计量系统(例如计量系统100)包含收集且引导经透射x射线辐射117朝向所测量样品101的x射线光学元件。以此方式,作为直接从液态金属阳极材料113的暴露表面收集的x射线辐射(例如,x射线辐射118)的代替或补充,也在透射模式中收集x射线辐射。
在另一方面中,围阻窗耦合到旋转阳极支撑结构,且入射电子流105在入射于液态金属阳极材料113之前透射穿过围阻窗。
图5描绘旋转组合件127,其包含旋转阳极支撑结构111、旋转致动器112、液态金属阳极材料113、输出窗115及围阻窗116。在图5中描绘的实施例中,对电子流105基本上透明的围阻窗116耦合到旋转阳极支撑结构111,且将液态金属阳极材料113有效地约束到相对于旋转阳极支撑结构的固定形状而与角速度无关。如图5中描绘,液态金属阳极材料113有效地截留于围阻窗116与输出窗115之间。以此方式,液态金属阳极材料113不改变形状而与角速度无关。在不采用输出窗的实施例中,液态金属阳极材料113有效地截留于围阻窗116与旋转阳极支撑结构111之间。在图5中描绘的实施例中,从液态金属阳极材料113发射的x射线117经透射穿过输出窗115,且x射线辐射118也从液态金属阳极材料113的暴露表面直接收集。然而,一般来说,x射线辐射可从液态金属阳极材料113的暴露表面直接收集、如经透射穿过输出窗115或两者。
图1到4描绘支撑液态金属阳极材料,使得当旋转阳极支撑结构按不同恒定角速度旋转时,液态金属阳极材料呈现不同形状的旋转阳极支撑结构的实施例。更具体来说,图1到4中说明的液态金属阳极材料113的横截面视图展示绕旋转轴A周转的液态金属阳极材料的横截面,且确切横截面形状取决于旋转阳极支撑结构的旋转的角速度。相比之下,图5描绘支撑液态金属阳极材料,使得液态金属阳极材料独立于旋转阳极支撑结构的角速度呈现近似相同形状的旋转阳极支撑结构的实施例。
一般来说,可预期许多不同形状。图6A到6B描绘包含旋转阳极支撑结构151、旋转致动器152及液态金属阳极材料153的旋转组合件150的实施例150。在实施例150中,旋转支撑结构151支撑液态金属阳极材料,使得当旋转阳极支撑结构按恒定角速度旋转时,液态金属阳极材料呈现环形形状。更具体来说,图6A到6B中说明的液态金属阳极材料153的横截面视图展示与旋转阳极支撑结构151的半圆形形状相符的液态金属阳极材料。
图6A描绘在一个例子中的旋转阳极组合件150。在图6A中描绘的例子中,旋转阳极组合件150的角速度为零(即,静止)。在此例子中,不存在作用于液态金属阳极材料153上的离心力。因此,作用于液态金属阳极材料153上的重力主导动态力,且液态金属阳极材料153在垂直于重力向量G的方向上与旋转阳极支撑结构151的形状相符。旋转阳极支撑结构151经塑形使得当角速度为零时,液态金属阳极材料153被约束到保持与旋转阳极支撑结构151接触。换句话来说,旋转阳极支撑结构151经塑形使得当角速度为零时,液态金属阳极材料153不溢出且不损失。
图6B描绘在另一例子中的旋转阳极组合件150。在图6B中描绘的例子中,旋转阳极组合件150的角速度是恒定值ω。在此例子中,除了作用于液态金属阳极材料153上的重力之外,离心力也作用于液态金属阳极材料153上。因此,液态金属阳极材料153也在平行于旋转轴A的方向上与旋转阳极支撑结构151的形状相符。旋转阳极支撑结构151经塑形使得当角速度非零时,液态金属阳极材料153被约束到保持与旋转阳极支撑结构151接触。换句话来说,旋转阳极支撑结构151经塑形使得当角速度非零时,液态金属阳极材料153不溢出且不损失。
图7描绘旋转组合件160,其包含旋转阳极支撑结构161、旋转致动器162、液态金属阳极材料163及围阻窗164。在图7中描绘的实施例中,对电子流105基本上透明的围阻窗164耦合到旋转阳极支撑结构161,且将液态金属阳极材料163有效地约束到相对于旋转阳极支撑结构的固定形状而无关于角速度。如图7中描绘,液态金属阳极材料163有效地截留于围阻窗164与旋转阳极支撑结构161之间。以此方式,液态金属阳极材料163不改变形状而无关于角速度。液态金属阳极材料163有效地截留于围阻窗164与旋转阳极支撑结构161之间。在图7中描绘的实施例中,从液态金属阳极材料163发射的x射线165经透射穿过围阻窗164。
一般来说,旋转阳极支撑结构、围阻窗及输出窗可由金属、石墨、金刚石或其任何组合制造。
一般来说,x射线能量及产生效率随阳极材料的元素原子序数Z按比例调整。除了一些例外,原子序数越高,x射线能量(即,波长越短)及产率效率越高。不幸地,具有相对高原子序数的许多材料也具有高熔融温度。
适用于在如本文中描述的LiMeRa x射线照明源中被实施为液态金属阳极材料的液态金属材料包含镓、铟、锡、铊、镉、铋、铅、锑、银、金及其任何组合。另外,包含镓、铟、锡、铊、镉、铋、铅、锑、银及金中的任一种的液态金属阳极合金也可涵盖于此专利文件的范围内。实例合金是伍氏金属(Wood's metal),其是具有近似70℃(158℉)的熔点的共熔易熔合金。所述伍氏金属是共熔合金,其包含50重量%的铋、26.7重量%的铅、13.3重量%的锡,及10重量%的镉。
在优选实例中,液态金属阳极材料是铟(Z=49)或包含铟的合金。可采用常规金属或耐火材料以稳定地支撑熔融铟。此外,可采用常规加热装置以将液态铟维持在高于156℃的其熔点的温度下。类似地,可采用常规金属或耐火材料以稳定地支撑熔融锡(Z=50),且可采用常规加热装置以将液态锡维持在高于232℃的其熔点的温度下。
液态金属阳极113与电子流105的重合产生入射于样品101的检验区域102上的x射线发射108。LiMeRa x射线照明源从液态金属阳极材料收集K壳发射、L壳发射或其组合。在一些实施例中,优选地具有在从10keV到25keV的范围中的X射线源光子能量以依适用于基于透射小角度X射线散射(T-SAXS)的半导体计量应用(例如图案化硅晶片上的临界尺寸及叠加计量)的透射效率穿透硅晶片。
在一些实施例中,样品101与液态金属阳极材料113之间的距离很长(例如,大于1米)。在这些实施例中,存在于光束路径中的空气引入非所要光束散射。因此,在一些实施例中,优选地使x射线光束108通过抽空飞行管从LiMeRa照明源传播到样品101。
在一些实施例中,x射线检测器123维持于与样品101相同的大气环境(例如,气体冲洗环境)中。然而,在一些实施例中,样品101与x射线检测器123之间的距离很长(例如,大于1米)。在这些实施例中,尤其当LiMeRa照明源经配置以产生硬x射线(例如,大于5keV的光子能量)时,存在于光束路径中的空气引入非所要光束散射。因此,在一些实施例中,x射线检测器123维持于通过真空窗而与样品(例如,样品101)分离的局部真空环境中。图8是说明含有x射线检测器123的真空腔室170的图式。在优选实施例中,真空腔室170包含样品101与x射线检测器123之间的路径的一大部分。真空腔室170的开口由真空窗171覆盖。真空窗171可由对x射线辐射基本上透明的任何适合材料(例如,Kapton、铍等)构成。经散射x射线辐射122穿过真空窗171,进入真空腔室170且入射于x射线检测器123上。适合真空环境172维持于真空腔室170内以最小化对经散射x射线辐射122的干扰。
在一些实施例中,可期望将x射线照明光束108、样品101、收集光束122及检测器123维持于抽空环境中以最小化x射线的吸收。如果LiMeRa照明源经配置以产生软x射线(例如,小于5keV的光子能量),那么可尤其期望此。
图9说明用于执行半导体计量测量的x射线计量系统200。通过非限制性实例,x射线计量系统200在掠入射模式中操作。更具体来说,x射线计量系统200经配置为掠入射小角度x射线散射(GISAXS)测量系统。典型入射角及收集角如从样品的表面测量为近似1度,或从法向于样品的表面的轴为近似89度。X射线计量系统200包含如参考图1描述的LiMeRa x射线照明源。如图9中说明,x射线计量系统200包含参考图1描述的类似、相同编号的元件。X射线计量系统200经配置使得从样品散射的x射线由检测器收集,同时样本处置器(未展示)定位样品。另外,可检测在相互作用期间产生的任何其它粒子,例如光电子、透过荧光分析产生的x射线或离子。经配置以执行GISAXS测量的计量系统需要高亮度x射线源以维持遍及按小角度照明的相对大样本区域的足够亮度。鉴于此,LiMeRa x射线照明源尤其良好适用于GISAXS测量。
通过非限制性实例,在图1中说明的x射线计量系统100经配置为透射小角度x射线散射计(TSAXS)且图9中说明的x射线计量系统200经配置为掠入射小角度x射线散射计(GISAXS)。然而,一般来说,采用如本文中描述的LiMeRa x射线照明源的x射线计量系统可采用以下计量技术中的任何一或多者:透射小角度x射线散射(TSAXS)、掠入射小角度x射线散射(GISAXS)、广角x射线散射(WAXS)、x射线反射测量(XRR)、掠入射x射线反射测量(GXR)、x射线衍射(XRD)、掠入射x射线衍射(GIXRD)、高分辨率x射线衍射(HRXRD)、x射线光电子光谱法(XPS)、x射线荧光分析(XRF)、全反射x射线荧光分析(TXRF)、掠入射x射线荧光分析(GIXRF)、x射线断层扫描、x射线椭偏测量及硬x射线光电子发射光谱测定法(HXPS)。
X射线计量工具100还包含经采用以获取由x射线检测器123产生的信号124且至少部分基于经获取信号确定样品的性质的计算系统130。如图1中说明,计算系统130通信地耦合到x射线检测器123。在一个实例中,x射线检测器123是x射线光谱仪且测量数据124包含基于由x射线光谱仪实施的一或多个取样过程的样品的经测量光谱响应的指示。计算系统130经配置以建立样品的模型,基于模型而产生x射线模拟,且分析模拟及从x射线检测器123接收的信号124以确定样本的一或多个特性(例如,所测量的结构的所关注参数180的值)。
在另一实施例中,计算系统130经配置以采用实时临界尺寸(RTCD)实时存取模型参数,或其可存取预计算模型库以确定与样品101相关联的至少一个样品参数值的值。一般来说,可使用某个形式的CD引擎以评估样品的经指派CD参数同与经测量样品相关联的CD参数之间的差异。在2010年11月2日颁予科磊公司的第7,826,071号美国专利中描述用于计算样品参数值的示范性方法及系统,所述专利的全文以引用的方式并入本文中。
在一个实例中,测量数据124包含样品的经测量x射线响应的指示。基于经测量x射线响应在检测器123的表面上的分布,通过计算系统130确定x射线光束108在样品101上的入射位置及区域。在一个实例中,通过计算系统130应用图案辨识技术以基于测量数据124确定x射线光束108在样品101上的入射位置及区域。作为响应,计算系统130产生命令信号到电子光学器件104及x射线光学器件106中的任一个以重新引导且重新塑形x射线照明光束108。
在另一方面中,在数个不同平面外定向下执行特定检验区域的x射线测量。此通过扩展可用于分析的数据集的数目及多样性以包含各种大角度、平面外定向来增加经测量参数的精确度及准确度且减少参数之间的相关性。测量具有较深、较多样化数据集的样品参数还减少参数之间的相关性且改进测量准确度。
如图1中说明,x射线计量工具100包含经配置以在相对于LiMeRa x射线照明源的平面外角定向的大范围内对准样品101且定向样品101两者的样品定位系统140。换句话来说,样品定位系统140经配置以绕与样品101的表面平面内对准的一或多个旋转轴在大角度范围内旋转样品101。在一些实施例中,样品定位系统140经配置以绕与样品101的表面平面内对准的一或多个旋转轴在至少90度的范围内旋转样品101。在一些实施例中,样品定位系统经配置以绕与样品101的表面平面内对准的一或多个旋转轴在至少60度的范围内旋转样品101。在一些其它实施例中,样品定位系统经配置以绕与样品101的表面平面内对准的一或多个旋转轴在至少1度的范围内旋转样品101。以此方式,样品101的角度分辨的测量由x射线计量系统100在样品101的表面上的任何数目个位置上收集。在一个实例中,计算系统130将指示样品101的所要位置的命令信号传达到样品定位系统140的运动控制器145。作为响应,运动控制器145产生命令信号到样品定位系统140的各种致动器以实现样品101的所要定位。通过非限制性实例,样品定位系统可包含六脚、线性及角度载物台的任何组合。
通过非限制性实例,如图1中说明,样品定位系统140包含边缘夹持卡盘141以将样品101固定地附接到样品定位系统140。旋转致动器142经配置以相对于外围框架143旋转边缘夹持卡盘141及经附接样品101。在所描绘实施例中,旋转致动器142经配置以绕图1中说明的坐标系统146的x轴旋转样品101。如图1中描绘,样品101绕z轴的旋转系样品101的平面内旋转。绕x轴及y轴(未展示)的旋转系样品101的平面外旋转,所述旋转有效地使样品的表面相对于计量系统100的计量元件倾斜。虽然未经说明,但第二旋转致动器经配置以绕y轴旋转样品101。线性致动器144经配置以在x方向上平移外围框架143。另一线性致动器(未展示)经配置以在y方向上平移外围框架143。以此方式,样品101的表面上的每一位置可用于在平面外角度位置的范围内的测量。例如,在一个实施例中,在相对于样品101的法向定向的在-45度到+45度的范围内的若干角度增量内测量样品101的位置。
样品定位系统140的大平面外角度定位能力扩展测量灵敏度且减少参数之间的相关性。例如,在法向定向中,SAXS能够分辨特征的临界尺寸,但对于特征的侧壁角及高度大体上不灵敏。然而,收集在平面外角位置的广范围内的测量数据实现与数个衍射级相关联的测量数据的收集。此使特征的侧壁角及高度能被分辨。另外,可分辨其它特征,例如与先进结构相关联的圆形或任何其它形状。
采用如本文中描述的高亮度液态金属微滴x射线源的x射线计量工具归因于由所述源产生的高亮度及短波长辐射(例如,大于500eV的光子能量)而实现增加的测量灵敏度及处理量。通过非限制性实例,X射线计量工具能够测量小于10纳米的结构的几何参数(例如,节距、临界尺寸(CD)、侧壁角(SWA)、线宽度粗糙度(LWR)及线边缘粗糙度(LER))。另外,高能量性质的x射线辐射穿透光学不透明薄膜、埋入式结构、高高宽比结构及包含许多薄膜层的装置。
采用如本文中描述的高亮度LiMeRa x射线照明源的x射线计量系统可用于确定半导体结构的特性。示范性结构包含(但不限于)FinFET、低维结构(例如纳米线或石墨烯)、亚10nm结构、薄膜、光刻结构、硅穿孔(TSV)、存储器结构(例如DRAM、DRAM 4F2、FLASH)及高高宽比存储器结构。示范性结构特性包含(但不限于)几何参数(例如线边缘粗糙度、线宽度粗糙度、孔径、孔密度、侧壁角、轮廓、膜厚度、临界尺寸、节距)及材料参数(例如电子密度、结晶晶粒结构、形态、定向、应力及应变)。
应认识到,可由单个计算机系统130或替代地多计算机系统130实施贯穿本发明描述的各个步骤。此外,系统100的不同子系统(例如样品定位系统140)可包含适用于实施本文中描述的步骤的至少一部分的计算机系统。因此,不应将前述描述解译为对本发明的限制,而仅为说明。此外,一或多个计算系统130可经配置以执行本文中描述的任何方法实施例的任何(若干)其它步骤。
另外,计算机系统130可以所属领域中已知的任何方式通信地耦合到x射线检测器123、电子光学器件104、x射线光学器件106、电子束源103、旋转致动器112、平移致动器114及样品定位系统140。例如,一或多个计算系统130可分别耦合到与x射线检测器123、电子光学器件104、x射线光学器件106、电子束源103、旋转致动器112、平移致动器114及样品定位系统140相关联的计算系统。在另一实例中,x射线检测器123、电子光学器件104、x射线光学器件106、电子束源103、旋转致动器112、平移致动器114及样品定位系统140的任何者可由耦合到计算机系统130的单个计算机系统直接控制。
x射线计量系统100的计算机系统130可经配置以通过可包含有线及/或无线部分的传输媒体从系统的子系统(例如,x射线检测器123、电子光学器件104、x射线光学器件106、电子束源103、旋转致动器112、平移致动器114及样品定位系统140及类似物)接收及/或获取数据或信息。以此方式,传输媒体可充当计算机系统130与系统100的其它子系统之间的数据链路。
计量系统100及200的计算机系统130可经配置以通过可包含有线及/或无线部分的传输媒体从其它系统接收及/或获取数据或信息(例如,测量结果、建模输入、建模结果等)。以此方式,传输媒体可充当计算机系统130与其它系统(例如,存储器板上计量系统100、外部存储器或外部系统)之间的数据链路。例如,计算系统130可经配置以经由数据链路而从存储媒体(即,存储器132)接收测量数据(例如,输出信号124)。例如,使用x射线检测器123的光谱仪获得的光谱结果可存储于永久或半永久存储器装置(例如,存储器132)中。在此方面,可从板上存储器或从外部存储器系统汇入光谱结果。此外,计算机系统130可经由传输媒体将数据发送到其它系统。例如,由计算机系统130确定的样品参数值180可存储于永久或半永久存储器装置中。在此方面,可将测量结果导出到另一系统。
计算系统130可包含(但不限于)个人计算机系统、主计算机系统、工作站、图像计算机、并行处理器或所属领域中已知的任何其它装置。一般来说,术语“计算系统”可广泛地定义为涵盖具有执行来自存储器媒体的指令的一或多个处理器的任何装置。
可经由例如导线、电缆或无线传输链路的传输媒体传输实施例如本文中描述的方法的方法的程序指令134。例如,如图1中说明,经由总线133将存储于存储器132中的程序指令传输到处理器131。程序指令134存储于计算机可读媒体(例如,存储器132)中。示范性计算机可读媒体包含只读存储器、随机存取存储器、磁盘或光盘或磁带。
在一些实施例中,实施如本文中描述的x射线计量作为制造工艺工具的部分。制造工艺工具的实例包含(但不限于)光刻曝光工具、膜沉积工具、植入工具及蚀刻工具。以此方式,使用x射线测量的结果以控制制造工艺。在一个实例中,将从一或多个目标收集的x射线测量数据发送到制造工艺工具。分析x射线数据且使用结果以调整制造工艺工具的操作。
图10说明适合于由本发明的x射线计量系统100及200实施的方法300。在一个方面中,应认识到,可经由由计算系统130的一或多个处理器执行的预编程算法实施方法300的任何数据处理元素。虽然在x射线计量系统100及200的上下文中提出以下描述,但本文中应认识到,x射线计量系统100的特定结构方面不表示限制且应仅解译为说明性。
在框301中,从电子束源的阴极朝向液态金属阳极材料发射电子流。电子流与液态金属阳极材料的相互作用引起x射线发射。
在框302中,旋转阳极支撑结构按恒定角速度绕旋转轴旋转。当旋转阳极支撑结构按恒定角速度旋转时,旋转阳极支撑结构将液态金属阳极材料支撑于相对于旋转阳极支撑结构的固定位置中。
在框303中,从液态金属阳极材料收集x射线发射量。
如本文中描述,术语“临界尺寸”包含结构的任何临界尺寸(例如,底部临界尺寸、中间临界尺寸、顶部临界尺寸、侧壁角、光栅高度等)、任何两个或两个以上结构之间的临界尺寸(例如,两个结构之间的距离)及两个或两个以上结构之间的位移(例如,叠加光栅结构之间的叠加位移等)。结构可包含三维结构、图案化结构、叠加结构等。
如本文中描述,术语“临界尺寸应用”或“临界尺寸测量应用”包含任何临界尺寸测量。
如本文中描述,术语“计量系统”包含至少部分采用以在任何方面中特性化样品的任何系统,包含临界尺寸应用及叠加计量应用。然而,此类技术术语不限制如本文中描述的术语“计量系统”的范围。另外,计量系统100可经配置以测量图案化晶片及/或未经图案化晶片。计量系统可配置为LED检验工具、边缘检验工具、背侧检验工具、宏检验工具或多模式检验工具(涉及同时来自一或多个平台的数据)及受益于液态微滴x射线源的任何其它计量或检验工具。
本文中针对可用于处理样品的半导体处理系统(例如,检验系统或光刻系统)描述各个实施例。术语“样品”在本文中用以指晶片、光罩或可通过所属领域中已知的手段处理(例如,印刷或检验缺陷)的任何其它样本。
如本文中使用,术语“晶片”大体上是指由半导体或非半导体材料形成的衬底。实例包含(但不限于)单晶硅、砷化镓及磷化铟。这些衬底通常可在半导体制造厂中找到及/或处理。在一些情况中,晶片可仅包含衬底(即,裸晶片)。替代地,晶片可包含形成于衬底上的一或多个不同材料层。形成于晶片上的一或多个层可“经图案化”或“未经图案化”。例如,晶片可包含具有可重复图案特征的多个裸片。
“光罩”可为处于光罩制造工艺的任何阶段的光罩,或为可能经释放或可能未经释放以于半导体制造厂中使用的成品光罩。光罩或“掩模”大体上定义为具有形成于其上且以图案配置的基本上不透明区的基本上透明衬底。衬底可包含(例如)玻璃材料,例如非晶SiO2。可在光刻工艺的曝光步骤期间将光罩安置于覆盖有抗蚀剂的晶片上方,使得可将光罩上的图案转印到抗蚀剂。
形成于晶片上的一或多个层可经图案化或未经图案化。例如,晶片可包含各自具有可重复图案特征的多个裸片。此类材料层的形成及处理最终可产生成品装置。许多不同类型的装置可形成于晶片上,且如本文中使用的术语晶片希望涵盖其上制造所属领域中已知的任何类型的装置的晶片。
在一或多个示范性实施例中,所描述的功能可实施于硬件、软件、固件或其任何组合中。如果在软件中实施,那么功能可作为一或多个指令或程序代码存储于计算机可读媒体上或经由所述计算机可读媒体传输。计算机可读媒体包含计算机存储媒体及通信媒体两者,包含促进计算机程序从一位置到另一位置的传送的任何媒体。存储媒体可为可通过通用计算机或专用计算机存取的任何可用媒体。例如(且非限制),此类计算机可读媒体可包括RAM、ROM、EEPROM、CD-ROM或其它光盘存储器、磁盘存储器或其它磁性存储装置或可用于载送或存储呈指令或数据结构的形式的所要程序代码构件且可通过通用计算机或专用计算机或通用或专用处理器存取的任何其它媒体。此外,任何连接被适当地称为计算机可读媒体。例如,如果使用同轴电缆、光纤电缆、双绞线、数字用户线(DSL)或无线技术(例如红外、无线电及微波)从网站、服务器或其它远程源传输软件,那么同轴电缆、光纤电缆、双绞线、DSL或无线技术(例如红外、无线电及微波)包含于媒体的定义中。如本文中使用,磁盘及光盘包含光盘片(CD)、激光光盘、光盘、数字多功能光盘(DVD)、软盘及蓝光光盘,其中磁盘通常磁性地重现数据而光盘用激光光学地重现数据。上述组合也应包含于计算机可读媒体的范围内。
尽管上文为指导目的而描述某些特定实施例,但本专利文档的教示具有一般适用性且不限于上文中描述的特定实施例。因此,在不脱离如权利要求书中陈述的本发明的范围的情况下,可实践所述实施例的各种特征的各种修改、调适及组合。

Claims (21)

1.一种液态金属旋转阳极x射线照明源,其包括:
电子束源,其经配置以从所述电子束源的阴极朝向液态金属阳极材料发射电子流,所述电子流与所述液态金属阳极材料的相互作用引起x射线发射;及
旋转阳极组合件,其包括:
旋转阳极支撑结构,其经配置以按恒定角速度绕旋转轴旋转,其中当所述旋转阳极支撑结构按所述恒定角速度旋转时,所述旋转阳极支撑结构将所述液态金属阳极材料支撑于相对于所述旋转阳极支撑结构的固定位置中;及
旋转致动器,其经耦合到所述旋转阳极支撑结构,其中所述旋转致动器使所述旋转阳极支撑结构按所述恒定角速度旋转。
2.根据权利要求1所述的液态金属旋转阳极x射线照明源,其进一步包括:
至少一个x射线光学元件,其经配置以收集来自所述液态金属阳极材料的x射线发射量。
3.根据权利要求2所述的液态金属旋转阳极x射线照明源,其中经收集的x射线发射量从所述液态金属阳极材料透射穿过所述旋转阳极组合件的一部分到所述至少一个x射线光学元件。
4.根据权利要求3所述的液态金属旋转阳极x射线照明源,所述旋转阳极组合件进一步包括:
输出窗,其经耦合到所述旋转阳极支撑结构,其中所述输出窗对所述x射线发射透明,且其中所述经收集的x射线发射量从所述液态金属阳极材料透射穿过所述输出窗到所述至少一个x射线光学元件。
5.根据权利要求1所述的液态金属旋转阳极x射线照明源,所述旋转阳极组合件进一步包括:
围阻窗,其经耦合到所述旋转阳极支撑结构,其中当所述旋转阳极支撑结构按所述恒定角速度旋转时,所述围阻窗将所述液态金属阳极材料约束到相对于所述旋转阳极支撑结构的固定位置,其中所述围阻窗对所述电子流透明,且其中所述电子流从所述电子束源透射穿过所述围阻窗到所述液态金属阳极材料。
6.根据权利要求1所述的液态金属旋转阳极x射线照明源,所述旋转阳极组合件进一步包括:
平移致动器,其经耦合到所述旋转阳极支撑结构,其中所述平移致动器在平行于所述旋转轴的方向上平移所述旋转阳极支撑结构。
7.根据权利要求1所述的液态金属旋转阳极x射线照明源,其中所述旋转阳极支撑结构支撑所述液态金属阳极材料,使得所述液态金属阳极材料呈现取决于所述旋转阳极支撑结构的旋转的角速度的形状。
8.根据权利要求1所述的液态金属旋转阳极x射线照明源,其中所述旋转阳极支撑结构支撑所述液态金属阳极材料,使得所述液态金属阳极材料在沿着所述液态金属旋转阳极x射线照明源的焦点轨道的任何位置处的横截面独立于所述旋转阳极支撑结构的旋转的角速度而呈现恒定形状。
9.一种基于x射线的计量系统,其包括:
液态金属旋转阳极x射线照明源,其经配置以使用入射x射线光束来照明样品检验区域,其中所述液态金属旋转阳极x射线照明源包含,
电子束源,其经配置以从所述电子束源的阴极朝向液态金属阳极材料发射电子流,所述电子流与所述液态金属阳极材料的相互作用引起x射线发射;
旋转阳极组合件,其包括:
旋转阳极支撑结构,其经配置以按恒定角速度绕旋转轴旋转,当所述旋转阳极支撑结构按所述恒定角速度旋转时,所述旋转阳极支撑结构将所述液态金属阳极材料支撑在相对于所述旋转阳极支撑结构的固定位置中;及
旋转致动器,其经耦合到所述旋转阳极支撑结构,其中所述旋转致动器使所述旋转阳极支撑结构按所述恒定角速度旋转;
至少一个x射线光学元件,其经配置以收集来自所述液态金属阳极材料的x射线发射量;及
x射线检测器,其经配置以接收响应于所述入射x射线光束而来自所述样品的辐射,且产生指示所述样品的第一性质的信号。
10.根据权利要求9所述的基于x射线的计量系统,其中所述基于x射线的计量系统是经配置以在透射或反射模式中执行测量的小角度x射线散射计。
11.根据权利要求10所述的基于x射线的计量系统,其中所述测量是临界尺寸测量、叠加测量或两者。
12.根据权利要求9所述的基于x射线的计量系统,其中所述基于x射线的计量系统经配置为透射小角度x射线散射测量系统、掠入射小角度x射线散射测量系统、广角x射线散射测量系统、x射线反射测量系统、掠入射x射线反射测量系统、x射线衍射测量系统、掠入射x射线衍射测量系统、高分辨率x射线衍射测量系统、x射线光电子光谱测定系统、x射线荧光分析计量系统、全反射x射线荧光分析计量系统、掠入射x射线荧光分析计量系统、x射线断层扫描系统、x射线椭偏测量系统及硬x射线光电子发射光谱测定系统中的任一种。
13.根据权利要求9所述的基于x射线的计量系统,其中经收集的x射线发射量从所述液态金属阳极材料透射穿过所述旋转阳极组合件的一部分到所述至少一个x射线光学元件。
14.根据权利要求13所述的基于x射线的计量系统,所述旋转阳极组合件进一步包括:
输出窗,其经耦合到所述旋转阳极支撑结构,其中所述输出窗对所述x射线发射透明,且其中所述经收集的x射线发射量从所述液态金属阳极材料透射穿过所述输出窗到所述至少一个x射线光学元件。
15.根据权利要求9所述的基于x射线的计量系统,所述旋转阳极组合件进一步包括:
围阻窗,其经耦合到所述旋转阳极支撑结构,其中当所述旋转阳极支撑结构按所述恒定角速度旋转时,所述围阻窗将所述液态金属阳极材料约束到相对于所述旋转阳极支撑结构的固定位置,其中所述围阻窗对所述电子流透明,且其中所述电子流从所述电子束源透射穿过所述围阻窗到所述液态金属阳极材料。
16.根据权利要求9所述的基于x射线的计量系统,其中所述旋转阳极支撑结构支撑所述液态金属阳极材料,使得所述液态金属阳极材料呈现取决于所述旋转阳极支撑结构的旋转的角速度的形状。
17.根据权利要求9所述的基于x射线的计量系统,其中所述旋转阳极支撑结构支撑所述液态金属阳极材料,使得所述液态金属阳极材料在沿着所述液态金属旋转阳极x射线照明源的焦点轨道的任何位置处的横截面独立于所述旋转阳极支撑结构的旋转的角速度而呈现恒定形状。
18.一种基于x射线的计量方法,其包括:
从电子束源的阴极朝向液态金属阳极材料发射电子流,所述电子流与所述液态金属阳极材料的相互作用引起x射线发射;
使旋转阳极支撑结构按恒定角速度绕旋转轴旋转,当所述旋转阳极支撑结构按所述恒定角速度旋转时,所述旋转阳极支撑结构将所述液态金属阳极材料支撑在相对于所述旋转阳极支撑结构的固定位置中,及
从所述液态金属阳极材料收集x射线发射量。
19.根据权利要求18所述的方法,其进一步包括:
使用包括从所述液态金属阳极材料收集的所述x射线发射量的入射x射线光束来照明样品检验区域;
检测响应于所述入射x射线光束而来自所述样品的辐射量;及
基于经检测的辐射量来产生指示所述样品的第一性质的信号。
20.根据权利要求18所述的方法,其中经收集的x射线发射量从所述液态金属阳极材料透射穿过输出窗到至少一个x射线光学元件。
21.根据权利要求18所述的方法,其进一步包括:
当所述旋转阳极支撑结构按所述恒定角速度旋转时,通过围阻窗将所述液态金属阳极材料约束到相对于所述旋转阳极支撑结构的固定位置;及
使所述电子流从所述电子束源透射穿过所述围阻窗到所述液态金属阳极材料。
CN201880064258.4A 2017-10-18 2018-10-18 用于半导体计量的液态金属旋转阳极x射线源 Active CN111164724B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762573958P 2017-10-18 2017-10-18
US62/573,958 2017-10-18
US16/161,525 2018-10-16
US16/161,525 US10748736B2 (en) 2017-10-18 2018-10-16 Liquid metal rotating anode X-ray source for semiconductor metrology
PCT/US2018/056564 WO2019079630A1 (en) 2017-10-18 2018-10-18 X-RAY SOURCE WITH ROTATING METAL LIQUID ANODE FOR SEMICONDUCTOR METROLOGY

Publications (2)

Publication Number Publication Date
CN111164724A CN111164724A (zh) 2020-05-15
CN111164724B true CN111164724B (zh) 2021-07-06

Family

ID=66096553

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880064258.4A Active CN111164724B (zh) 2017-10-18 2018-10-18 用于半导体计量的液态金属旋转阳极x射线源

Country Status (7)

Country Link
US (1) US10748736B2 (zh)
JP (1) JP7095083B2 (zh)
KR (1) KR102404613B1 (zh)
CN (1) CN111164724B (zh)
IL (1) IL273711B2 (zh)
TW (1) TWI765109B (zh)
WO (1) WO2019079630A1 (zh)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150117599A1 (en) 2013-10-31 2015-04-30 Sigray, Inc. X-ray interferometric imaging system
US10295485B2 (en) 2013-12-05 2019-05-21 Sigray, Inc. X-ray transmission spectrometer system
USRE48612E1 (en) 2013-10-31 2021-06-29 Sigray, Inc. X-ray interferometric imaging system
US10247683B2 (en) 2016-12-03 2019-04-02 Sigray, Inc. Material measurement techniques using multiple X-ray micro-beams
US10578566B2 (en) 2018-04-03 2020-03-03 Sigray, Inc. X-ray emission spectrometer system
US10845491B2 (en) 2018-06-04 2020-11-24 Sigray, Inc. Energy-resolving x-ray detection system
GB2591630B (en) 2018-07-26 2023-05-24 Sigray Inc High brightness x-ray reflection source
US11181489B2 (en) * 2018-07-31 2021-11-23 Lam Research Corporation Determining tilt angle in patterned arrays of high aspect-ratio structures by small-angle x-ray scattering
US10656105B2 (en) 2018-08-06 2020-05-19 Sigray, Inc. Talbot-lau x-ray source and interferometric system
US10962491B2 (en) 2018-09-04 2021-03-30 Sigray, Inc. System and method for x-ray fluorescence with filtering
DE112019004478T5 (de) 2018-09-07 2021-07-08 Sigray, Inc. System und verfahren zur röntgenanalyse mit wählbarer tiefe
WO2020084890A1 (ja) * 2018-10-25 2020-04-30 株式会社堀場製作所 X線分析装置及びx線発生ユニット
KR102428199B1 (ko) * 2019-04-26 2022-08-02 이유브이 랩스, 엘티디. 회전하는 액체 금속 타겟을 가지는 x레이 소스 및 복사 생성 방법
WO2021011209A1 (en) 2019-07-15 2021-01-21 Sigray, Inc. X-ray source with rotating anode at atmospheric pressure
US11143605B2 (en) 2019-09-03 2021-10-12 Sigray, Inc. System and method for computed laminography x-ray fluorescence imaging
KR20220120588A (ko) * 2019-12-26 2022-08-30 노바 엘티디. 결합된 ocd 및 광반사변조 방법 및 시스템
US11719652B2 (en) * 2020-02-04 2023-08-08 Kla Corporation Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
US11175243B1 (en) 2020-02-06 2021-11-16 Sigray, Inc. X-ray dark-field in-line inspection for semiconductor samples
JP7395775B2 (ja) 2020-05-18 2023-12-11 シグレイ、インコーポレイテッド 結晶解析装置及び複数の検出器素子を使用するx線吸収分光法のためのシステム及び方法
WO2022061347A1 (en) 2020-09-17 2022-03-24 Sigray, Inc. System and method using x-rays for depth-resolving metrology and analysis
US11686692B2 (en) 2020-12-07 2023-06-27 Sigray, Inc. High throughput 3D x-ray imaging system using a transmission x-ray source
CN114202533A (zh) * 2021-12-14 2022-03-18 西安奕斯伟材料科技有限公司 检测单晶炉同轴度的方法、装置、设备及计算机存储介质
US11882642B2 (en) 2021-12-29 2024-01-23 Innovicum Technology Ab Particle based X-ray source
WO2023177981A1 (en) 2022-03-15 2023-09-21 Sigray, Inc. System and method for compact laminography utilizing microfocus transmission x-ray source and variable magnification x-ray detector
CN114446743B (zh) * 2022-04-08 2022-07-01 安徽创谱仪器科技有限公司 X射线源
US20230341340A1 (en) * 2022-04-20 2023-10-26 Arion Diagnostics, Inc. Diffractive analyzer of patient tissue
US11885755B2 (en) 2022-05-02 2024-01-30 Sigray, Inc. X-ray sequential array wavelength dispersive spectrometer
US20230403778A1 (en) * 2022-06-10 2023-12-14 Kla Corporation Rotating target for extreme ultraviolet source with liquid metal
US11955308B1 (en) * 2022-09-22 2024-04-09 Kla Corporation Water cooled, air bearing based rotating anode x-ray illumination source

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102369587A (zh) * 2009-04-03 2012-03-07 伊克斯拉姆公司 在x射线产生中液体金属靶的供应

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4953191A (en) 1989-07-24 1990-08-28 The United States Of America As Represented By The United States Department Of Energy High intensity x-ray source using liquid gallium target
US4972449A (en) 1990-03-19 1990-11-20 General Electric Company X-ray tube target
US5541975A (en) * 1994-01-07 1996-07-30 Anderson; Weston A. X-ray tube having rotary anode cooled with high thermal conductivity fluid
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
DE19821939A1 (de) 1998-05-15 1999-11-18 Philips Patentverwaltung Röntgenstrahler mit einem Flüssigmetall-Target
JPH11339704A (ja) 1998-05-29 1999-12-10 Tomohei Sakabe 回転対陰極x線発生装置
US6192107B1 (en) 1999-03-24 2001-02-20 General Electric Company Liquid metal cooled anode for an X-ray tube
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US6711233B2 (en) 2000-07-28 2004-03-23 Jettec Ab Method and apparatus for generating X-ray or EUV radiation
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
DE10106740A1 (de) * 2001-02-14 2002-08-22 Philips Corp Intellectual Pty Röntgenstrahler mit einem Target aus einem flüssigen Metall
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
JP4938219B2 (ja) 2001-12-19 2012-05-23 ケーエルエー−テンカー コーポレイション 光学分光システムを使用するパラメトリック・プロフィーリング
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US6891928B2 (en) 2003-05-07 2005-05-10 Ge Medical Systems Liquid metal gasket in x-ray tubes
JP3898684B2 (ja) 2003-10-17 2007-03-28 株式会社リガク 回転集電装置および回転対陰極x線管
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
DE102004015590B4 (de) * 2004-03-30 2008-10-09 GE Homeland Protection, Inc., Newark Anodenmodul für eine Flüssigmetallanoden-Röntgenquelle sowie Röntgenstrahler mit einem Anodenmodul
DE602005002257T2 (de) * 2004-04-13 2008-05-29 Philips Intellectual Property & Standards Gmbh Vorrichtung zur erzeugung von röntgenstrahlen mit einer flüssigmetallanode
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
JP5426810B2 (ja) * 2006-03-22 2014-02-26 知平 坂部 X線発生方法及びx線発生装置
US7440549B2 (en) 2006-06-21 2008-10-21 Bruker Axs Inc. Heat pipe anode for x-ray generator
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
JP2008091190A (ja) 2006-10-02 2008-04-17 Hitachi Medical Corp 回転陽極型x線管装置及びx線検査装置
WO2008069195A1 (ja) 2006-12-04 2008-06-12 Kabushiki Kaisha Toshiba 回転陽極型x線管
US7518134B2 (en) 2006-12-06 2009-04-14 Asml Netherlands B.V. Plasma radiation source for a lithographic apparatus
JP5461400B2 (ja) 2007-08-16 2014-04-02 コーニンクレッカ フィリップス エヌ ヴェ 回転陽極型の高出力x線管構成に対する陽極ディスク構造のハイブリッド設計
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
JP2009081069A (ja) * 2007-09-26 2009-04-16 Toshiba Corp 回転陽極型x線管
AT10598U1 (de) 2007-09-28 2009-06-15 Plansee Metall Gmbh Ríntgenanode mit verbesserter warmeableitung
DE102008026938A1 (de) 2008-06-05 2009-12-17 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Strahlungsquelle und Verfahren zum Erzeugen von Röntgenstrahlung
US20100002842A1 (en) 2008-07-01 2010-01-07 Bruker Axs, Inc. Cathode assembly for rapid electron source replacement in a rotating anode x-ray generator
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
JP5422311B2 (ja) 2009-09-08 2014-02-19 株式会社東芝 回転陽極型x線管および回転陽極型x線管装置
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
HUP1000635A2 (en) 2010-11-26 2012-05-29 Ge Hungary Kft Liquid anode x-ray source
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
JP6114981B2 (ja) 2012-10-17 2017-04-19 株式会社リガク X線発生装置
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
JP6104689B2 (ja) * 2013-04-18 2017-03-29 東芝電子管デバイス株式会社 X線管装置及びx線コンピュータ断層撮影装置
US20140369476A1 (en) 2013-06-14 2014-12-18 Morpho Detection, Inc. Device for generating x-rays having a liquid metal anode
US9693439B1 (en) 2013-06-20 2017-06-27 Kla-Tencor Corporation High brightness liquid droplet X-ray source for semiconductor metrology
US20150092924A1 (en) 2013-09-04 2015-04-02 Wenbing Yun Structured targets for x-ray generation
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US9594036B2 (en) 2014-02-28 2017-03-14 Sigray, Inc. X-ray surface analysis and measurement apparatus
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US9726624B2 (en) 2014-06-18 2017-08-08 Bruker Jv Israel Ltd. Using multiple sources/detectors for high-throughput X-ray topography measurement
JP2017522697A (ja) * 2014-07-17 2017-08-10 シーメンス アクチエンゲゼルシヤフトSiemens Aktiengesellschaft X線管用の流体インジェクタおよび液体金属噴射により液体陽極を提供する方法
JP2016071991A (ja) 2014-09-29 2016-05-09 株式会社東芝 回転陽極型x線管
DE102014226813A1 (de) * 2014-12-22 2016-06-23 Siemens Aktiengesellschaft Metallstrahlröntgenröhre
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
US9715989B2 (en) 2015-04-09 2017-07-25 General Electric Company Multilayer X-ray source target with high thermal conductivity
US10545104B2 (en) 2015-04-28 2020-01-28 Kla-Tencor Corporation Computationally efficient X-ray based overlay measurement
DE102015224143B3 (de) 2015-12-03 2017-02-23 Incoatec Gmbh Verfahren zur Justage der Primärseite eines Röntgendiffraktometers und zugehöriges Röntgendiffraktometer
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102369587A (zh) * 2009-04-03 2012-03-07 伊克斯拉姆公司 在x射线产生中液体金属靶的供应

Also Published As

Publication number Publication date
IL273711B1 (en) 2023-03-01
CN111164724A (zh) 2020-05-15
WO2019079630A1 (en) 2019-04-25
JP7095083B2 (ja) 2022-07-04
KR20200058563A (ko) 2020-05-27
IL273711B2 (en) 2023-07-01
TWI765109B (zh) 2022-05-21
US20190115184A1 (en) 2019-04-18
TW201928339A (zh) 2019-07-16
KR102404613B1 (ko) 2022-05-31
US10748736B2 (en) 2020-08-18
IL273711A (en) 2020-05-31
JP2021500702A (ja) 2021-01-07

Similar Documents

Publication Publication Date Title
CN111164724B (zh) 用于半导体计量的液态金属旋转阳极x射线源
KR102625635B1 (ko) X-선 산란계측 시스템들을 위한 전체 빔 계측
KR102536604B1 (ko) 다색 연엑스선 회절에 기초한 반도체 계측을 위한 방법 및 시스템
JP7001846B2 (ja) X線計量方法
KR102363266B1 (ko) 고 애스펙트비 구조체에 대한 x 선 산란측정법 계측
JP7376666B2 (ja) 透過型小角x線散乱計量システム
CN112105917B (zh) 用于基于x射线的测量系统的校准及对准的多层目标
KR20200097353A (ko) 결합된 x 선 반사 측정법 및 광전자 분광법을 위한 시스템 및 방법
CN110603437A (zh) 用于具有高空间分辨率的x射线束的特性化的方法及系统
US11719652B2 (en) Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
TW202212817A (zh) 基於小角度x射線散射量測之計量系統之校準
US9693439B1 (en) High brightness liquid droplet X-ray source for semiconductor metrology
KR20220050976A (ko) 파장 분해 연질 x 선 반사 측정법에 기초한 반도체 계측을 위한 방법 및 시스템
US10012606B1 (en) X-ray based metrology with primary and secondary illumination sources
CN115136274B (zh) 基于具有电子发射器阵列的x射线源的半导体计量及检验
KR20220140826A (ko) X-선 기반 계측을 위한 웨이퍼 기울기 측정 및 제어
US11955308B1 (en) Water cooled, air bearing based rotating anode x-ray illumination source

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant