JP6804864B2 - Mramスタックをパターニングする乾式プラズマ・エッチング法 - Google Patents
Mramスタックをパターニングする乾式プラズマ・エッチング法 Download PDFInfo
- Publication number
- JP6804864B2 JP6804864B2 JP2016083292A JP2016083292A JP6804864B2 JP 6804864 B2 JP6804864 B2 JP 6804864B2 JP 2016083292 A JP2016083292 A JP 2016083292A JP 2016083292 A JP2016083292 A JP 2016083292A JP 6804864 B2 JP6804864 B2 JP 6804864B2
- Authority
- JP
- Japan
- Prior art keywords
- substrate
- layer
- layers
- halogen
- gas
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000000034 method Methods 0.000 title claims description 101
- 238000001020 plasma etching Methods 0.000 title claims description 10
- 238000000059 patterning Methods 0.000 title description 6
- 239000000758 substrate Substances 0.000 claims description 198
- 239000000463 material Substances 0.000 claims description 139
- 239000007789 gas Substances 0.000 claims description 104
- 238000005530 etching Methods 0.000 claims description 81
- 229910052751 metal Inorganic materials 0.000 claims description 78
- 239000002184 metal Substances 0.000 claims description 78
- 229910052736 halogen Inorganic materials 0.000 claims description 57
- 150000002367 halogens Chemical class 0.000 claims description 56
- 238000012545 processing Methods 0.000 claims description 38
- 229910052710 silicon Inorganic materials 0.000 claims description 37
- 239000010703 silicon Substances 0.000 claims description 36
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 34
- 238000000231 atomic layer deposition Methods 0.000 claims description 31
- 230000004888 barrier function Effects 0.000 claims description 31
- 238000003754 machining Methods 0.000 claims description 26
- 238000000151 deposition Methods 0.000 claims description 22
- 229910003321 CoFe Inorganic materials 0.000 claims description 18
- 150000004820 halides Chemical class 0.000 claims description 18
- 229910052723 transition metal Inorganic materials 0.000 claims description 17
- 150000003624 transition metals Chemical class 0.000 claims description 17
- 239000003989 dielectric material Substances 0.000 claims description 16
- 230000004913 activation Effects 0.000 claims description 15
- 238000001994 activation Methods 0.000 claims description 15
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 14
- 229910052801 chlorine Inorganic materials 0.000 claims description 14
- 239000010936 titanium Substances 0.000 claims description 14
- 229910052719 titanium Inorganic materials 0.000 claims description 14
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 12
- 230000003213 activating effect Effects 0.000 claims description 12
- 239000006227 byproduct Substances 0.000 claims description 12
- 238000006243 chemical reaction Methods 0.000 claims description 11
- 229910052732 germanium Inorganic materials 0.000 claims description 11
- 229910052799 carbon Inorganic materials 0.000 claims description 10
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 claims description 10
- 229910052718 tin Inorganic materials 0.000 claims description 10
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 9
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 9
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 8
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims description 8
- 229910052786 argon Inorganic materials 0.000 claims description 7
- 238000001039 wet etching Methods 0.000 claims description 7
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 6
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 claims description 6
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 6
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 6
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 6
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 claims description 4
- 238000010884 ion-beam technique Methods 0.000 claims description 4
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 claims description 4
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 3
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 3
- 229910021529 ammonia Inorganic materials 0.000 claims description 3
- 229910002092 carbon dioxide Inorganic materials 0.000 claims description 3
- 239000001569 carbon dioxide Substances 0.000 claims description 3
- 229910017052 cobalt Inorganic materials 0.000 claims description 3
- 239000010941 cobalt Substances 0.000 claims description 3
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims description 3
- 239000001257 hydrogen Substances 0.000 claims description 3
- 229910052739 hydrogen Inorganic materials 0.000 claims description 3
- 239000007787 solid Substances 0.000 claims description 3
- 238000007725 thermal activation Methods 0.000 claims description 2
- 229910018979 CoPt Inorganic materials 0.000 claims 1
- 239000003638 chemical reducing agent Substances 0.000 claims 1
- 239000010410 layer Substances 0.000 description 211
- 235000012431 wafers Nutrition 0.000 description 48
- 239000000460 chlorine Substances 0.000 description 46
- 239000011241 protective layer Substances 0.000 description 44
- 230000008569 process Effects 0.000 description 24
- 239000000376 reactant Substances 0.000 description 24
- 229910052715 tantalum Inorganic materials 0.000 description 22
- 238000010586 diagram Methods 0.000 description 18
- 238000012360 testing method Methods 0.000 description 18
- 238000012546 transfer Methods 0.000 description 18
- 239000004065 semiconductor Substances 0.000 description 17
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 17
- 238000004519 manufacturing process Methods 0.000 description 15
- 150000002739 metals Chemical class 0.000 description 14
- 229910052742 iron Inorganic materials 0.000 description 13
- XEEYBQQBJWHFJM-UHFFFAOYSA-N iron Substances [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 13
- 229910052697 platinum Inorganic materials 0.000 description 13
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Substances [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 13
- 230000008021 deposition Effects 0.000 description 12
- 230000005291 magnetic effect Effects 0.000 description 12
- 238000002474 experimental method Methods 0.000 description 11
- 239000000395 magnesium oxide Substances 0.000 description 11
- CPLXHLVBOLITMK-UHFFFAOYSA-N magnesium oxide Inorganic materials [Mg]=O CPLXHLVBOLITMK-UHFFFAOYSA-N 0.000 description 11
- AXZKOIWUVFPNLO-UHFFFAOYSA-N magnesium;oxygen(2-) Chemical compound [O-2].[Mg+2] AXZKOIWUVFPNLO-UHFFFAOYSA-N 0.000 description 11
- 230000007246 mechanism Effects 0.000 description 11
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 10
- 229910004298 SiO 2 Inorganic materials 0.000 description 9
- AVMBSRQXOWNFTR-UHFFFAOYSA-N cobalt platinum Chemical compound [Pt][Co][Pt] AVMBSRQXOWNFTR-UHFFFAOYSA-N 0.000 description 9
- 229910019236 CoFeB Inorganic materials 0.000 description 8
- 239000010408 film Substances 0.000 description 8
- 229910019041 PtMn Inorganic materials 0.000 description 7
- 229910001092 metal group alloy Inorganic materials 0.000 description 7
- 239000002243 precursor Substances 0.000 description 7
- 230000002829 reductive effect Effects 0.000 description 7
- 230000032258 transport Effects 0.000 description 7
- 239000000047 product Substances 0.000 description 6
- 239000000126 substance Substances 0.000 description 6
- 229910003902 SiCl 4 Inorganic materials 0.000 description 5
- 238000003795 desorption Methods 0.000 description 5
- 238000009616 inductively coupled plasma Methods 0.000 description 5
- 150000002500 ions Chemical class 0.000 description 5
- 229910052748 manganese Inorganic materials 0.000 description 5
- 239000011572 manganese Substances 0.000 description 5
- 238000010926 purge Methods 0.000 description 5
- 229910052707 ruthenium Inorganic materials 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 150000001875 compounds Chemical class 0.000 description 4
- 238000005755 formation reaction Methods 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- 230000036961 partial effect Effects 0.000 description 4
- -1 silicon nitrides Chemical class 0.000 description 4
- 238000001179 sorption measurement Methods 0.000 description 4
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 4
- 229910010413 TiO 2 Inorganic materials 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 239000011248 coating agent Substances 0.000 description 3
- 238000000576 coating method Methods 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 230000006870 function Effects 0.000 description 3
- 239000007788 liquid Substances 0.000 description 3
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 3
- 229910052763 palladium Inorganic materials 0.000 description 3
- KDLHZDBZIXYQEI-UHFFFAOYSA-N palladium Substances [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 125000003808 silyl group Chemical group [H][Si]([H])([H])[*] 0.000 description 3
- 238000004544 sputter deposition Methods 0.000 description 3
- 230000006641 stabilisation Effects 0.000 description 3
- 238000011105 stabilization Methods 0.000 description 3
- 229910018936 CoPd Inorganic materials 0.000 description 2
- 229910021417 amorphous silicon Inorganic materials 0.000 description 2
- 238000013459 approach Methods 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 238000009835 boiling Methods 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 230000015556 catabolic process Effects 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- FQMNUIZEFUVPNU-UHFFFAOYSA-N cobalt iron Chemical compound [Fe].[Co].[Co] FQMNUIZEFUVPNU-UHFFFAOYSA-N 0.000 description 2
- 239000002131 composite material Substances 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 238000006731 degradation reaction Methods 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 239000012636 effector Substances 0.000 description 2
- 230000005672 electromagnetic field Effects 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 230000005294 ferromagnetic effect Effects 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 239000000696 magnetic material Substances 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N nickel Substances [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 230000010287 polarization Effects 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 239000012495 reaction gas Substances 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- VXEGSRKPIUDPQT-UHFFFAOYSA-N 4-[4-(4-methoxyphenyl)piperazin-1-yl]aniline Chemical compound C1=CC(OC)=CC=C1N1CCN(C=2C=CC(N)=CC=2)CC1 VXEGSRKPIUDPQT-UHFFFAOYSA-N 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- 208000031481 Pathologic Constriction Diseases 0.000 description 1
- 229910003923 SiC 4 Inorganic materials 0.000 description 1
- 229910003978 SiClx Inorganic materials 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- 239000012790 adhesive layer Substances 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 238000004458 analytical method Methods 0.000 description 1
- 230000005290 antiferromagnetic effect Effects 0.000 description 1
- 230000006399 behavior Effects 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 239000002800 charge carrier Substances 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 125000001309 chloro group Chemical group Cl* 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- 238000005531 etching kinetic Methods 0.000 description 1
- 239000003302 ferromagnetic material Substances 0.000 description 1
- 230000005307 ferromagnetism Effects 0.000 description 1
- 238000005111 flow chemistry technique Methods 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 229910021480 group 4 element Inorganic materials 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- FFUAGWLWBBFQJT-UHFFFAOYSA-N hexamethyldisilazane Chemical compound C[Si](C)(C)N[Si](C)(C)C FFUAGWLWBBFQJT-UHFFFAOYSA-N 0.000 description 1
- 239000002784 hot electron Substances 0.000 description 1
- 238000003384 imaging method Methods 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 238000009434 installation Methods 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 230000005389 magnetism Effects 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 238000002844 melting Methods 0.000 description 1
- 230000008018 melting Effects 0.000 description 1
- 150000001247 metal acetylides Chemical class 0.000 description 1
- 229910001510 metal chloride Inorganic materials 0.000 description 1
- 150000002736 metal compounds Chemical class 0.000 description 1
- 229910001507 metal halide Inorganic materials 0.000 description 1
- 150000005309 metal halides Chemical class 0.000 description 1
- 229910021645 metal ion Inorganic materials 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 230000000116 mitigating effect Effects 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 150000004762 orthosilicates Chemical class 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000009428 plumbing Methods 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 230000006798 recombination Effects 0.000 description 1
- 238000005215 recombination Methods 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 230000002441 reversible effect Effects 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical class [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- FDNAPBUWERUEDA-UHFFFAOYSA-N silicon tetrachloride Chemical compound Cl[Si](Cl)(Cl)Cl FDNAPBUWERUEDA-UHFFFAOYSA-N 0.000 description 1
- 239000005049 silicon tetrachloride Substances 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 230000000087 stabilizing effect Effects 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 230000036262 stenosis Effects 0.000 description 1
- 208000037804 stenosis Diseases 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 238000012876 topography Methods 0.000 description 1
- 230000005641 tunneling Effects 0.000 description 1
- 238000011144 upstream manufacturing Methods 0.000 description 1
- 238000009834 vaporization Methods 0.000 description 1
- 230000008016 vaporization Effects 0.000 description 1
- 238000007704 wet chemistry method Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N35/00—Magnetostrictive devices
- H10N35/01—Manufacture or treatment
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N50/00—Galvanomagnetic devices
- H10N50/01—Manufacture or treatment
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23F—NON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
- C23F1/00—Etching metallic material by chemical means
- C23F1/10—Etching compositions
- C23F1/12—Gaseous compositions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23F—NON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
- C23F4/00—Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32422—Arrangement for selecting ions or species in the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32623—Mechanical discharge control means
- H01J37/32651—Shields, e.g. dark space shields, Faraday shields
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B61/00—Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N50/00—Galvanomagnetic devices
- H10N50/10—Magnetoresistive devices
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N50/00—Galvanomagnetic devices
- H10N50/80—Constructional details
- H10N50/85—Magnetic active materials
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Physics & Mathematics (AREA)
- Mechanical Engineering (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Materials Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Power Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Drying Of Semiconductors (AREA)
- Hall/Mr Elements (AREA)
- Mram Or Spin Memory Techniques (AREA)
- Formation Of Insulating Films (AREA)
Description
装置
実験
実験1
実験2
実験3
実験4
実験5
実験6
結び
Claims (44)
- (a)基板の表面を改質するために、チャンバ内に位置する前記基板をハロゲン含有ガスに晒し、
(b)前記基板の1つまたは複数の層をエッチングするために前記基板を活性化ガスおよび活性化源に暴露し、
(c)(a)および(b)の際、揮発性種を形成するために、前記ハロゲン含有ガスと、前記基板の前記1つまたは複数の層の材料との両方に反応する反応性材料を前記チャンバに提供すること
を備える方法。 - 請求項1に記載の方法であって、前記反応性材料は、シリコン含有材料、チタン含有材料、ゲルマニウム含有材料、スズ含有材料、炭素含有材料、およびそれらの組み合わせからなる群より選択される、方法。
- 請求項1に記載の方法であって、前記ハロゲン含有ガスは、Cl2、BCl3、BBr3、BI3、F2、BF3、Br2、I2、およびそれらの組み合わせからなる群より選択される、方法。
- 請求項1に記載の方法であって、(a)および(b)は2以上のサイクルで反復される方法。
- 請求項1に記載の方法であって、(a)〜(c)は真空を破ることなく実行される方法。
- 請求項1に記載の方法であって、(c)は、金属含有副生成物の再堆積を緩和する方法。
- 請求項1に記載の方法であって、前記基板の前記1つまたは複数の層の前記材料は、第4周期遷移金属、第5周期遷移金属、第6周期遷移金属、およびそれらの組み合わせからなる群より選択される方法。
- 請求項7に記載の方法であって、前記基板の前記1つまたは複数の層の前記材料は誘電材料を備える方法。
- 請求項8に記載の方法であって、第4周期遷移金属、第5周期遷移金属、第6周期遷移金属、およびそれらの組み合わせからなる群より選択される前記金属と、前記誘電材料とは、前記基板の隣接する層である方法。
- 請求項9に記載の方法であって、前記誘電材料はMgOであり、前記誘電材料は、CoFeを含む層と、CoPtを含む層との両方に隣接している方法。
- 請求項9に記載の方法であって、
(d)第4周期遷移金属、第5周期遷移金属、第6周期遷移金属、およびそれらの組み合わせからなる群より選択される前記金属を、約0Åから約10Åの間の残厚までエッチングし、
(e)前記金属層をエッチングした後、前記誘電材料を前記ハロゲン含有ガスに曝露することなく前記基板を前記活性化ガスおよび前記活性化源に曝露することにより、前記誘電材料をエッチングすること
をさらに備える方法。 - 請求項11に記載の方法であって、(e)の前記活性化ガスは、アルゴン、炭酸ガス、アンモニア、水素含有ガス、およびそれらの組み合わせからなる群より選択される方法。
- 請求項2に記載の方法であって、前記反応性材料はチタン含有材料であり、酸化チタンおよび窒化チタンからなる群より選択される方法。
- 請求項2に記載の方法であって、前記反応性材料はシリコン含有材料であり、シリコン窒化物、シリコン酸化物、またはシリコンからなる群より選択される方法。
- 請求項4に記載の方法であって、前記2以上のサイクルは、第1組の金属層と誘電体層とをエッチングし、(c)は、前記誘電体層をエッチングした後、前記誘電体層の下層である第2組の金属層をエッチングするまでの間に実行される方法。
- 請求項4に記載の方法であって、(c)は、(a)および(b)が前記2以上のサイクルで反復された後で反復される方法。
- 請求項1から16のいずれか一項に記載の方法であって、前記活性化源はプラズマであり、(c)の際の前記プラズマの出力は約500Wから約1500Wの間である方法。
- 請求項1から16のいずれか一項に記載の方法であって、前記ハロゲン含有ガスは、(a)の際に、前記基板の前記表面を実質的に飽和させる方法。
- 請求項1から16のいずれか一項に記載の方法であって、前記反応性材料は、(c)の際に、前記基板の前記表面を実質的に飽和させる方法。
- 請求項1から16のいずれか一項に記載の方法であって、(c)の際に、約100Vb未満の出力でバイアスを適用することをさらに備える方法。
- 請求項1から16のいずれか一項に記載の方法であって、前記反応性材料は、固体シリコン供給源を提供することにより前記チャンバに提供される方法。
- 請求項1から16のいずれか一項に記載の方法であって、
(d)(a)および(b)を実行する前に、プラズマ支援化学気相堆積により前記基板にシリコン窒化物層を共形に堆積させることにより(c)を実行し、
(e)(d)の後、(a)および(b)を2以上のサイクルで反復すること
をさらに含み、(a)の前記ハロゲン含有ガスは、BCl3およびCl2の組み合わせである方法。 - 請求項21に記載の方法であって、
(f)前記揮発性種を形成するために、誘電体層に隣接する金属層が約0Åから約10Åの間の残厚までエッチングされたときに、前記ハロゲン含有ガスと、前記基板の前記1つまたは複数の層の材料との両方に反応する材料を共形に堆積させることにより(c)を実行し、
(g)MgOを含む誘電体層をエッチングするために、前記基板を前記ハロゲン含有ガスに曝露することなく前記活性化ガスでスパッタし、
(h)前記1つまたは複数の層の少なくとも1つをエッチングするために、(g)の後、(a)および(b)を2以上のサイクルで反復すること、
をさらに備える方法。 - 請求項21に記載の方法であって、前記1つまたは複数の層は、コバルト含有材料を備える方法。
- (a)1つまたは複数の金属層と、自由層と、誘電体バリア層と、固定層とを含み、前記誘電体バリア層が前記自由層と前記固定層との間にあり、前記自由層と、前記誘電体バリア層と、前記固定層とが前記1つまたは複数の金属層の間にある基板を提供し、
(b)反応性材料を堆積させるために前記基板をシリコン含有ガスおよび還元剤に晒し、
(c)前記基板の表面を実質的に飽和させるのに十分な期間にわたり、前記基板をハロゲン含有ガスに曝露し、
(d)前記基板をエッチングするために前記基板を活性化源に暴露すること
を備える方法。 - 請求項25に記載の方法であって、前記反応性材料は、前記基板上のシリコン含有材料、チタン含有材料、ゲルマニウム含有材料、スズ含有材料、炭素含有材料、およびこれらの組み合わせからなる群より選択される方法。
- 請求項25に記載の方法であって、
実質的にすべての前記自由層がエッチングされた後、前記誘電体バリア層が露出される前に、(b)を反復し、
前記誘電体バリア層を無ハロゲン・ケミストリによりエッチングし、
前記基板をエッチングするために、前記誘電体バリア層がエッチングされた後に、(c)および(d)を反復すること
をさらに備える方法。 - 請求項1から16および25から27のいずれか一項に記載の方法であって、前記反応性材料は、プラズマ支援化学気相堆積により堆積される方法。
- 請求項1から16および25から27のいずれか一項に記載の方法であって、前記反応性材料は、原子層堆積により堆積される方法。
- 請求項1から16および25から27のいずれか一項に記載の方法であって、前記反応性材料は、共形に堆積される方法。
- 請求項1から16および25から27のいずれか一項に記載の方法であって、前記反応性材料は、自己制御反応により堆積される方法。
- 請求項1から16および25から27のいずれか一項に記載の方法であって、前記反応性材料は、(b)の際に、前記基板のフィーチャの側壁に残る方法。
- 請求項1から16および25から27のいずれか一項に記載の方法であって、前記反応性材料は、(b)の際に、前記基板の前記1つまたは複数の層の少なくとも1つを保護する方法。
- 請求項1から16および25から27のいずれか一項に記載の方法であって、前記基板は、MRAM構造を形成するようにエッチングされる方法。
- 請求項1から16および25から27のいずれか一項に記載の方法であって、前記反応性材料は、約3nmから約6nmの間の厚さに堆積される方法。
- 請求項1から16および25から27のいずれか一項に記載の方法であって、前記活性化源は、プラズマ、イオン・ビーム・エッチング、および熱活性化からなる群より選択される方法。
- 請求項1から16および25から27のいずれか一項に記載の方法であって、前記基板を湿式エッチングすることをさらに備える方法。
- 請求項1から16および25から27のいずれか一項に記載の方法であって、前記基板の1つまたは複数の層を反応性イオン・エッチングによりエッチングすることをさらに備える方法。
- 1つまたは複数の層を含む基板を加工する装置であって、
(a)それぞれにチャックを含む1つまたは複数の加工チャンバと、
(b)前記加工チャンバへの1つまたは複数のガス入口および関連する流れ制御ハードウェアと、
(c)少なくとも1つのプロセッサとメモリとを備えるコントローラと
を備え、前記少なくとも1つのプロセッサと前記メモリとは、相互に通信可能に接続され、
前記少なくとも1つのプロセッサは、前記流れ制御ハードウェアと少なくとも動作可能に接続され、
前記メモリは、
(i)前記基板の表面を実質的に飽和させるのに十分な期間にわたりハロゲン含有ガスの導入を行わせ、
(ii)前記基板の前記1つまたは複数の層をエッチングするために、活性化ガスの導入およびプラズマの生成を行わせ、
(iii)揮発性種を形成するために、(i)および(ii)の際に、前記1つまたは複数の加工チャンバの1つに、前記ハロゲン含有ガスと、前記基板の前記1つまたは複数の層の材料との両方に反応する反応性材料の導入を行わせる
ことにより、前記少なくとも1つのプロセッサを制御して前記流れ制御ハードウェアを少なくとも制御するコンピュータ実行可能命令を含み、
(i)〜(iii)は、真空を破ることなく実行される装置。 - 請求項39に記載の装置であって、揮発性種を形成するために前記ハロゲン含有ガスと、前記基板の前記1つまたは複数の層の材料との両方に反応する前記反応性材料の導入を行わせる前記命令は、シリコン含有材料、チタン含有材料、ゲルマニウム含有材料、スズ含有材料、炭素含有材料、およびそれらの組み合わせからなる群より選択される材料を堆積させる命令を備える装置。
- 請求項39に記載の装置であって、前記ハロゲン含有ガスは、Cl2、BCl3、BBr3、BI3、F2、BF3、Br2、I2、およびそれらの組み合わせからなる群より選択される装置。
- 請求項39に記載の装置であって、前記メモリは、(i)および(ii)が2以上のサイクルで実行された後に(iii)を反復する命令をさらに備える装置。
- (a)1つまたは複数の層を含む基板をチャンバに提供し、
(b)前記1つまたは複数の層の上に第1の材料を堆積させ、前記第1の材料はハロゲン化物および前記1つまたは複数の層の第2の材料と反応して揮発性種を形成し、
(c)前記第1の材料を堆積させた後、ハロゲン含有ガスを前記第2の材料の表面に吸着させることにより前記基板上の1つまたは複数の層の前記第2の材料の表面を改質するために、前記第2の材料を含む前記基板を前記ハロゲン含有ガスに暴露し、
(d)前記基板を活性化ガスに暴露し、前記揮発性種を形成することにより前記基板上の前記1つまたは複数の層の前記第2の材料の前記改質された表面をエッチングするためにプラズマを生成すること
を備える、チャンバ内で基板をエッチングする方法。 - 請求項43に記載の方法であって、前記第1の材料は、シリコン含有材料、チタン含有材料、ゲルマニウム含有材料、スズ含有材料、炭素含有材料、およびそれらの組み合わせからなる群より選択され、前記第2の材料は、第4周期遷移金属、第5周期遷移金属、第6周期遷移金属、およびそれらの組み合わせからなる群より選択される方法。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201562150053P | 2015-04-20 | 2015-04-20 | |
US62/150,053 | 2015-04-20 | ||
US14/749,291 US9806252B2 (en) | 2015-04-20 | 2015-06-24 | Dry plasma etch method to pattern MRAM stack |
US14/749,291 | 2015-06-24 |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2016208031A JP2016208031A (ja) | 2016-12-08 |
JP2016208031A5 JP2016208031A5 (ja) | 2019-05-30 |
JP6804864B2 true JP6804864B2 (ja) | 2020-12-23 |
Family
ID=57130027
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2016083292A Active JP6804864B2 (ja) | 2015-04-20 | 2016-04-19 | Mramスタックをパターニングする乾式プラズマ・エッチング法 |
Country Status (6)
Country | Link |
---|---|
US (3) | US9806252B2 (ja) |
JP (1) | JP6804864B2 (ja) |
KR (2) | KR102515411B1 (ja) |
CN (3) | CN109346393A (ja) |
SG (1) | SG10201603090VA (ja) |
TW (2) | TWI762876B (ja) |
Families Citing this family (93)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10297459B2 (en) | 2013-09-20 | 2019-05-21 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US9837254B2 (en) | 2014-08-12 | 2017-12-05 | Lam Research Corporation | Differentially pumped reactive gas injector |
US9406535B2 (en) | 2014-08-29 | 2016-08-02 | Lam Research Corporation | Ion injector and lens system for ion beam milling |
US10825652B2 (en) | 2014-08-29 | 2020-11-03 | Lam Research Corporation | Ion beam etch without need for wafer tilt or rotation |
US9536748B2 (en) | 2014-10-21 | 2017-01-03 | Lam Research Corporation | Use of ion beam etching to generate gate-all-around structure |
US9887097B2 (en) | 2014-12-04 | 2018-02-06 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US9576811B2 (en) | 2015-01-12 | 2017-02-21 | Lam Research Corporation | Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch) |
US9806252B2 (en) | 2015-04-20 | 2017-10-31 | Lam Research Corporation | Dry plasma etch method to pattern MRAM stack |
US9870899B2 (en) | 2015-04-24 | 2018-01-16 | Lam Research Corporation | Cobalt etch back |
SG10201604524PA (en) | 2015-06-05 | 2017-01-27 | Lam Res Corp | ATOMIC LAYER ETCHING OF GaN AND OTHER III-V MATERIALS |
US9972504B2 (en) | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
US10096487B2 (en) | 2015-08-19 | 2018-10-09 | Lam Research Corporation | Atomic layer etching of tungsten and other metals |
US9984858B2 (en) | 2015-09-04 | 2018-05-29 | Lam Research Corporation | ALE smoothness: in and outside semiconductor industry |
US10229837B2 (en) | 2016-02-04 | 2019-03-12 | Lam Research Corporation | Control of directionality in atomic layer etching |
US10727073B2 (en) | 2016-02-04 | 2020-07-28 | Lam Research Corporation | Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces |
US9991128B2 (en) | 2016-02-05 | 2018-06-05 | Lam Research Corporation | Atomic layer etching in continuous plasma |
US9779955B2 (en) | 2016-02-25 | 2017-10-03 | Lam Research Corporation | Ion beam etching utilizing cryogenic wafer temperatures |
KR20170122910A (ko) * | 2016-04-27 | 2017-11-07 | 성균관대학교산학협력단 | 원자층 식각방법 |
US10269566B2 (en) | 2016-04-29 | 2019-04-23 | Lam Research Corporation | Etching substrates using ale and selective deposition |
US9837312B1 (en) * | 2016-07-22 | 2017-12-05 | Lam Research Corporation | Atomic layer etching for enhanced bottom-up feature fill |
WO2018106955A1 (en) * | 2016-12-09 | 2018-06-14 | Asm Ip Holding B.V. | Thermal atomic layer etching processes |
US10566212B2 (en) * | 2016-12-19 | 2020-02-18 | Lam Research Corporation | Designer atomic layer etching |
US10283319B2 (en) | 2016-12-22 | 2019-05-07 | Asm Ip Holding B.V. | Atomic layer etching processes |
US9917137B1 (en) * | 2017-01-11 | 2018-03-13 | International Business Machines Corporation | Integrated magnetic tunnel junction (MTJ) in back end of line (BEOL) interconnects |
WO2018139276A1 (ja) * | 2017-01-24 | 2018-08-02 | 国立大学法人東北大学 | トンネル磁気抵抗素子の製造方法 |
JP7190814B2 (ja) * | 2017-02-13 | 2022-12-16 | ラム リサーチ コーポレーション | エアギャップの形成方法 |
US10559461B2 (en) | 2017-04-19 | 2020-02-11 | Lam Research Corporation | Selective deposition with atomic layer etch reset |
US10832909B2 (en) | 2017-04-24 | 2020-11-10 | Lam Research Corporation | Atomic layer etch, reactive precursors and energetic sources for patterning applications |
US9997371B1 (en) | 2017-04-24 | 2018-06-12 | Lam Research Corporation | Atomic layer etch methods and hardware for patterning applications |
US10494715B2 (en) | 2017-04-28 | 2019-12-03 | Lam Research Corporation | Atomic layer clean for removal of photoresist patterning scum |
US10796912B2 (en) | 2017-05-16 | 2020-10-06 | Lam Research Corporation | Eliminating yield impact of stochastics in lithography |
US11062897B2 (en) | 2017-06-09 | 2021-07-13 | Lam Research Corporation | Metal doped carbon based hard mask removal in semiconductor fabrication |
KR102489707B1 (ko) * | 2017-06-13 | 2023-01-17 | 도쿄엘렉트론가부시키가이샤 | 자기 터널 접합부를 패터닝하기 위한 프로세스 |
US10263179B2 (en) * | 2017-07-18 | 2019-04-16 | Nxp B.V. | Method of forming tunnel magnetoresistance (TMR) elements and TMR sensor element |
US10276398B2 (en) | 2017-08-02 | 2019-04-30 | Lam Research Corporation | High aspect ratio selective lateral etch using cyclic passivation and etching |
KR102368033B1 (ko) | 2017-09-20 | 2022-02-25 | 삼성전자주식회사 | 자기 저항 메모리 소자의 제조 방법 |
JP2019057636A (ja) | 2017-09-21 | 2019-04-11 | 東芝メモリ株式会社 | 磁気記憶装置 |
US10763083B2 (en) | 2017-10-06 | 2020-09-01 | Lam Research Corporation | High energy atomic layer etching |
US10038138B1 (en) * | 2017-10-10 | 2018-07-31 | Headway Technologies, Inc. | High temperature volatilization of sidewall materials from patterned magnetic tunnel junctions |
US20190131130A1 (en) * | 2017-10-31 | 2019-05-02 | Lam Research Corporation | Etching metal oxide substrates using ale and selective deposition |
JP6833657B2 (ja) * | 2017-11-07 | 2021-02-24 | 東京エレクトロン株式会社 | 基板をプラズマエッチングする方法 |
US10978351B2 (en) * | 2017-11-17 | 2021-04-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch stop layer between substrate and isolation structure |
US10515815B2 (en) | 2017-11-21 | 2019-12-24 | Lam Research Corporation | Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation |
US10734238B2 (en) * | 2017-11-21 | 2020-08-04 | Lam Research Corporation | Atomic layer deposition and etch in a single plasma chamber for critical dimension control |
US10658174B2 (en) | 2017-11-21 | 2020-05-19 | Lam Research Corporation | Atomic layer deposition and etch for reducing roughness |
TWI688130B (zh) | 2017-11-28 | 2020-03-11 | 財團法人工業技術研究院 | 自旋軌道磁性記憶體及其製造方法 |
US10957779B2 (en) * | 2017-11-30 | 2021-03-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate etch back with reduced loading effect |
US10840436B2 (en) * | 2017-12-29 | 2020-11-17 | Spin Memory, Inc. | Perpendicular magnetic anisotropy interface tunnel junction devices and methods of manufacture |
US10446394B2 (en) | 2018-01-26 | 2019-10-15 | Lam Research Corporation | Spacer profile control using atomic layer deposition in a multiple patterning process |
CN110098320B (zh) * | 2018-01-30 | 2023-04-28 | 上海磁宇信息科技有限公司 | 一种刻蚀磁性隧道结导电硬掩模的方法 |
JP7025952B2 (ja) * | 2018-02-16 | 2022-02-25 | 東京エレクトロン株式会社 | エッチングする方法及びプラズマ処理装置 |
KR102642011B1 (ko) | 2018-03-30 | 2024-02-27 | 램 리써치 코포레이션 | 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing) |
WO2019241060A1 (en) * | 2018-06-13 | 2019-12-19 | Lam Research Corporation | Efficient cleaning and etching of high aspect ratio structures |
US10680169B2 (en) * | 2018-06-13 | 2020-06-09 | International Business Machines Corporation | Multilayer hardmask for high performance MRAM devices |
US10741748B2 (en) | 2018-06-25 | 2020-08-11 | International Business Machines Corporation | Back end of line metallization structures |
US10720487B2 (en) | 2018-06-28 | 2020-07-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with magnetic element |
US10692759B2 (en) * | 2018-07-17 | 2020-06-23 | Applied Materials, Inc. | Methods for manufacturing an interconnect structure for semiconductor devices |
TWI812762B (zh) * | 2018-07-30 | 2023-08-21 | 日商東京威力科創股份有限公司 | 處理被處理體之方法、處理裝置及處理系統 |
US10879451B2 (en) * | 2018-08-14 | 2020-12-29 | Samsung Electronics Co., Ltd. | Magnetic tunnel junction device and magnetic resistance memory device |
US10886461B2 (en) | 2018-09-18 | 2021-01-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Highly physical etch resistive photoresist mask to define large height sub 30nm via and metal hard mask for MRAM devices |
US10763429B2 (en) | 2018-10-12 | 2020-09-01 | International Business Machines Corporation | Self-aligned ion beam etch sputter mask for magnetoresistive random access memory |
US10714681B2 (en) * | 2018-10-19 | 2020-07-14 | International Business Machines Corporation | Embedded magnetic tunnel junction pillar having reduced height and uniform contact area |
US10868239B2 (en) * | 2018-10-25 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gradient protection layer in MTJ manufacturing |
US11002063B2 (en) * | 2018-10-26 | 2021-05-11 | Graffiti Shield, Inc. | Anti-graffiti laminate with visual indicia |
US10971684B2 (en) * | 2018-10-30 | 2021-04-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Intercalated metal/dielectric structure for nonvolatile memory devices |
CN111162005A (zh) | 2018-11-08 | 2020-05-15 | 江苏鲁汶仪器有限公司 | 多层磁性隧道结刻蚀方法和mram器件 |
US11043251B2 (en) * | 2018-11-30 | 2021-06-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Magnetic tunnel junction device and method of forming same |
US10497858B1 (en) * | 2018-12-21 | 2019-12-03 | Applied Materials, Inc. | Methods for forming structures for MRAM applications |
US11056643B2 (en) * | 2019-01-03 | 2021-07-06 | International Business Machines Corporation | Magnetic tunnel junction (MTJ) hard mask encapsulation to prevent redeposition |
US11121311B2 (en) * | 2019-01-24 | 2021-09-14 | International Business Machines Corporation | MTJ containing device encapsulation to prevent shorting |
KR20210111893A (ko) * | 2019-02-01 | 2021-09-13 | 램 리써치 코포레이션 | 가스 처리 및 펄싱을 사용한 이온 빔 에칭 |
CN113519071A (zh) * | 2019-02-28 | 2021-10-19 | 朗姆研究公司 | 利用侧壁清洁的离子束蚀刻 |
US10707413B1 (en) | 2019-03-28 | 2020-07-07 | International Business Machines Corporation | Formation of embedded magnetic random-access memory devices |
US20200343043A1 (en) * | 2019-04-29 | 2020-10-29 | Spin Memory, Inc. | Method for manufacturing a self-aligned magnetic memory element with ru hard mask |
US10685849B1 (en) | 2019-05-01 | 2020-06-16 | Applied Materials, Inc. | Damage free metal conductor formation |
US10833258B1 (en) | 2019-05-02 | 2020-11-10 | International Business Machines Corporation | MRAM device formation with in-situ encapsulation |
JP2020191320A (ja) * | 2019-05-20 | 2020-11-26 | 東京エレクトロン株式会社 | 基板製造方法、及び、処理システム |
JP2021019201A (ja) | 2019-07-18 | 2021-02-15 | エーエスエム アイピー ホールディング ビー.ブイ. | 半導体処理システム用シャワーヘッドデバイス |
JP2022542089A (ja) * | 2019-07-31 | 2022-09-29 | ラム リサーチ コーポレーション | Mramパターニングのための不揮発性材料の化学エッチング |
JP2022547953A (ja) * | 2019-09-17 | 2022-11-16 | ラム リサーチ コーポレーション | 原子層エッチングおよびイオンビームエッチングのパターニング |
CN112563412B (zh) * | 2019-09-25 | 2023-06-23 | 浙江驰拓科技有限公司 | 磁性隧道结刻蚀方法 |
US11177431B2 (en) | 2019-12-02 | 2021-11-16 | HeFeChip Corporation Limited | Magnetic memory device and method for manufacturing the same |
US11217744B2 (en) * | 2019-12-10 | 2022-01-04 | HeFeChip Corporation Limited | Magnetic memory device with multiple sidewall spacers covering sidewall of MTJ element and method for manufacturing the same |
US11574813B2 (en) | 2019-12-10 | 2023-02-07 | Asm Ip Holding B.V. | Atomic layer etching |
US11488977B2 (en) | 2020-04-14 | 2022-11-01 | Yangtze Memory Technologies Co., Ltd. | Three-dimensional memory devices and methods for forming the same |
TW202205433A (zh) * | 2020-06-19 | 2022-02-01 | 日商東京威力科創股份有限公司 | 蝕刻方法、基板處理裝置及基板處理系統 |
CN114097064A (zh) * | 2020-06-25 | 2022-02-25 | 株式会社日立高新技术 | 真空处理方法 |
TWI773086B (zh) * | 2020-11-17 | 2022-08-01 | 大陸商長江存儲科技有限責任公司 | 用於形成立體(3d)記憶體元件的方法 |
WO2022132818A1 (en) * | 2020-12-17 | 2022-06-23 | Tokyo Electron Limited | Selective etching with fluorine, oxygen and noble gas containing plasmas |
WO2022154901A1 (en) * | 2021-01-15 | 2022-07-21 | Lam Research Corporation | Metal etch |
US11894228B2 (en) | 2021-08-26 | 2024-02-06 | Applied Materials, Inc. | Treatments for controlling deposition defects |
KR20240063140A (ko) * | 2021-09-07 | 2024-05-10 | 램 리써치 코포레이션 | 붕소 트리클로라이드를 사용한 원자 층 에칭 |
CN116615087A (zh) * | 2022-02-07 | 2023-08-18 | 北京超弦存储器研究院 | 一种磁性隧道结及其制备方法 |
Family Cites Families (95)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH061769B2 (ja) | 1983-08-10 | 1994-01-05 | 株式会社日立製作所 | アルミナ膜のパターニング方法 |
US5298451A (en) | 1991-04-30 | 1994-03-29 | Texas Instruments Incorporated | Recessed and sidewall-sealed poly-buffered LOCOS isolation methods |
US5282925A (en) | 1992-11-09 | 1994-02-01 | International Business Machines Corporation | Device and method for accurate etching and removal of thin film |
JPH06151382A (ja) | 1992-11-11 | 1994-05-31 | Toshiba Corp | ドライエッチング方法 |
DE4241045C1 (de) | 1992-12-05 | 1994-05-26 | Bosch Gmbh Robert | Verfahren zum anisotropen Ätzen von Silicium |
JPH06326060A (ja) | 1993-05-12 | 1994-11-25 | Hitachi Ltd | 固体表面加工方法 |
US6022806A (en) | 1994-03-15 | 2000-02-08 | Kabushiki Kaisha Toshiba | Method of forming a film in recess by vapor phase growth |
US6124211A (en) * | 1994-06-14 | 2000-09-26 | Fsi International, Inc. | Cleaning method |
US6083413A (en) * | 1995-10-19 | 2000-07-04 | Massachusetts Institute Of Technology | Metals removal process |
US5766971A (en) | 1996-12-13 | 1998-06-16 | International Business Machines Corporation | Oxide strip that improves planarity |
KR20010034127A (ko) | 1998-01-13 | 2001-04-25 | 조셉 제이. 스위니 | 이방성 플라티늄 프로화일을 위한 에칭 방법 |
US6177353B1 (en) | 1998-09-15 | 2001-01-23 | Infineon Technologies North America Corp. | Metallization etching techniques for reducing post-etch corrosion of metal lines |
JP2003512720A (ja) * | 1999-09-27 | 2003-04-02 | アプライド マテリアルズ インコーポレイテッド | 基板上の金属含有層をエッチングする方法。 |
US8696875B2 (en) | 1999-10-08 | 2014-04-15 | Applied Materials, Inc. | Self-ionized and inductively-coupled plasma for sputtering and resputtering |
US6458694B2 (en) | 2000-01-24 | 2002-10-01 | Ebara Corporation | High energy sputtering method for forming interconnects |
JP3662472B2 (ja) * | 2000-05-09 | 2005-06-22 | エム・エフエスアイ株式会社 | 基板表面の処理方法 |
US6677242B1 (en) | 2000-08-12 | 2004-01-13 | Applied Materials Inc. | Integrated shallow trench isolation approach |
US6527855B2 (en) | 2000-10-10 | 2003-03-04 | Rensselaer Polytechnic Institute | Atomic layer deposition of cobalt from cobalt metallorganic compounds |
US20020058409A1 (en) | 2000-11-16 | 2002-05-16 | Ching-Te Lin | Elimination of overhang in liner/barrier/seed layers using post-deposition sputter etch |
US6448192B1 (en) | 2001-04-16 | 2002-09-10 | Motorola, Inc. | Method for forming a high dielectric constant material |
KR100878103B1 (ko) | 2001-05-04 | 2009-01-14 | 도쿄엘렉트론가부시키가이샤 | 순차적 증착 및 에칭에 의한 이온화된 pvd |
US8110489B2 (en) | 2001-07-25 | 2012-02-07 | Applied Materials, Inc. | Process for forming cobalt-containing materials |
US7115516B2 (en) | 2001-10-09 | 2006-10-03 | Applied Materials, Inc. | Method of depositing a material layer |
AU2003223472A1 (en) | 2002-05-14 | 2003-12-02 | Tokyo Electron Limited | PLASMA ETCHING OF Cu-CONTAINING LAYERS |
US6884730B2 (en) | 2002-07-02 | 2005-04-26 | Headway Technologies, Inc. | Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head |
US6933239B2 (en) * | 2003-01-13 | 2005-08-23 | Applied Materials, Inc. | Method for removing conductive residue |
US6841484B2 (en) | 2003-04-17 | 2005-01-11 | Chentsau Ying | Method of fabricating a magneto-resistive random access memory (MRAM) device |
JP2004332045A (ja) * | 2003-05-07 | 2004-11-25 | Renesas Technology Corp | 多層膜材料のドライエッチング方法 |
US7341946B2 (en) | 2003-11-10 | 2008-03-11 | Novellus Systems, Inc. | Methods for the electrochemical deposition of copper onto a barrier layer of a work piece |
US20050233555A1 (en) | 2004-04-19 | 2005-10-20 | Nagarajan Rajagopalan | Adhesion improvement for low k dielectrics to conductive materials |
US7829152B2 (en) | 2006-10-05 | 2010-11-09 | Lam Research Corporation | Electroless plating method and apparatus |
US7115522B2 (en) | 2004-07-09 | 2006-10-03 | Kabushiki Kaisha Toshiba | Method for manufacturing semiconductor device |
CN100576474C (zh) | 2004-07-20 | 2009-12-30 | 应用材料股份有限公司 | 以钽前驱物taimata进行含钽材料的原子层沉积 |
US7196955B2 (en) * | 2005-01-12 | 2007-03-27 | Hewlett-Packard Development Company, L.P. | Hardmasks for providing thermally assisted switching of magnetic memory elements |
US7235492B2 (en) | 2005-01-31 | 2007-06-26 | Applied Materials, Inc. | Low temperature etchant for treatment of silicon-containing surfaces |
JP4860219B2 (ja) * | 2005-02-14 | 2012-01-25 | 東京エレクトロン株式会社 | 基板の処理方法、電子デバイスの製造方法及びプログラム |
US7214626B2 (en) * | 2005-08-24 | 2007-05-08 | United Microelectronics Corp. | Etching process for decreasing mask defect |
US20070238301A1 (en) * | 2006-03-28 | 2007-10-11 | Cabral Stephen H | Batch processing system and method for performing chemical oxide removal |
US7795148B2 (en) * | 2006-03-28 | 2010-09-14 | Tokyo Electron Limited | Method for removing damaged dielectric material |
US7368393B2 (en) * | 2006-04-20 | 2008-05-06 | International Business Machines Corporation | Chemical oxide removal of plasma damaged SiCOH low k dielectrics |
US7416989B1 (en) | 2006-06-30 | 2008-08-26 | Novellus Systems, Inc. | Adsorption based material removal process |
US20080265243A1 (en) * | 2007-04-30 | 2008-10-30 | Ahn Kie Y | Magnetic floating gate flash memory structures |
KR100905278B1 (ko) | 2007-07-19 | 2009-06-29 | 주식회사 아이피에스 | 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법 |
KR101330707B1 (ko) * | 2007-07-19 | 2013-11-19 | 삼성전자주식회사 | 반도체 장치의 형성 방법 |
US8247030B2 (en) | 2008-03-07 | 2012-08-21 | Tokyo Electron Limited | Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer |
US7948044B2 (en) * | 2008-04-09 | 2011-05-24 | Magic Technologies, Inc. | Low switching current MTJ element for ultra-high STT-RAM and a method for making the same |
US8252194B2 (en) * | 2008-05-02 | 2012-08-28 | Micron Technology, Inc. | Methods of removing silicon oxide |
US9034768B2 (en) | 2010-07-09 | 2015-05-19 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US8124531B2 (en) | 2009-08-04 | 2012-02-28 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US20110139748A1 (en) | 2009-12-15 | 2011-06-16 | University Of Houston | Atomic layer etching with pulsed plasmas |
US8227344B2 (en) | 2010-02-26 | 2012-07-24 | Tokyo Electron Limited | Hybrid in-situ dry cleaning of oxidized surface layers |
US9373500B2 (en) * | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
JP2012038815A (ja) * | 2010-08-04 | 2012-02-23 | Toshiba Corp | 磁気抵抗素子の製造方法 |
JP5416280B2 (ja) | 2010-08-19 | 2014-02-12 | 株式会社アルバック | ドライエッチング方法及び半導体装置の製造方法 |
US8546263B2 (en) * | 2011-04-27 | 2013-10-01 | Applied Materials, Inc. | Method of patterning of magnetic tunnel junctions |
US9666414B2 (en) | 2011-10-27 | 2017-05-30 | Applied Materials, Inc. | Process chamber for etching low k and other dielectric films |
US8808561B2 (en) | 2011-11-15 | 2014-08-19 | Lam Research Coporation | Inert-dominant pulsing in plasma processing systems |
US20130129922A1 (en) | 2011-11-21 | 2013-05-23 | Qualcomm Mems Technologies, Inc. | Batch processing for electromechanical systems and equipment for same |
US8633115B2 (en) | 2011-11-30 | 2014-01-21 | Applied Materials, Inc. | Methods for atomic layer etching |
US8883028B2 (en) | 2011-12-28 | 2014-11-11 | Lam Research Corporation | Mixed mode pulsing etching in plasma processing systems |
JP2013197524A (ja) * | 2012-03-22 | 2013-09-30 | Toshiba Corp | 磁気抵抗効果素子の製造方法 |
JP2014049466A (ja) | 2012-08-29 | 2014-03-17 | Tokyo Electron Ltd | エッチング処理方法及び基板処理装置 |
JP5918108B2 (ja) * | 2012-11-16 | 2016-05-18 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US9362133B2 (en) | 2012-12-14 | 2016-06-07 | Lam Research Corporation | Method for forming a mask by etching conformal film on patterned ashable hardmask |
US20140349469A1 (en) | 2013-05-22 | 2014-11-27 | Qualcomm Mems Technologies, Inc. | Processing for electromechanical systems and equipment for same |
US9362163B2 (en) | 2013-07-30 | 2016-06-07 | Lam Research Corporation | Methods and apparatuses for atomic layer cleaning of contacts and vias |
US20150111374A1 (en) | 2013-10-18 | 2015-04-23 | International Business Machines Corporation | Surface treatment in a dep-etch-dep process |
US9214334B2 (en) | 2014-02-18 | 2015-12-15 | Lam Research Corporation | High growth rate process for conformal aluminum nitride |
US9564582B2 (en) * | 2014-03-07 | 2017-02-07 | Applied Materials, Inc. | Method of forming magnetic tunneling junctions |
US9257638B2 (en) * | 2014-03-27 | 2016-02-09 | Lam Research Corporation | Method to etch non-volatile metal materials |
US9773683B2 (en) | 2014-06-09 | 2017-09-26 | American Air Liquide, Inc. | Atomic layer or cyclic plasma etching chemistries and processes |
TWI593015B (zh) | 2014-07-10 | 2017-07-21 | 東京威力科創股份有限公司 | 基板之高精度蝕刻方法 |
FR3023971B1 (fr) | 2014-07-18 | 2016-08-05 | Commissariat Energie Atomique | Procede de formation des espaceurs d'une grille d'un transistor |
US9349637B2 (en) | 2014-08-21 | 2016-05-24 | Lam Research Corporation | Method for void-free cobalt gap fill |
US9520294B2 (en) | 2014-08-29 | 2016-12-13 | Applied Materials, Inc. | Atomic layer etch process using an electron beam |
US9627608B2 (en) | 2014-09-11 | 2017-04-18 | Lam Research Corporation | Dielectric repair for emerging memory devices |
US10381227B2 (en) | 2014-12-18 | 2019-08-13 | The Regents Of The University Of Colorado, A Body Corporate | Methods of atomic layer etching (ALE) using sequential, self-limiting thermal reactions |
US9576811B2 (en) | 2015-01-12 | 2017-02-21 | Lam Research Corporation | Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch) |
US9806252B2 (en) | 2015-04-20 | 2017-10-31 | Lam Research Corporation | Dry plasma etch method to pattern MRAM stack |
US9870899B2 (en) | 2015-04-24 | 2018-01-16 | Lam Research Corporation | Cobalt etch back |
SG10201604524PA (en) | 2015-06-05 | 2017-01-27 | Lam Res Corp | ATOMIC LAYER ETCHING OF GaN AND OTHER III-V MATERIALS |
US9449843B1 (en) * | 2015-06-09 | 2016-09-20 | Applied Materials, Inc. | Selectively etching metals and metal nitrides conformally |
US9922839B2 (en) | 2015-06-23 | 2018-03-20 | Lam Research Corporation | Low roughness EUV lithography |
US9972504B2 (en) | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
US10096487B2 (en) | 2015-08-19 | 2018-10-09 | Lam Research Corporation | Atomic layer etching of tungsten and other metals |
US9984858B2 (en) | 2015-09-04 | 2018-05-29 | Lam Research Corporation | ALE smoothness: in and outside semiconductor industry |
KR102451098B1 (ko) | 2015-09-23 | 2022-10-05 | 삼성전자주식회사 | 자기 메모리 장치 및 이의 제조 방법 |
KR20170050056A (ko) | 2015-10-29 | 2017-05-11 | 삼성전자주식회사 | 반도체 소자의 패턴 형성 방법 |
US10727073B2 (en) | 2016-02-04 | 2020-07-28 | Lam Research Corporation | Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces |
US9991128B2 (en) | 2016-02-05 | 2018-06-05 | Lam Research Corporation | Atomic layer etching in continuous plasma |
US9837312B1 (en) | 2016-07-22 | 2017-12-05 | Lam Research Corporation | Atomic layer etching for enhanced bottom-up feature fill |
US10566212B2 (en) | 2016-12-19 | 2020-02-18 | Lam Research Corporation | Designer atomic layer etching |
US10559461B2 (en) | 2017-04-19 | 2020-02-11 | Lam Research Corporation | Selective deposition with atomic layer etch reset |
US10832909B2 (en) | 2017-04-24 | 2020-11-10 | Lam Research Corporation | Atomic layer etch, reactive precursors and energetic sources for patterning applications |
US9997371B1 (en) | 2017-04-24 | 2018-06-12 | Lam Research Corporation | Atomic layer etch methods and hardware for patterning applications |
-
2015
- 2015-06-24 US US14/749,291 patent/US9806252B2/en active Active
-
2016
- 2016-04-18 TW TW109105630A patent/TWI762876B/zh active
- 2016-04-18 TW TW105111956A patent/TWI690097B/zh active
- 2016-04-19 JP JP2016083292A patent/JP6804864B2/ja active Active
- 2016-04-19 KR KR1020160047545A patent/KR102515411B1/ko active IP Right Grant
- 2016-04-19 SG SG10201603090VA patent/SG10201603090VA/en unknown
- 2016-04-20 CN CN201810953048.2A patent/CN109346393A/zh active Pending
- 2016-04-20 CN CN201910500208.2A patent/CN110379918B/zh active Active
- 2016-04-20 CN CN201610248296.8A patent/CN106067513B/zh active Active
-
2017
- 2017-09-28 US US15/719,497 patent/US10374144B2/en active Active
-
2019
- 2019-06-21 US US16/449,141 patent/US10749103B2/en active Active
-
2023
- 2023-03-24 KR KR1020230038474A patent/KR102648476B1/ko active IP Right Grant
Also Published As
Publication number | Publication date |
---|---|
US9806252B2 (en) | 2017-10-31 |
CN110379918B (zh) | 2024-07-05 |
US20190312194A1 (en) | 2019-10-10 |
CN106067513B (zh) | 2019-07-09 |
KR102515411B1 (ko) | 2023-03-28 |
TW201709573A (zh) | 2017-03-01 |
US10374144B2 (en) | 2019-08-06 |
US10749103B2 (en) | 2020-08-18 |
CN106067513A (zh) | 2016-11-02 |
TWI762876B (zh) | 2022-05-01 |
TWI690097B (zh) | 2020-04-01 |
TW202025525A (zh) | 2020-07-01 |
CN109346393A (zh) | 2019-02-15 |
KR102648476B1 (ko) | 2024-03-15 |
KR20230044167A (ko) | 2023-04-03 |
SG10201603090VA (en) | 2016-11-29 |
CN110379918A (zh) | 2019-10-25 |
US20160308112A1 (en) | 2016-10-20 |
JP2016208031A (ja) | 2016-12-08 |
US20180019387A1 (en) | 2018-01-18 |
KR20160124689A (ko) | 2016-10-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6804864B2 (ja) | Mramスタックをパターニングする乾式プラズマ・エッチング法 | |
US10515816B2 (en) | Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch) | |
US9870899B2 (en) | Cobalt etch back | |
US20220376174A1 (en) | Chemical etch nonvolatile materials for mram patterning | |
TWI855118B (zh) | 用於磁阻式隨機存取記憶體圖案化的化學蝕刻非揮發性材料 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20190417 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20190417 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20200611 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20200623 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20200914 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20201110 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20201203 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 6804864 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |