TWI762876B - 乾電漿蝕刻方法以使磁性隨機存取記憶體堆疊圖案化 - Google Patents

乾電漿蝕刻方法以使磁性隨機存取記憶體堆疊圖案化 Download PDF

Info

Publication number
TWI762876B
TWI762876B TW109105630A TW109105630A TWI762876B TW I762876 B TWI762876 B TW I762876B TW 109105630 A TW109105630 A TW 109105630A TW 109105630 A TW109105630 A TW 109105630A TW I762876 B TWI762876 B TW I762876B
Authority
TW
Taiwan
Prior art keywords
substrate
layer
processing
gas
halogen
Prior art date
Application number
TW109105630A
Other languages
English (en)
Other versions
TW202025525A (zh
Inventor
暹華 陳
金台昇
楊文兵
傑弗瑞 馬克思
托爾斯滕 立爾
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202025525A publication Critical patent/TW202025525A/zh
Application granted granted Critical
Publication of TWI762876B publication Critical patent/TWI762876B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N35/00Magnetostrictive devices
    • H10N35/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/10Magnetoresistive devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • H10N50/85Magnetic active materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Hall/Mr Elements (AREA)
  • Mram Or Spin Memory Techniques (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

提供蝕刻金屬的方法,其係藉由沉積與待蝕刻之金屬及鹵素反應的材料以形成揮發性物種,及將基板曝露於含鹵素的氣體及活化氣體以蝕刻基板。沉積的材料可包含矽、鍺、鈦、碳、錫、及其組合。方法係適合用於製造MRAM結構且可包含整合的ALD及ALE製程而不破壞真空。

Description

乾電漿蝕刻方法以使磁性隨機存取記憶體堆疊圖案化
本發明關於金屬蝕刻方法,特別是關於藉由沉積與待蝕刻金屬和鹵素反應之材料以形成揮發物種以及將基板曝露於含鹵素的氣體及活化氣體以蝕刻基板的金屬蝕刻方法。
半導體製造製程包括各種材料(包含金屬和金屬合金)的蝕刻。然而,隨著裝置縮小及各種類型結構的製造變得更複雜,一些蝕刻的副產物可在基板之其他曝露的區域之上再沉積,其可能導致缺陷及最終裝置失效。因此,關注其他蝕刻技術。
本文提供處理基板的方法。一個實施態樣包含一種方法,其包含:(a)將位在腔室內的一基板曝露於含鹵素的氣體以改質該基板的一表面,(b)將該基板曝露於一活化氣體及一活化源,以蝕刻在該基板上的一或多層,及(c)在(a)及(b)期間,對該腔室提供一反應性材料,該反應性材料係與該含鹵素的氣體及在該基板上之該一或多層的材料兩者反應以形成一揮發性的物種。
在一些實施例中,在(c)中的反應性材料係含矽材料、含鈦材料、含鍺材料、含錫材料、含碳材料、及/或其組合。含鹵素的氣體可為Cl2 BCl3 、BBr3 、BI3 、F2 、BF3 、Br2 、I2 、及其組合的任一者。在各種實施例中,含鹵素的氣體係鹵化物氣體。
在各種實施例中,(a)及(b)係以兩個以上循環加以重複。在一些實施例中,(a)-(c)係在沒有破壞真空的情況下加以執行。在一些實施例中,(c)減輕含金屬之副產物的再沉積。
基板之該一或多層的材料可為第IV週期過渡金屬、第V週期過渡金屬、第VI週期過渡金屬、及其組合的任一者。在一些實施例中,基板之該一或多層的材料包含介電材料。該金屬及該介電材料可為在該基板上的相鄰層。例如:在一些實施例中,該介電材料係MgO且該介電材料係毗鄰包含CoFe的層及包含CoPt的層兩者。在一些實施例中,該方法進一步包含(d)將該金屬層蝕刻至介於約0 Å和約10 Å之間的剩餘厚度;及(e)在蝕刻該金屬層之後,藉由將該基板曝露於該活化氣體及該活化源且沒有將該介電材料曝露於該含鹵素的氣體,蝕刻該介電材料。在(e)中的該活化氣體可為氬、二氧化碳、氨、含氫氣體、及其組合的任一者。
在各種實施例中,該反應性材料係含鈦材料且係氧化鈦或氮化鈦。該反應性材料可為含矽材料且可為氮化矽、氧化矽或矽的任一者。
在各種實施例中,該兩個以上循環蝕刻第一組金屬層及一介電層,且其中,在蝕刻該介電層之後且在蝕刻該介電層下方的第二組金屬層之前執行(c)。在一些實施例中,在(a)及(b)係以兩個以上循環加以重複之後重複(c)。
該活化源可為電漿且該電漿的功率在(c)期間可在約500 W和約1500 W之間。
該反應性材料可由電漿增強化學氣相沉積加以沉積。在一些實施例中,該反應性材料係由原子層沉積加以沉積。該反應性材料可保形地加以沉積。在各種實施例中,該反應性材料係由自限反應加以沉積。在各種實施例中,該含鹵素的氣體在(a)期間使該基板的表面實質上飽和。
反應性材料可在(c)期間使該基板的表面實質上飽和。在一些實施例中,反應性材料在蝕刻期間保留在該基板之特徵部的側壁上。該反應性材料可在蝕刻期間保護該基板之該一或多層的其中至少一者。在一些實施例中,反應性材料係沉積至在約3 nm和約6 nm之間的厚度。
在各種實施例中,基板係加以蝕刻以形成MRAM結構。
該方法可進一步包含在(c)期間以小於約100 Vb的功率施加偏壓。活化源係可為電漿、離子束蝕刻、及熱活化組成的任一者。
在一些實施例中,該方法進一步包含濕蝕刻該基板。該方法可進一步包含藉由反應性離子蝕刻的蝕刻步驟。在一些實施例中,反應性材料係藉由提供一固體矽來源提供至該腔室。
在各種實施例中,該方法進一步包含(d)在執行(a)和(b)之前,藉由在該基板上方由電漿增強化學氣相沉積保形地沉積氮化矽層而執行(c);及(e)在(d)之後,以兩個以上循環重複(a)及(b),其中,在(a)中的該含鹵素的氣體係BCl3 和Cl2 的組合。該方法可進一步包含(f)當毗鄰介電層的金屬層已蝕刻至在約0 Å和約10 Å之間的剩餘厚度時,藉由保形地沉積與該含鹵素的氣體及在該基板上之該一或多層的材料兩者反應的材料而執行(c),以形成揮發性的物種;(g)使用活化氣體濺鍍該基板而沒有將該基板曝露於含鹵素的氣體,以蝕刻包含MgO的介電層;及(h)在(g)之後,以兩個以上循環重複(a)及(b)以蝕刻該一或多層的其中至少一者。該一或多層可包含含鈷材料。
另一實施態樣包含一種方法,該方法包含(a)提供一基板,其包含一層以上金屬層、自由層、介電阻障層、及固定層,其中,該介電阻障層係在該自由層和該固定層之間,且該自由層、該介電阻障層、及該固定層係在該一層以上金屬層之間,(b)將該基板曝露於含矽氣體及還原劑以在該基板上沉積含矽材料,(c)將該基板曝露於含鹵素的氣體一段持續時間,該持續時間足以使該基板的表面實質上飽和,及(d)將該基板曝露於活化氣體以蝕刻該基板。
該方法可進一步包含:在蝕刻實質上所有自由層之後且在曝露該介電阻障層之前重複(b);使用無鹵素的化學品蝕刻該介電阻障層;及在蝕刻該介電阻障層之後重複(c)及(d)以蝕刻該基板。
另一實施態樣包含用於處理包含一或多層之基板的設備,該設備包含:(a)一個以上處理腔室,每一處理腔室包含一卡盤;(b)進入該等處理腔室的一個以上氣體入口及相關的流量控制硬體;及(c)具有至少一處理器及一記憶體的一控制器,其中該至少一處理器及該記憶體係彼此通訊連接,該至少一處理器係至少與該流量控制硬體操作上連接,及該記憶體儲存電腦可執行之指令,用於控制該至少一處理器以至少控制該流量控制硬體進行:(i)流動含鹵素的氣體一段持續時間,該持續時間足以使該基板的表面實質上飽和;(ii)流動活化氣體且活化電漿以蝕刻該基板的該一或多層;及(iii)在(i)及(ii)期間,對該腔室提供反應性材料,該反應性材料係與該含鹵素的氣體及在該基板上之該一或多層的材料兩者反應以形成一揮發性的物種,其中(i)-(iii)係在沒有破壞真空的情況下加以執行。
在各種實施例中,用於提供與該含鹵素的氣體及在該基板上之該一或多層的材料兩者反應以形成一揮發性的物種之該指令,進一步包含:用於沉積諸如含矽材料、含鈦材料、含鍺材料、含錫材料、含碳材料、及其組合的一材料。該含鹵素的氣體可為Cl2 BCl3 、BBr3 、BI3 、F2 、BF3 、Br2 、I2 、及其組合的任一者。在一些實施例中,該含鹵素的氣體係鹵化物氣體。在一些實施例中,該記憶體進一步包含:用於在(i)及(ii)以兩個以上循環加以執行之後重複(iii)之指令。
這些及其他實施態樣係參照圖示進一步描述於下。
為了透徹理解本發明的實施例,在以下的敘述中說明眾多具體細節。所揭示的實施例可以不具有某些或全部這些具體細節加以實施。另一方面,未詳細說明眾所周知的製程操作,以不要不必要地模糊所揭示的實施例。雖然所揭示的實施例將結合具體的實施例加以描述,但可理解其係非意圖限制所揭示的實施例。
在半導體晶圓處理期間,特徵部可被蝕刻穿過含金屬層。在磁性隨機存取記憶體(MRAM)的形成中,複數個薄金屬層或膜可依序加以蝕刻以形成磁性穿隧接面堆疊。
磁性穿隧接面(MTJ)係由在兩個磁性材料之間的薄介電阻障層所構成。電子藉由量子穿隧方法穿過阻障。此可作為用於基於磁性之記憶體的基礎。
自旋轉移力矩係在MTJ中的磁性層之定向可使用自旋極化電流加以修改的效果。電荷載體(例如電子)具有被稱為自旋的特性,其係載體固有之小量的角動量。電流係通常未極化(50%上自旋及50%下自旋的電子)。藉由將電流通過厚的磁性層(通常稱「固定層」),具有較多任一自旋之電子的自旋極化電流可加以產生。若此自旋極化電流係被引導進入第二、較薄的磁性層(「自由層」),則角動量可被轉移至此層,改變其定向。此效果可用以激發振盪或甚至翻轉磁體的定向。
自旋轉移力矩可用以翻轉磁性隨機存取記憶體中的主動元件。自旋轉移力矩磁性隨機存取記憶體(STT-RAM或STT-MRAM),與使用磁場以翻轉主動元件的傳統磁阻隨機存取記憶體(MRAM)相比,具有較低功率消耗及較佳擴縮性的優點。自旋轉移力矩技術具有潛力使結合低電流需求及降低成本的MRAM裝置成為可能。Ralph, D. C.; Stiles, M. D. (April 2008). "Spin transfer torques". Journal of Magnetism and Magnetic Materials 320 (7): 1190–1216.
一個示例的MTJ堆疊係在圖1加以提供。圖1顯示堆疊100,其包含氧化矽蝕刻停止層101、氮化鉭阻障層103、釕金屬層105、固定層107、介電層109、自由層111、鉭層113、及另一釕金屬層115。MTJ堆疊120如圖中所示係由自由層111、介電層109、及固定層107所構成。注意雖然特定的化學品係在圖中加以顯示,但其他適合的化學品可存在於這樣的堆疊中。自由層及固定層可包含金屬及/或金屬合金,諸如鈷鐵、或鈷鉑。
在自旋轉移力矩磁阻隨機存取記憶體(STT MRAM)的高密度擴縮性中尚未克服之關鍵挑戰的其中一者係MRAM堆疊的圖案化。MRAM堆疊包含非揮發及鐵磁材料(諸如Co、Fe、Mn、Ni、Pt、Pd、Ru),在不使用離子束蝕刻(IBE)、反應性離子蝕刻(RIE)及濕化學品之複雜方法的情況下,圖案化該等材料係極度困難的。儘管多年的發展,目前的圖案化技術仍受限於許多缺點,諸如造成推拔輪廓及MTJ對固定層的短路的側壁再沉積、及造成MTJ層損壞的腐蝕。在一些傳統的技術中,含氯化學品係用以蝕刻金屬,但蝕刻的副產物包含非揮發性的化合物,其可隨後在特徵部的側壁之上再沉積。
此揭示內容提供一種乾電漿蝕刻系統,以在沒有側壁再沉積及對曝露的層之損壞的情況下蝕刻非揮發性金屬。例如,所揭示的實施例可用以在沒有損壞MTJ層的情況下蝕刻非揮發性的MRAM金屬。提供針對MRAM金屬形成揮發性蝕刻產物的化學品,且提供方法遞送反應物實質上化學計量的量以供化學反應及從金屬蝕刻前緣精確移除蝕刻副產物。後者涉及ALD-ALE(原子層沉積-原子層蝕刻)方法,其沉積固態的反應物且以可預測的蝕刻速率蝕刻改質的表面。為了達成精確之蝕刻反應物的遞送及蝕刻產物的移除,可使用結合ALD及ALE的方法。在一些實施例中,反應物係使用其他方法(諸如電漿增強化學氣相沉積(PECVD))加以沉積。
ALD係使用連續自限反應沉積薄材料層的一種技術。ALD可使用任何合適的技術加以執行。「ALD循環」的概念係相關於本文各種實施例的討論。通常,ALD循環係用以執行表面沉積反應一次之操作的最小集合。一個循環的結果是在基板表面上產生至少一個部分保形的層。通常,ALD循環包含操作以遞送及吸附至少一種反應物至基板表面上,且接著將吸附的反應物與一個以上反應物反應以形成膜之部分的層。循環可包含某些輔助操作,諸如掃除反應物或副產物的其中一者及/或處理初沉積之該部分的膜。通常,一個循環包含操作序列的其中一個階段。作為一個例子,ALD循環可包含下列操作:(i)遞送/吸附前驅物至腔室,(ii)自腔室沖洗前驅物,(iii)第二反應物及電漿的遞送,及(iv)自腔室沖洗電漿。
ALE係使用連續自限反應移除薄材料層的一種技術。通常,ALE可使用任何合適的技術加以執行。「ALE循環」的概念係相關於本文各種實施例的討論。通常,ALE循環係用以執行蝕刻製程一次之操作的最小集合,諸如蝕刻單層。一個循環的結果是基板表面上之一薄層的固定及可預測的量係加以蝕刻。通常,ALE循環包含改質操作以形成改質層,接著進行一移除操作以僅移除或蝕刻此改質層。該循環可包含某些輔助操作,諸如掃除反應物或副產物的其中一者。通常,一個循環包含操作序列的其中一個階段。作為一個例子,ALE循環可包含下列操作:(i)遞送反應氣體至腔室,(ii)自腔室沖洗反應氣體,(iii)選用性的電漿及移除氣體之遞送,及(iv)腔室的沖洗。在一些實施例中,蝕刻可非保形地加以執行。
根據所揭示的方法,可實現精確蝕刻速率的控制、無損壞的MTJ、及MRAM特徵部的保形性及蝕刻均勻性。如本文所述,通常,揮發性的蝕刻副產物可藉由將材料(諸如Si)與鹵素(諸如Cl)和金屬離子以實質上化學計量的方式加以反應以形成諸如M-SiClx 的物種而加以形成,其中M可為Co、Fe、Mn、Ni、Pt、Pd、或Ru。在一些實施例中,在矽基團(-SiClx )附接於金屬的情況下,M-SiClx 物種的熔點/沸點係顯著地降低,且分壓係顯著地升高,尤其在真空狀態下。
反應物之實質上化學計量的量之使用避免不利的製程影響。例如,若在電漿中有過多的Si通量,則可能發生Si沉積,此可防止諸如M-SiClx 的物種在反應中加以形成。相反地,例如,若Si太少,則抑制蝕刻速率,因為M-SiClx 物種的形成係被阻礙。相似地,加入過量的Cl至金屬表面造成金屬氯化物(諸CoCl2 或FeCl3 )的形成,其係非揮發性的(具有超過1200℃的沸點)。
根據一個實施例,與含鹵化物及/或鹵素的氣體及金屬反應以形成揮發性物種之實質上化學計量的量之材料係在腔室中藉由ALD製程在金屬(例如CoFe)表面之上加以沉積。實例包含SiN、SiO2 、Si、或TiO2 。ALE製程使用呈Cl2 或BCl3 之形式的Cl活化沉積的Si層及金屬表面。過量的Cl2 係接著泵出腔室。在一些實施例中,Ar的解吸附可加以執行,其轟擊以及進一步活化氯化的表面以允許揮發性的金屬矽基物種之形成,該揮發性的金屬矽基物種係接著被泵出腔室。當Si及Cl的反應物係化學計量地加以匹配時,蝕刻速率達到最大值。在一些實施例中,ALE及ALD的操作可在沒有破壞真空的情況下(包括在相同的腔室中或在工具的不同腔室模組中)加以進行。
所揭示的實施例現在係參照一些特定的實施例更詳細地加以說明。圖2根據所揭示的實施例提供用於執行操作的製程流程圖。圖3A-3G提供根據所揭示的實施例蝕刻之示例堆疊的示意說明。圖4A-4F提供根據所揭示的實施例用於蝕刻之示例機制的示意說明。注意雖然在圖4A-4F中提供的例子描繪金屬層的蝕刻,但所揭示的實施例可用以蝕刻各種材料,包含半導電的、導電的及介電的材料。此外,圖4A-4F描繪一種示例機制,且應理解本揭示內容或申請專利範圍的範疇係非由操作的任何特定理論加以限制。這些圖示將一起討論。
參照圖2,在操作202中,基板係加以設置。基板可為矽晶圓(例如:200 mm的晶圓、300 mm的晶圓、或450 mm的晶圓),其包含具有一或多層材料(諸如介電的、導電的、或半導電的材料)沉積於其上的晶圓。在各種實施例中,基板係加以圖案化。圖案化的基板可具有「特徵部」,諸如柱、桿、溝槽、通孔或接觸孔,其特徵在於窄及/或內凹的開口、在特徵部之內的縊縮部(constrictions)、及高深寬比的其中一者以上。特徵部可在上述的一或多個層中加以形成。特徵部的一個例子係在半導體基板或在基板上之層中的柱或桿。另一例子係在基板或層中的溝槽。在各種實施例中,特徵部可具有下層,諸如阻障層或黏著層。下層之非限制性的例子包含介電層及導電層,例如:矽氧化物、矽氮化物、矽碳化物、金屬氧化物、金屬氮化物、金屬碳化物、及金屬層。
在一些實施例中,諸如柱的特徵部可具有至少約1:1、至少約2:1、至少約4:1、至少約6:1、至少約10:1、或更高的深寬比。特徵部亦可具有接近開口的尺寸,例如,在約10 nm至500 nm之間的開口直徑或線寬度,例如在約25 nm至約300 nm之間。所揭示的方法可在基板上加以執行,其中特徵部具有小於約150 nm的開口。通孔、溝槽、或其他凹入的特徵部可稱為未填充的特徵部或特徵部。根據各種實施例,特徵部輪廓可逐漸變窄及/或包含在特徵部開口的外伸部(overhang)。內凹的輪廓係從特徵部之底部、封閉端、或內部往特徵部開口變窄的輪廓。內凹的輪廓可藉由在圖案化期間不對稱的蝕刻動能及/或在先前膜沉積(諸如擴散阻障的沉積)中非保形的膜階梯覆蓋所造成的外伸部加以產生。在各種實施例中,在特微部之頂部的開口之寬度小於特徵部的底部之寬度。
在一些實施例中,圖案化的基板在基板各處可包含各種地形。在一些實施例中,部分製造的閘極可存在於基板上。在各種實施例中,基板可包含在稍後處理中適合用於蝕刻MRAM堆疊的金屬、介電材料、及半導體材料的層。例如,一些基板可包含MRAM設計,在其中記憶體元件包含MTJ。如本文別處所述,MTJ記憶體元件包含由薄穿隧阻障分開的兩個電極。此兩個電極可為鐵磁薄膜層,其可為橢圓形。在一些實施例中,MTJ記憶體元件包含額外的磁性層。例如,MTJ記憶體元件可進一步包含夾著薄金屬層的一對鐵磁層──這些鐵磁層可稱為合成的反鐵磁體及反鐵磁層。可使用本文描述的方法在基板上加以製造之示例MRAM記憶體元件之形狀和設計的進一步描述係在公開於“Semiconductor Manufacturing Magazine”第90-96頁由Ditizio, Robert等人發表的論文“Cell Shape and Patterning Considerations for Magnetic Random Access Memory (MRAM) Fabrication”中加以提供。
返回至圖2,在操作202期間,基板可使用濕蝕刻加以製備。例如,在圖3B中,濕蝕刻係加以執行以蝕刻穿過在基板上的第一金屬層313。在一些實施例中,不執行濕蝕刻。
圖3A顯示可存在於如本文所述之基板上之MRAM堆疊的例子。注意雖然每個堆疊的示例化學品係標記在圖上,但可存在任何其他合適的材料,其取代或結合所提供的化學品。例如,所揭示的實施例可用以蝕刻用於不同圖案(例如非MRAM圖案)的材料。注意雖然示例的層係在圖3A-3G中加以描繪,但所揭示的實施例可用以蝕刻表面上的其他材料,且同時減輕在基板的元件之上非揮發性副產物的再沉積。
基板300包含SiO2 的蝕刻停止層301。注意該蝕刻停止層301可在基板300中之其他層(未顯示)的頂部上。氮化鉭(TaN)的薄阻障層303係在此堆疊中之蝕刻停止層301的頂部上。在TaN阻障層303的頂部上係包括釕(Ru)的金屬層305。Ru金屬層305在一些實施例中可具有約8 nm的厚度。在Ru金屬層305的頂部上係金屬或金屬合金層307,其可包含鈷鉑(CoPt)。在一些實施例中,金屬合金層307可包含PtMn。如本文所使用,金屬合金層307可稱為「固定層」307。固定層307在一些實施例中可具有約10-30 nm的厚度。圖3A亦顯示介電阻障層309,其可包含氧化鎂(MgO)。介電阻障層309此處可稱為「介電層」309。在一些實施例中,介電層309可為相當薄,例如具有約1.5 nm以下的厚度。
在介電層309的頂部上係金屬合金層311,其可包含鈷鐵(CoFe)。金屬合金層311可包含CoFeB。金屬合金層311此處可稱為「自由層」。在自由層311的頂部上係鉭(Ta)阻障層313。在鉭阻障層313的頂部上係Ru金屬層315。在本文所揭示的實施例中,Ru金屬層315可稱為「第一金屬層」,而Ru金屬層305可稱為「第二金屬層」。鉭硬遮罩317可加以沉積且蝕刻成諸如圖3A所顯示的圖案。注意硬遮罩317可能不一定是鉭硬遮罩。例如,其他合適的硬遮罩包括含碳的硬遮罩、含氮的硬遮罩、及含氧的硬遮罩。
返回至圖2,在操作204,材料係在基板上保形地加以沉積。該材料係與含鹵化物及/或鹵素的氣體及基板上之該等層的材料加以反應以形成揮發性的物種。在一些實施例中,該材料係與一或多種含鹵化物及/或鹵素的氣體及基板上該等層的一或多種材料加以反應以形成揮發性的物種。例如,該材料可與含鈷的金屬及BCl3 和Cl2 的混合物加以反應以形成揮發性的物種。該材料提供材料的來源以形成揮發性的物種以及在基板上的保護層兩者。用於下面敘述的目的,此保形材料可稱為「保護層」,但應理解保護層包含材料,其與含鹵化物及/或鹵素的氣體及基板上的材料加以反應以形成揮發性的物種且可為保形的。
在操作202中沉積的保護層包含能夠與金屬鹵化物形成揮發性物種的一種元素。該保護層可包含任何第IV族的元素。例如,保護層可為含矽層、含鈦層、含鍺層、含錫層、含碳層、或其組合。示例的含矽層包含氧化矽、氮化矽、非晶形矽、多晶矽、及其混合物。示例的含鈦層包含氧化鈦、氮化鈦、鈦、及其混合物。在一些實施例中,保護層係介電材料。
該保護層可藉由任何合適的方法加以沉積,包括化學氣相沉積(CVD)、電漿增強CVD(PECVD)、原子層沉積(ALD)、電漿增強ALD(PEALD)、旋塗沉積、及濺鍍。在一些實施例中,保護層提供材料的來源,該材料用於與一鹵化物及/或鹵素及一含金屬化合物加以反應以形成揮發性的物種。例如,矽來源可引至MRAM表面之上,用於ALD以外之方法的反應,諸如使用諸如SiH4 、SiCl4 的氣體或使用諸如正矽酸鹽化合物(例如TEOS、SOG及HMDS)之液體的PVD、PECVD或旋塗製程。
例如,保護層可由PECVD加以沉積。一個例子包含將基板同時曝露於含矽前驅物及含氮反應物以及電漿。例如,基板可同時曝露於矽烷及氮電漿。能夠沉積具有任何上述化學品的保護層之任何適合的前驅物及反應物可用以沉積保護層。
在圖3C中,保護層320係顯示為沉積在基板300上。在一些實施例中,此可為第一保護層(例如,在一些操作中亦可沉積另一保護層320)。注意在圖示中,保護層320係保形的。在一些實施例中,保護層320不需為保形的。在一些實施例中,保護層320的部分可為犧牲層。
圖4A係基板400的一部分之示意說明的另一例子。在此例子中,基板400包含金屬層411,其可包含例如Co、Fe、Mn、Pd、Pt、其合金、及其組合。在此,釕第一金屬層已加以濕蝕刻,而含矽保護層420(例如Si來源)已在鉭硬遮罩及金屬層411上方加以沉積。注意雖然類似於圖3C,但圖4A的基板為了說明的目的不包括鉭阻障層。雖然特定的層之例子係在圖4A中加以描繪,但任何合適的金屬可在硬遮罩底下,且任何硬遮罩的成分可能存在。此外,任何合適的保護層420可在所揭示的實施例中加以使用,且此等保護層係非限於如圖4A中顯示的含矽層。
返回至圖2,在操作206期間,基板係曝露於含鹵素的反應物以改質基板的表面。含鹵素的反應物可包括含硼鹵素氣體、含鹵素的氣體、鹵化物氣體、及其組合。實例包含BCl3 、BBr3 、BI3 、Cl2 、F2 、Br2 、及I2 。氣體的組合之一個例子可為BCl3 /Cl2 。含鹵素的反應物可與保護層加以反應及/或吸附在保護層之上。例如,矽的保護層可與含鹵素的反應物加以反應以在基板的表面上形成鹵化矽。注意在一些實施例中,含鹵素的反應物可飽和基板的至少約90%,或基板的至少約99%。在一些實施例中,含鹵素的反應物可保形地吸附在基板的表面之上。在一個例子中,氯原子及/或分子可吸附在含矽保護層的表面之上。
圖4B顯示來自Cl2 的氯分子450a與保護層420反應且吸附至保護層420的表面以在表面上形成吸附層450b的示例示意性圖示。圖4B的示例基板400顯示描繪方向之箭頭,Cl2 分子450a以該方向朝基板400的表面移動以吸附至基板400的表面之上或與其反應。偏壓可以小於約100 Vb、或小於約60 Vb(例如約50 Vb)的功率加以供應。
在圖2的操作208期間,基板係曝露於活化氣體以蝕刻基板之改質的表面。在各種實施例中,活化氣體可包含一種以上惰性氣體,諸如氬、二氧化碳、氨、含氫氣體、及其組合。在操作208期間,諸如電漿的活化來源係加以產生以活化氣體及蝕刻基板。在圖2的操作208期間,亦與偏壓蝕刻的方向垂直之具有吸附的含鹵素化合物的表面可完全加以蝕刻。在一些實施例中,可施加低的偏壓以定向地蝕刻基板。例如,該偏壓可以小於約100 Vb(例如約50 Vb)的功率加以供應。電漿的功率可在約500 W和約1500 W之間。
在圖4C中,包含氯化矽470之蝕刻的化合物係自鉭硬遮罩的場區(field region)之水平表面移除,且同時移除沉積的保形或保護層420以暴露露出的金屬層411。注意如圖4C所示,在硬遮罩及第一金屬層(此處分別為Ta和Ru)的側壁上沉積的一些保護層保持在側壁上。此殘餘的保護層可作為一層,以連續地保護硬遮罩免於被來自蝕刻反應的任何潛在副產物加以破壞或劣化。
因此,在執行操作206和208之後圖3C的基板可具有圖3D所描繪的結構。定向的蝕刻可加以執行,使得如圖3D中顯示的一些保護層322保留在特徵部的側壁上,而下方的一或多個層(例如,鉭阻障層313及大部分的CoFe自由層311)係加以蝕刻。注意在各種實施例中CoFe自由層311係非完全地加以蝕刻以防止介電層309被輕易地蝕刻且蝕刻進入特徵部的側面。舉例來說,在基板包括包含毗鄰自由層311之MgO的介電層309之情況下,自由層311可加以蝕刻使得在約0 Å和約10 Å之間的自由層311保留在基板上。注意在各種實施例中,基板係藉由循環地執行各種操作被蝕刻穿過這些層。
例如,如圖2所示,在操作210中,操作206-208可選用性地加以重複。在一些實施例中,重複206和208可構成一個循環。例如,在一些實施例中,操作206和208可重複兩個以上的循環。每個循環可加以執行以每循環蝕刻介於約1 Å和約10 Å之間的厚度,諸如每循環約6 Å。因此,在一些實施例中,圖3C顯示的基板可使用操作206和208的循環加以蝕刻,以蝕刻穿過基板的表面,該表面垂直於藉由施加偏壓而執行之定向蝕刻的方向。例如,如圖3C所示,操作206和208可加以重複以蝕刻穿過保形或保護層320、鉭阻障層313、及大部分的CoFe/CoFeB自由層311。如上所述,操作206和208的循環可在完全蝕刻CoFe/CoFeB自由層311之前加以停止以保護MgO介電層309,或可繼續以完全蝕刻穿過MgO介電層309。
在圖3E中,操作208可在沒有將基板曝露於含鹵素之氣體的情況下加以執行,以蝕刻穿過CoFe/CoFeB自由層311的薄層且蝕刻MgO介電層309。在一些實施例中,操作208係藉由將基板曝露於無鹵素的氣體加以執行。在各種實施例中,氬氣係使用偏壓加以濺射以蝕刻介電層,以便在介電層上方不施行高反應性(harsh)的蝕刻化學品。在一些實施例中,塗佈至介電層之高反應性的蝕刻化學品可能導致介電層在遮罩下面受到蝕刻,從而造成潛在的劣化及元件失效的問題。在一些實施例中,在介電蝕刻期間施加偏壓。例如,偏壓可以小於約100 Vb(例如約50 Vb)的功率加以供應。
圖4D-4F顯示示例蝕刻機制的示意說明,該機制從圖4C繼續使得圖2的操作206和208係在操作210中加以重複。圖4D顯示重複操作206之情況下的基板400。在金屬層411在圖4C係加以曝露之後,基板在圖4D係曝露於Cl2 450a以改質基板的表面。如圖所示,Cl2 可吸附在基板400的表面之上,或可與基板的表面反應以形成氯的吸附層450b。注意由於保護層420保持在來自先前含矽材料之沉積的側壁上,一些氯450b可吸附在保護層420之上或與保護層420加以反應,而一些氯450b可吸附在金屬表面411之上或與金屬表面411加以反應。
圖4E顯示重複操作208之情況下的基板。如圖所示,氬(例如活化氣體)440係被引至基板且電漿係加以點燃以蝕刻基板。在各種實施例中,施加偏壓以定向地蝕刻基板,如圖4E中的箭頭所示。含矽保護層420的存在與吸附的氯450b和來自金屬表面411之金屬形成錯合物475。注意並非所有的錯合物475可能具有相同的化學結構。然而在此例子中,保護層420提供矽以形成揮發性的物種475,其當處理基板時可自腔室加以沖淨。在各種實施例中,保護層420可額外地或替代地包含其他材料(諸如鈦、鍺、及其他材料),其與金屬及鹵化物及/或鹵素氣體反應以形成揮發性的物種。
在一些實施例中,在Si輔助ALE蝕刻期間,SiO2 /SiN保護層(諸如圖4A的保護層420)係在MRAM金屬表面之上加以沉積。Si摻雜的金屬表面(如圖4B所示)係接著使用BCl3 /Cl2 氣體(諸如氯分子450a)加以活化以形成氯的吸附層(450b)。氬氣(440)的定向Ar離子射束轟擊改質層且在過程中斷鍵且允許形成新鍵,且最終將揮發性蝕刻產物(M-SiClx )(475)解吸附成為氣態。
綜上所述,一個示例活化反應可能如下:
Figure 02_image001
(1)
一個示例解吸附/重組反應可能如下:
Figure 02_image003
(2)
M-SiClx 錯合物係穩定的和揮發性的,且可在Ar濺射解吸附下存活而沒有分解成金屬形式。因此,減少或避免在側壁上的再沉積。
所揭示的實施例包含沉積與一含鹵化物及/或鹵素的氣體及一金屬反應的一種材料以形成揮發性物種。實例包括含矽材料、含鈦材料、含鍺材料、含錫材料、含碳材料,及其組合。在一些實施例中,沉積的材料可為含矽材料,諸如非晶形矽、多晶矽、氧化矽、或氮化矽。在一些實施例中,沉積的材料可為鈦或氧化鈦。
所揭示的實施例係亦適合用於蝕刻固定層:CoPt、CoPd、PtMn、及各種其他材料,包含Co、Fe、Mn、Pt、Ru、及Ta。此等原理係適用於所有其他在第1、第2、及第3列的過渡金屬(例如第IV、V、及VI週期的過渡金屬),包括諸如Cu的金屬。其他穩定的氣態錯合物物種,諸如在相同的第IV族中(例如Ge和Sn),可展現相同的特性。
除了Si,類似的揮發性蝕刻產物亦可與週期表中第IV族的其他元素(諸如C、Ge、Sn)一起形成,以在電漿中形成穩定且揮發性的物種,諸如M-CClx 、M-GeClX、及M-SnClX。這些反應物可加以引入,作為鹵化物氣體(諸如CClX、SiClX、GeClX、及SnClX(其中X是鹵素,例如:具有各種化學計量的F、Cl、或Br))或允許這些元素在MRAM金屬表面之上沉積的其他來源。
揮發性金屬蝕刻產物的活化、形成及解吸附可由除了ALE以外的方法加以執行:諸如反應性離子蝕刻(RIE)、電子迴旋共振蝕刻(ECR)、或熱解吸附及UV處理的該等方法亦可在一些實施例中加以使用。所揭示的實施例亦可與濕蝕刻及/或反應性離子蝕刻製程加以整合。
圖4F顯示在一層金屬表面411已被蝕刻之後的基板。注意當操作206和208係以各種循環加以執行時,保護層420其中一些可能被蝕刻。
因此,在圖2的操作212中,操作204-210可選用性地加以重複,使得操作204形成第一保形材料,且操作204的重複執行形成在基板上沉積的第二保形材料,以進一步提供與含鹵化物及/或鹵素的氣體及在基板上的金屬反應以形成揮發性物種的材料。
圖3F顯示對應於操作212之基板的一個例子。如圖所示,重複操作204以在基板上進一步沉積第二保護層324。此保護層324可用於進一步保護Ta阻障層313、CoFe自由層311、及蝕刻的MgO介電層309。
因此,操作206和208亦可循環地加以重複直到基板的其餘部分係蝕刻至蝕刻停止層。圖3G顯示循環地重複操作206和208以蝕刻穿過CoPt固定層307、第二Ru金屬層305及TaN阻障層303的情況下之蝕刻的基板。注意基板300顯示側壁上的剩餘保護層322和324。在各種實施例中,這些層可在製造堆疊之後加以減少或移除。在一些實施例中,當執行所揭示的實施例時,這些層的一些或部分亦可加以蝕刻。
雖然本揭示內容係決非受限於理論,但吾人相信MRAM金屬(例如Co、Fe、Mn、Pd、及Pt)的沉積蝕刻機制可如下加以進行。該機制包含這些金屬的乾化學蝕刻而在Cl(例如由BCl3 及/或Cl2 提供)及Ar的ALE期間在側壁上沒有藉由矽的引入而再沉積金屬。如上所述,不受任何特定理論限制,吾人相信Si或與含鹵化物及/或鹵素的氣體及金屬反應的其他材料之存在,造成揮發性蝕刻產物(諸如Co-SiClx 或Fe-SiClx )的形成,該揮發性蝕刻產物在蝕刻腔室中具有高的分壓且可輕易地被抽走。 設備
現在描述電感式耦合電漿(ICP)反應器,其在某些實施例中可適合用於原子層蝕刻(ALE)操作及原子層沉積(ALD)的操作。此等ICP反應器亦在於西元2013年12月10日申請之美國專利申請公開號第2014/0170853號中加以描述,該美國專利申請案的標題為“IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING”,其全部內容於此藉由參照及為了所有目的納入本案揭示內容。雖然ICP反應器係在此處加以描述,但在一些實施例中,應理解亦可使用電容式耦合電漿反應器。
圖5示意性地顯示適合用於執行本文某些實施例之感應式耦合電漿整合蝕刻及沉積設備500的橫剖面圖,該設備的其中一個例子係Kiyo®反應器,由Lam Research Corp. of Fremont, CA所製造。該感應式耦合電漿設備500包含由腔室壁501及窗511結構上定義的整體處理腔室524。腔室壁501可由不銹鋼或鋁加以製造。窗511可由石英或其他介電材料加以製造。選用性的內部電漿格柵550將整體處理腔室分割成上子腔室502及下子腔室503。在大部分的實施例中,電漿格柵550可加以移除,從而使用由子腔室502和503構成的腔室空間。卡盤517係位在下子腔室503之內接近底部內表面。卡盤517係配置成接收及固持半導體基板或晶圓519,在該半導體基板或晶圓519上執行蝕刻及沉積製程。卡盤517可為靜電卡盤,用於當晶圓519存在時支撐晶圓519。在一些實施例中,邊緣環(未顯示)環繞卡盤517,且當晶圓519存在卡盤517上時,該邊緣環具有與晶圓519的頂部表面大致平坦的上表面。卡盤517亦包含用於夾持及解除夾持晶圓519的靜電電極。濾波器及DC箝位電源供應器(DC clamp power supply)(未顯示)可提供用於此目的。用於抬升晶圓519遠離卡盤517的其他控制系統亦可加以提供。可使用RF電源供應器523使卡盤517帶電荷。RF電源供應器523係藉由連接件527連接至匹配電路521。該匹配電路521係藉由連接件525連接至卡盤517。以此方式,RF電源供應器523係連接至卡盤517。
用於產生電漿的元件包含位在窗511之上的線圈533。在一些實施例中,線圈在所揭示的實施例中係未加以使用。線圈533係由導電材料製成,且包含至少一整圈。在圖5中顯示的線圈533之實例包含三圈。線圈533的橫剖面係以符號加以表示:具有「X」的線圈旋轉延伸進入頁面,而具有「●」的線圈旋轉延伸出頁面。用於產生電漿的元件亦包含RF電源供應器541,其配置成將RF功率供應至線圈533。通常,RF電源供應器541係藉由連接件545連接至匹配電路539。該匹配電路539係藉由連接件543連接至線圈533。以此方式,RF電源供應器541係連接至線圈533。選用性的法拉第屏蔽549係位在線圈533和窗511之間。該法拉第屏蔽549係相對於線圈533維持一間隔開的關係。該法拉第屏蔽549係設在窗511的正上方。線圈533、法拉第屏蔽549、及窗511係各自配置成實質上彼此平行。法拉第屏蔽549可防止金屬或其他物種沉積在處理腔室524的窗511上。
處理氣體(例如鹵化物氣體、含鹵素的氣體、氯、氬、四氯化矽、氧、氮等)可通過位在上子腔室502的一個以上主要氣體流入口560及/或通過一個以上側氣體流入口570流入至處理腔室。同樣,雖然未明確顯示,類似的氣體流入口可用以將處理氣體供應至電容式耦合電漿處理腔室。真空幫浦(例如一或二階段機械乾式幫浦及/或渦輪分子幫浦540)可用以將處理氣體泵出處理腔室524,且在處理腔室524之內維持壓力。例如,真空幫浦在ALD的沖洗操作期間可用以抽空下子腔室503。閥控制的導管可用以將真空幫浦流體連接至處理腔室524,以選擇性地控制由真空幫浦提供之真空環境的應用。此可在操作的電漿處理期間使用閉迴路控制的流量限制裝置(諸如節流閥(未顯示)或鐘擺閥(未顯示))加以進行。同樣,連接至電容式耦合電漿處理腔室的真空幫浦及閥控制流體連接件亦可加以使用。
在設備500的操作期間,一個以上處理氣體可通過氣體流入口560及/或570加以供應。在某些實施例中,處理氣體可僅通過主要氣體流入口560,或僅通過側氣體流入口570加以供應。在一些情況下,圖中顯示的氣體流入口可由更複雜的氣體流入口(例如一個以上噴淋頭)加以替換。法拉第屏蔽549及/或選用性的格柵550可包含允許將處理氣體遞送至處理腔室524的內部通道及孔洞。法拉第屏蔽549及選用性的格柵550之其中一者或兩者可作為用於遞送處理氣體的噴淋頭。在一些實施例中,液體汽化及遞送系統可位於處理腔室524的上游,使得一旦汽化液體反應物或前驅物,汽化的反應物或前驅物係通過氣體流入口560及/或570引入至處理腔室524。
射頻功率係從RF電源供應器541供應至線圈533,以造成RF電流流經線圈533。流經線圈533的RF電流在線圈533周圍產生電磁場。該電磁場在上子腔室502之內產生感應電流。各種產生的離子及自由基與晶圓519的物理及化學交互作用在晶圓519上蝕刻特徵部及沉積層。
若使用電漿格柵550使得有上子腔室502及下子腔室503兩者,則感應電流作用於存在上子腔室502中的氣體,以在上子腔室502中產生電子-離子電漿。選用性的內部電漿格柵550限制在下子腔室503中之熱電子的量。在一些實施例中,設備500係加以設計及操作,使得存在下子腔室503中的電漿係離子-離子電漿。
上電子-離子電漿及下離子-離子電漿兩者可包含正及負離子,雖然離子-離子電漿將具有較大之負離子比正離子的比率。揮發性的蝕刻及/或沉積副產物可自下子腔室503通過埠522加以移除。本文所揭示的卡盤517可以在約10℃和約250℃之間範圍之升高的溫度加以操作。溫度將取決於製程操作及特定的配方。
該設備500可耦接至安裝於潔淨室或製造設施中的設施(未顯示)。設施包含提供處理氣體、真空、溫度控制、及環境粒子控制的管路。當這些設施係安裝在目標製造設施中時,該等設施係耦接至設備500。此外,設備500可耦接至轉移腔室,該轉移腔室允許機器人使用典型自動化技術將半導體晶圓傳送進出設備500。
在一些實施例中,系統控制器530(其可包含一個以上物理或邏輯控制器)控制處理腔室524的一些或全部操作。系統控制器530可包含一個以上記憶體裝置及一個以上處理器。在一些實施例中,設備500包含切換系統,當執行所揭示的實施例時,該切換系統用於控制流率及持續時間。在一些實施例中,設備500可具有高達約500 ms、或高達約750 ms的切換時間。切換時間可取決於流量化學、選擇的配方、反應器結構、及其他因素。
在一些實施方式中,系統控制器530為系統的一部分,其可為上述例子的一部分。此等系統可包括半導體處理設備,其包含一個以上處理工具、一個以上腔室、用於處理的一個以上平臺、及/或特定處理元件(晶圓基座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板處理之前、期間、及之後控制這些系統的操作。電子設備可整合進系統控制器530,其可控制該一個以上系統之各種不同的元件或子部分。依據系統的處理參數及/或類型,系統控制器530可加以編程以控制此處揭示的任何製程,包含:處理氣體的遞送、溫度設定(例如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體遞送設定、位置及操作設定、出入一工具和其他轉移工具及/或與特定系統連接或介接的裝載鎖定部之晶圓轉移。
廣義地說,系統控制器530可定義為電子設備,具有各種不同的積體電路、邏輯、記憶體、及/或軟體,其接收指令、發布指令、控制操作、啟用清潔操作、啟用端點量測等。積體電路可包含儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一或多個微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)的形式與控制器通訊的指令,該等設定定義對於半導體晶圓或系統執行特殊製程的操作參數。在一些實施例中,該等操作參數可為由製程工程師定義之配方的部分,以在一或多個層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒製造或移除期間完成一或多個處理步驟。
在一些實施方式中,系統控制器530可為電腦的一部分或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他方式網路連至系統、或其組合。例如:控制器可為在「雲端」或晶圓廠主機電腦系統的整體或部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監測製造操作的當前進度,檢查過往製造操作的歷史,檢查來自複數個製造操作的趨勢或性能度量,以改變目前處理的參數,以設定目前操作之後的處理步驟,或啟動新的製程。在一些例子中,遠程電腦(例如:伺服器)可經由網路提供製程配方給系統,該網路可包含區域網路或網際網路。遠程電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠程電腦被傳遞至系統。在一些例子中,系統控制器530接收數據形式的指令,該數據明確指定於一或多個操作期間將被執行之各個處理步驟的參數。應理解參數可專門用於將執行之製程的類型與配置控制器以介接或控制之工具的類型。因此,如上所述,系統控制器530可為分散式的,諸如藉由包含一或多個分散的控制器,其由網路連在一起且朝共同的目的(諸如此處描述的製程及控制)作業。一個用於此等目的之分散式控制器的例子將為腔室上的一或多個積體電路,連通位於遠端(諸如在平台級或作為遠程電腦的一部分)的一或多個積體電路,其結合以控制腔室中的製程。
不受限制地,示例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、ALE腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何可關聯或使用於半導體晶圓的製造及/或生產中之其他的半導體處理系統。
如上所述,依據將由工具執行的一個以上製程步驟,控制器可與下述通訊:一或多個其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一個控制器、或用於材料傳送的工具,該等用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或負載端。
圖6描繪具有各種模組的半導體製程群集架構,該等模組與真空傳送模組638(VTM)介接。在多個儲存設備及處理模組之中「傳送」晶圓的各種模組之配置可被稱為「群集工具架構」系統。氣室630(亦稱為裝載鎖定部或傳送模組)與VTM 638介接,其又與四個處理模組620a-620d介接,該等處理模組620a-620d可個別地最佳化以執行各種製造處理。舉例而言,處理模組620a-620d可加以實現以執行基板蝕刻、沉積、離子佈植、晶圓清潔、濺鍍、及/或其他半導體處理。在其他實施例中,ALD及ALE係在相同的模組中加以執行。在一些實施例中,ALD及ALE係在相同工具中之不同的模組內加以執行。基板蝕刻處理模組的一或多者(620a-620d的任何一者)可如此處所揭示加以執行,即,用於沉積保形膜、藉由ALD選擇性地沉積膜、蝕刻圖案、及其他依據所揭示之實施例的適當功能。氣室630及處理模組620a-620d可被稱為「工作站」。每個工作站具有將該工作站與VTM 638介接的面部(facet)636。在每一面部的內部,感測器1-18係用以當晶圓626在個別的工作站之間移動時偵測其通過。
機器人622在工作站之間傳送晶圓626。在一實施例中,機器人622具有一手臂,而在另一實施例中,機器人622具有二手臂,其中每一手臂具有末端執行器624以拾取晶圓(諸如晶圓626)以供傳輸。在大氣傳送模組(ATM)640中的前端機器人632係用以將晶圓626由裝載埠模組(LPM)642中的卡匣或前開式晶圓傳送盒(Front Opening Unified Pod, FOUP)634傳送至氣室630。在處理模組620a-620d內部的模組中心628係用於放置晶圓626的一個位置。在ATM 640中的對準器644係用以對準晶圓。
在一示例性的處理方法中,晶圓係放置於LPM 642中之FOUP 634的其中一者內。前端機器人632將晶圓由FOUP 634傳送至對準器644,該對準器644允許晶圓626在被蝕刻、或被處理之前正確地置中。在經過對準之後,晶圓626係藉由前端機器人632移動進入氣室630。由於氣室630具有使ATM 640與VTM 638之間的環境相匹配的能力,因此晶圓626係能夠在不受損害的情況下於二種壓力環境之間移動。從氣室630,藉由機器人622將晶圓626經由VTM 638移動進入處理模組620a-620d的其中一者。為達成此晶圓移動,機器人622使用在其每一手臂上的末端執行器624。一旦晶圓626已加以處理,其係藉由機器人622從處理模組620a-620d移動至氣室630。從那裡,晶圓626可由前端機器人632移動至FOUP 634的其中一者或對準器644。
應注意控制晶圓移動的電腦對於群集結構可為本地的,或可設在生產車間(manufacturing floor)中群集結構的外部,或位於遠端位置中並經由網路連接至群集結構。上述關於圖5的控制器可與圖6的工具一起執行。 實驗部分 實驗1
在基板上執行一個實驗,其包含金屬的蝕刻。該基板包含MRAM晶片,具有一層CoPt沉積在其上方、一層MgO、及沉積在基板上方的一層CoFeB(用於磁性穿隧接面(MTJ)結構)。釕層係沉積在基板上方,且鉭遮罩係加以沉積及圖案化。此堆疊係在如下所述之各種試驗中加以使用。SiO2 載體晶圓亦係插入與處理基板相同的工具中。
在所有試驗中,Ru層係藉由濕蝕刻而開通。基板係曝露於用於ALE製程之BCl3 /Cl2 和Ar的交替脈衝70循環。該70循環包含30循環的強Cl2 (在60毫托下20 sccm BCl3 /180 sccm Cl2 2.5秒,其中先有3秒Cl2 的穩定脈衝)交替200 sccm、10毫托的Ar,其中電漿功率900W,以50Vb施加偏壓4秒(具有在一開始最初4秒的穩定作用及2秒偏壓延遲)。其他40循環包含溫和的Cl2 (在10毫托下50 sccm BCl3 /45 sccm Cl2 0.5秒而沒有初始穩定作用)及Ar(400 sccm,10毫托,其中電漿功率900 W及50 Vb偏壓4秒,具有7秒初始穩定作用及2秒偏壓延遲)之脈衝。
所得的基板包含在堆疊上及在鉭硬遮罩的側壁上再沉積CoFeClx 及CoPt的厚層。基板係由各種成像技術加以分析,其顯示Co、Ru、及Pt殘留物係沉積在側壁上,同時在側壁上沒有偵測到Fe或Ta。MgO層係完整的,但CoFe層有一些損壞。氯係在MTJ層的邊緣及側壁被偵測到。在此試驗中,臨界尺寸由於來自SiO2 載體晶圓的一些SiO2 沉積而增加。來自載體晶圓的一些矽亦促進蝕刻,但矽的量係不足以防止在側壁上的再沉積。
對於第二及第三試驗兩者,在開通Ru層之後但在藉由ALE蝕刻之前,基板係同時曝露於含矽前驅物及含氮反應物,以在基板上方沉積SiN至6 nm的厚度。Ir塗層係在SiN上加以沉積。
在第二試驗中,基板係僅曝露於70循環的Ar濺射,但基板仍造成一些Co、Fe、及Pt的再沉積。雖然拍照基板的圖像顯示在基板上SiN覆蓋層係完整的,但包含Co、Fe、及Pt的一些殘留物在SiN覆蓋層上方再沉積,從而在鉭硬遮罩上形成推拔(tapered)輪廓。基板的圖像係加以分析。此處,大量的Fe、Co、Ru、Ta、及Pt係在側壁上再沉積。結果顯示在沒有鹵化物及/或鹵素氣體化學品(諸如含硼鹵化物及/或鹵素氣體化學品)的情況下矽本身可能無法防止側壁沉積。
在第三試驗中,在沉積SiN層及Ir塗層之後,基板係曝露於根據第一試驗(30循環的強Cl2 和Ar,及40循環之溫和的Cl2 和Ar)之70循環的ALE。所得的基板顯示在SiN覆蓋層上沒有再沉積且較小的推拔輪廓。此處,基板的圖像係加以分析。側壁再沉積係大幅地加以減少。在Ta遮罩上,幾乎沒有Fe、Co、Ta、和Pt,且僅小量的Ru。在MTJ側壁上,仍有一些Co、Fe、Ru、及Pt,但從在其他試驗中再沉積的量係大幅減少。製程條件及沉積和蝕刻循環可進一步定制成將再沉積最小化。在此試驗中,MTJ層係未被破壞,且未偵測到Cl。在蝕刻製程之後,沒有留下SiN間隔層,此可解釋在MTJ上觀察到的小量再沉積。有一些SiO2 殘留在側壁上,此可能來自於矽載體晶圓。 實驗2
處理一基板,該基板包含鉭阻障層、沉積在鉭層上的PtMn層、及沉積在PtMn層上的CoFeB層,且具有鉭硬遮罩。SiN層係在基板上方加以沉積,且薄Cr塗層係在SiN覆蓋層上方加以沉積。基板係曝露於BCl3 /Cl2 和Ar之交替的脈衝,且在蝕刻之後在所得的SiN覆蓋層上沒有顯示再沉積。 實驗3
在毯覆CoFe晶圓上使用SiCl4 進行實驗。第一試驗包含SiCl4 /Ar曝露。第二試驗包含在ALE模式下BCl3 /Cl2 和Ar交替脈衝。第三試驗包含1、2、3、然後4循環的SiCl4 ALD,接著在ALE中交替之1循環的BCl3 /Cl2 和Ar以執行ALD-ALE模式。
結果顯示CW SiCl4 /Ar及ALE BCl3 /Cl2 /Ar兩者不蝕刻CoFe,而包含ALD-ALE的製程蝕刻CoFe。此顯示如本文描述之ALD-ALE製程的組合有效地蝕刻通常產生非揮發性副產物的這些金屬化合物。吾人預期類似的方法亦可蝕刻CoPd、CoPt、及PtMn。 實驗4
進行包含基板的一個實驗。基板係曝露於在60毫托下50 sccm的SiCl4 及200 sccm的N2 5秒,接著曝露在40毫托下1200 W及60 W之200 sccm的N2 ,以在基板上方沉積SiN層。基板係接著曝露於1循環的ALE,其包含在60毫托下20 sccm的BCl3 和180 sccm的Cl2 2.5秒,及在900W 200 sccm的Ar及在50毫托下60 Vb的偏壓 4秒。每次試驗共執行六十循環。第一試驗包含1個SiN ALD循環,接著1 ALE循環60次。第二試驗包含2個SiN ALD循環,接著1 ALE循環60次。第三試驗包含3個SiN ALD循環,接著1 ALE循環60次。第四試驗包含4個SiN ALD循環及1 ALE循環60次。在3循環之ALD SiN的情況下,所有21.6 nm的CoFe係加以蝕刻。每個ALD SiN循環的厚度係預期為0.5 Å至1 Å。蝕刻之CoFe的量相對於ALD SiN循環的數目係在圖7中加以描繪。如圖所示,在3循環的ALD SiN處,所有CoFe係加以蝕刻。 實驗5
進行包含基板的一個實驗,該基板具有鉭阻障層、PtMn層、CoFeB層、及鉭硬遮罩。基板係使用Ar濺射,且在執行鹵化物及/或鹵素氣體蝕刻之前,TiO2 保護層係在基板上方保形地加以沉積以覆蓋基板。隨後,基板係曝露於ALE製程中之BCl3 /Cl2 和Ar脈衝的循環。所得的基板在側壁上或在TiO2 覆蓋層上呈現沒有Co、Fe、Pt、及Ta的再沉積。 實驗6
進行包含基板的一個實驗,該基板具有釕金屬層、PtMn層、CoFeB層、及鉭硬遮罩。在執行鹵化物及/或鹵素氣體蝕刻之前,SiO2 保護層係在基板上方保形地加以沉積以覆蓋基板。隨後,基板係曝露於ALE製程中之BCl3 /Cl2 和Ar脈衝的循環。所得的基板在側壁上或在SiO2 覆蓋層上呈現沒有Co、Fe、Pt、及Ta的再沉積。 結論
雖然上述實施例為了清楚理解的目的已以一些細節加以描述,但顯然地,某些改變與修飾可在隨附申請專利範圍之範疇內加以實施。應注意有許多替代方式執行本實施例的製程、系統、及裝置。因此,本發明實施例係被視為說明性而非限制性,且本發明實施例係非限制於此處提供的細節。
1:感測器 2:感測器 3:感測器 4:感測器 5:感測器 6:感測器 7:感測器 8:感測器 9:感測器 10:感測器 11:感測器 12:感測器 13:感測器 14:感測器 15:感測器 16:感測器 17:感測器 18:感測器 100:堆疊 101:蝕刻停止層 103:阻障層 105:金屬層 107:固定層 109:介電層 111:自由層 113:鉭層 115:釕金屬層 120:MTJ堆疊 300:基板 301:蝕刻停止層 303:阻障層 305:Ru金屬層 307:金屬合金層(固定層) 309:介電阻障層(介電層) 311:金屬合金層(自由層) 313:第一金屬層(阻障層) 315:Ru金屬層 317:硬遮罩 320:保護層 322:保護層 324:保護層 400:基板 411:金屬層(金屬表面) 420:保護層 440:活化氣體 450a:氯分子 450b:吸附層(氯) 470:氯化矽 475:錯合物(揮發性的物種) 500:設備 501:腔室壁 502:上子腔室 503:下子腔室 511:窗 517:卡盤 519:晶圓 521:匹配電路 522:埠 523:RF電源供應器 524:處理腔室 525:連接件 527:連接件 530:系統控制器 533:線圈 539:匹配電路 540:幫浦 541:RF電源供應器 543:連接件 545:連接件 549:法拉第屏蔽 550:格柵 560:氣體流入口 570:氣體流入口 620a:處理模組 620b:處理模組 620c:處理模組 620d:處理模組 622:機器人 624:末端執行器 626:晶圓 628:模組中心 630:氣室 632:前端機器人 634:前開式晶圓傳送盒 636:面部 638:真空傳送模組 640:大氣傳送模組 642:裝載埠模組 644:對準器
圖1係一示例基板的示意說明。
圖2係製程流程圖,其根據所揭示的實施例描繪執行之方法的操作。
圖3A-3G係根據所揭示的實施例之經歷操作的示例基板之示意說明。
圖4A-4F係根據所揭示的實施例用於蝕刻基板之示例機制的示意說明。
圖5係用於執行所揭示的實施例之示例處理腔室的示意圖。
圖6係用於執行所揭示的實施例之示例製程設備的示意圖。
圖7係一圖,其顯示從根據所揭示的實施例執行之實驗的CoFe蝕刻厚度。

Claims (21)

  1. 一種乾電漿蝕刻方法,包含:(a)提供一基板,該基板包含二或更多金屬層、自由層、介電阻障層、及固定層,其中該介電阻障層係在該自由層與該固定層之間,且該自由層、該介電阻障層、及該固定層係在該二或更多金屬層之間,(b)將該基板曝露於前驅物氣體及還原劑以沉積一材料在該基板上,該材料係選自於由含矽材料、含鈦材料、含鍺材料、含錫材料、含碳材料、及其組合所組成之群組,(c)將該基板曝露於含鹵素的氣體一段持續時間,該持續時間足以使該基板的表面實質上飽和,及(d)將該基板曝露於活化氣體以蝕刻該基板。
  2. 如請求項1之乾電漿蝕刻方法,其中,該材料係該含鈦材料且選自於由氧化鈦或氮化鈦所組成之群組。
  3. 如請求項1之乾電漿蝕刻方法,更包含:在蝕刻實質上所有自由層之後且在曝露該介電阻障層之前重複(b),使用無鹵素的化學品蝕刻該介電阻障層,及在蝕刻該介電阻障層之後重複(c)及(d)以蝕刻該基板。
  4. 如請求項1之乾電漿蝕刻方法,其中(a)-(d)係在沒有破壞真空的情況下加以執行。
  5. 如請求項1之乾電漿蝕刻方法,其中(b)減輕含金屬的副產物之再沉積。
  6. 如請求項1之乾電漿蝕刻方法,其中該材料係與該含鹵素的氣體及該基板之該二或更多金屬層其中至少一者之金屬材料兩者具有反應性,該基板之該二或更多金屬層其中至少一者之該金屬材料係選自於由第IV週期過渡金屬、第V週期過渡金屬、第VI週期過渡金屬、及其組合所組成之群組。
  7. 一種用於處理基板之設備,該基板包含一或更多層,該設備包含:(a)一或更多處理腔室,每一處理腔室包含一卡盤;(b)進入該等處理腔室之一或更多氣體入口及相關的流量控制硬體;及(c)一控制器,具有至少一處理器及一記憶體,其中該至少一處理器及該記憶體係彼此通訊連接,該至少一處理器係至少與該流量控制硬體操作上連接,及該記憶體儲存複數電腦可執行的指令,用於控制該至少一處理器以至少控制該流量控制硬體進行:(i)使含鹵素的氣體引入一段持續時間,該持續時間足以使該基板的表面實質上飽和;(ii)使活化氣體引入且使活化源啟動以蝕刻該基板之該一或更多層;及 (iii)在(i)及(ii)期間,使反應性材料提供至該一或更多處理腔室其中一者以形成揮發性物種,該反應性材料係與該含鹵素的氣體及在該基板上之該一或更多層之一材料兩者具有反應性。
  8. 如請求項7之用於處理基板之設備,其中(i)-(iii)係在沒有破壞真空的情況下加以執行。
  9. 如請求項7之用於處理基板之設備,其中該含鹵素的氣體係選自於由Cl2、BCl3、BBr3、BI3、F2、BF3、Br2、I2、及其組合所組成之群組。
  10. 如請求項7之用於處理基板之設備,其中該記憶體更包含:用於在(i)及(ii)以二或更多循環加以執行之後重複(iii)之複數指令。
  11. 如請求項7之用於處理基板之設備,其中用於使該反應性材料提供之該等指令包含:用於使前驅物氣體及還原劑引入以沉積該反應性材料在該基板上之複數指令。
  12. 如請求項11之用於處理基板之設備,其中該前驅物氣體係含矽氣體。
  13. 如請求項7之用於處理基板之設備,其中該反應性材料係選自於由含矽材料、含鈦材料、含鍺材料、含錫材料、含碳材料、及其組合所組成之群組。
  14. 如請求項7之用於處理基板之設備,更包含電漿產生器,其中用於使活化源啟動之該等指令包含:用於使電漿產生之複數指令。
  15. 一種用於處理基板之設備,該基板包含一或更多層,該設備包含:(a)一或更多處理腔室,每一處理腔室包含一卡盤;(b)進入該等處理腔室之一或更多氣體入口及相關的流量控制硬體;及(c)一控制器,具有至少一處理器及一記憶體,其中該至少一處理器及該記憶體係彼此通訊連接,該至少一處理器係至少與該流量控制硬體操作上連接,及該記憶體儲存複數電腦可執行的指令,用於控制該至少一處理器以至少控制該流量控制硬體進行:(i)使含鹵素的氣體引入一段持續時間,該持續時間足以使該基板的表面實質上飽和;(ii)使活化氣體引入且使活化源啟動以蝕刻該基板之該一或更多層;及(iii)在(i)及(ii)期間,使前驅物氣體及還原劑引入以沉積一反應性材料,該反應性材料係與該含鹵素的氣體及在該基板上之該一或更多層之一材料兩者具有反應性。
  16. 如請求項15之用於處理基板之設備,其中該前驅物氣體係含矽氣體。
  17. 如請求項15之用於處理基板之設備,其中該反應性材料係選自於由含矽材料、含鈦材料、含鍺材料、含錫材料、含碳材料、及其組合所組成之群組。
  18. 如請求項15之用於處理基板之設備,更包含電漿產生器,其中用於使活化源啟動之該等指令包含:用於使電漿產生之複數指令。
  19. 如請求項15之用於處理基板之設備,其中(i)-(iii)係在沒有破壞真空的情況下加以執行。
  20. 如請求項15之用於處理基板之設備,其中該含鹵素的氣體係選自於由Cl2、BCl3、BBr3、BI3、F2、BF3、Br2、I2、及其組合所組成之群組。
  21. 如請求項15之用於處理基板之設備,其中該記憶體更包含:用於在(i)及(ii)以二或更多循環加以執行之後重複(iii)之複數指令。
TW109105630A 2015-04-20 2016-04-18 乾電漿蝕刻方法以使磁性隨機存取記憶體堆疊圖案化 TWI762876B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562150053P 2015-04-20 2015-04-20
US62/150,053 2015-04-20
US14/749,291 2015-06-24
US14/749,291 US9806252B2 (en) 2015-04-20 2015-06-24 Dry plasma etch method to pattern MRAM stack

Publications (2)

Publication Number Publication Date
TW202025525A TW202025525A (zh) 2020-07-01
TWI762876B true TWI762876B (zh) 2022-05-01

Family

ID=57130027

Family Applications (2)

Application Number Title Priority Date Filing Date
TW105111956A TWI690097B (zh) 2015-04-20 2016-04-18 乾電漿蝕刻方法以使磁性隨機存取記憶體堆疊圖案化
TW109105630A TWI762876B (zh) 2015-04-20 2016-04-18 乾電漿蝕刻方法以使磁性隨機存取記憶體堆疊圖案化

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW105111956A TWI690097B (zh) 2015-04-20 2016-04-18 乾電漿蝕刻方法以使磁性隨機存取記憶體堆疊圖案化

Country Status (6)

Country Link
US (3) US9806252B2 (zh)
JP (1) JP6804864B2 (zh)
KR (2) KR102515411B1 (zh)
CN (3) CN109346393A (zh)
SG (1) SG10201603090VA (zh)
TW (2) TWI690097B (zh)

Families Citing this family (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
SG10201604524PA (en) 2015-06-05 2017-01-27 Lam Res Corp ATOMIC LAYER ETCHING OF GaN AND OTHER III-V MATERIALS
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US9991128B2 (en) * 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US9779955B2 (en) * 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
KR20170122910A (ko) * 2016-04-27 2017-11-07 성균관대학교산학협력단 원자층 식각방법
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9837312B1 (en) * 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
KR102292077B1 (ko) * 2016-12-09 2021-08-23 에이에스엠 아이피 홀딩 비.브이. 열적 원자층 식각 공정
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US9917137B1 (en) * 2017-01-11 2018-03-13 International Business Machines Corporation Integrated magnetic tunnel junction (MTJ) in back end of line (BEOL) interconnects
US10727402B2 (en) * 2017-01-24 2020-07-28 Tohoku University Method for producing tunnel magnetoresistive element
KR20180093798A (ko) * 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) * 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US11062897B2 (en) 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication
JP7466824B2 (ja) * 2017-06-13 2024-04-15 東京エレクトロン株式会社 磁気トンネル接合をパターン化する方法
US10263179B2 (en) * 2017-07-18 2019-04-16 Nxp B.V. Method of forming tunnel magnetoresistance (TMR) elements and TMR sensor element
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
KR102368033B1 (ko) 2017-09-20 2022-02-25 삼성전자주식회사 자기 저항 메모리 소자의 제조 방법
JP2019057636A (ja) 2017-09-21 2019-04-11 東芝メモリ株式会社 磁気記憶装置
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10038138B1 (en) * 2017-10-10 2018-07-31 Headway Technologies, Inc. High temperature volatilization of sidewall materials from patterned magnetic tunnel junctions
US20190131130A1 (en) * 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition
JP6833657B2 (ja) * 2017-11-07 2021-02-24 東京エレクトロン株式会社 基板をプラズマエッチングする方法
US10978351B2 (en) 2017-11-17 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer between substrate and isolation structure
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
TWI688130B (zh) 2017-11-28 2020-03-11 財團法人工業技術研究院 自旋軌道磁性記憶體及其製造方法
US10957779B2 (en) 2017-11-30 2021-03-23 Taiwan Semiconductor Manufacturing Co., Ltd. Gate etch back with reduced loading effect
US10840436B2 (en) * 2017-12-29 2020-11-17 Spin Memory, Inc. Perpendicular magnetic anisotropy interface tunnel junction devices and methods of manufacture
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
CN110098320B (zh) * 2018-01-30 2023-04-28 上海磁宇信息科技有限公司 一种刻蚀磁性隧道结导电硬掩模的方法
JP7025952B2 (ja) * 2018-02-16 2022-02-25 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
KR20210011493A (ko) 2018-06-13 2021-02-01 램 리써치 코포레이션 고 종횡비 구조체들의 효율적인 세정 및 에칭
US10680169B2 (en) * 2018-06-13 2020-06-09 International Business Machines Corporation Multilayer hardmask for high performance MRAM devices
US10741748B2 (en) 2018-06-25 2020-08-11 International Business Machines Corporation Back end of line metallization structures
US10720487B2 (en) * 2018-06-28 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with magnetic element
US10692759B2 (en) * 2018-07-17 2020-06-23 Applied Materials, Inc. Methods for manufacturing an interconnect structure for semiconductor devices
TWI812762B (zh) * 2018-07-30 2023-08-21 日商東京威力科創股份有限公司 處理被處理體之方法、處理裝置及處理系統
US10879451B2 (en) * 2018-08-14 2020-12-29 Samsung Electronics Co., Ltd. Magnetic tunnel junction device and magnetic resistance memory device
US10886461B2 (en) * 2018-09-18 2021-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Highly physical etch resistive photoresist mask to define large height sub 30nm via and metal hard mask for MRAM devices
US10763429B2 (en) 2018-10-12 2020-09-01 International Business Machines Corporation Self-aligned ion beam etch sputter mask for magnetoresistive random access memory
US10714681B2 (en) * 2018-10-19 2020-07-14 International Business Machines Corporation Embedded magnetic tunnel junction pillar having reduced height and uniform contact area
US10868239B2 (en) * 2018-10-25 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient protection layer in MTJ manufacturing
US11002063B2 (en) * 2018-10-26 2021-05-11 Graffiti Shield, Inc. Anti-graffiti laminate with visual indicia
US10971684B2 (en) * 2018-10-30 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Intercalated metal/dielectric structure for nonvolatile memory devices
CN111162005A (zh) 2018-11-08 2020-05-15 江苏鲁汶仪器有限公司 多层磁性隧道结刻蚀方法和mram器件
US11043251B2 (en) 2018-11-30 2021-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Magnetic tunnel junction device and method of forming same
US10497858B1 (en) * 2018-12-21 2019-12-03 Applied Materials, Inc. Methods for forming structures for MRAM applications
US11056643B2 (en) * 2019-01-03 2021-07-06 International Business Machines Corporation Magnetic tunnel junction (MTJ) hard mask encapsulation to prevent redeposition
US11121311B2 (en) 2019-01-24 2021-09-14 International Business Machines Corporation MTJ containing device encapsulation to prevent shorting
KR20210111893A (ko) * 2019-02-01 2021-09-13 램 리써치 코포레이션 가스 처리 및 펄싱을 사용한 이온 빔 에칭
JP2022522419A (ja) * 2019-02-28 2022-04-19 ラム リサーチ コーポレーション 側壁洗浄によるイオンビームエッチング
US10707413B1 (en) 2019-03-28 2020-07-07 International Business Machines Corporation Formation of embedded magnetic random-access memory devices
US20200343043A1 (en) * 2019-04-29 2020-10-29 Spin Memory, Inc. Method for manufacturing a self-aligned magnetic memory element with ru hard mask
US10685849B1 (en) * 2019-05-01 2020-06-16 Applied Materials, Inc. Damage free metal conductor formation
US10833258B1 (en) 2019-05-02 2020-11-10 International Business Machines Corporation MRAM device formation with in-situ encapsulation
JP2020191320A (ja) * 2019-05-20 2020-11-26 東京エレクトロン株式会社 基板製造方法、及び、処理システム
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
CN114207858A (zh) * 2019-07-31 2022-03-18 朗姆研究公司 用于mram图案化的化学蚀刻非挥发性材料
KR20220066097A (ko) * 2019-09-17 2022-05-23 램 리써치 코포레이션 원자 층 에칭 및 이온 빔 에칭 패터닝
CN112563412B (zh) * 2019-09-25 2023-06-23 浙江驰拓科技有限公司 磁性隧道结刻蚀方法
US11177431B2 (en) 2019-12-02 2021-11-16 HeFeChip Corporation Limited Magnetic memory device and method for manufacturing the same
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
US11217744B2 (en) * 2019-12-10 2022-01-04 HeFeChip Corporation Limited Magnetic memory device with multiple sidewall spacers covering sidewall of MTJ element and method for manufacturing the same
US11488977B2 (en) 2020-04-14 2022-11-01 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
TW202205433A (zh) * 2020-06-19 2022-02-01 日商東京威力科創股份有限公司 蝕刻方法、基板處理裝置及基板處理系統
US11961719B2 (en) * 2020-06-25 2024-04-16 Hitachi High-Tech Corporation Vacuum processing method
TWI773086B (zh) * 2020-11-17 2022-08-01 大陸商長江存儲科技有限責任公司 用於形成立體(3d)記憶體元件的方法
US20220199418A1 (en) * 2020-12-17 2022-06-23 Tokyo Electron Limited Selective Etching with Fluorine, Oxygen and Noble Gas Containing Plasmas
JP2024504929A (ja) * 2021-01-15 2024-02-02 ラム リサーチ コーポレーション 金属エッチング
US11894228B2 (en) * 2021-08-26 2024-02-06 Applied Materials, Inc. Treatments for controlling deposition defects
WO2023039382A1 (en) * 2021-09-07 2023-03-16 Lam Research Corporation Atomic layer etching using boron trichloride
CN116615087A (zh) * 2022-02-07 2023-08-18 北京超弦存储器研究院 一种磁性隧道结及其制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010053585A1 (en) * 2000-05-09 2001-12-20 M-Fsi Ltd. Cleaning process for substrate surface
US20040137749A1 (en) * 2003-01-13 2004-07-15 Applied Materials, Inc. Method for removing conductive residue
US20060152967A1 (en) * 2005-01-12 2006-07-13 Nickel Janice H Hardmasks for providing thermally assisted switching of magnetic memory elements
US20060194435A1 (en) * 2005-02-14 2006-08-31 Tokyo Electron Limited Method of processing substrate, and method of and program for manufacturing electronic device

Family Cites Families (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH061769B2 (ja) 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
US5298451A (en) 1991-04-30 1994-03-29 Texas Instruments Incorporated Recessed and sidewall-sealed poly-buffered LOCOS isolation methods
US5282925A (en) 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
JPH06151382A (ja) 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JPH06326060A (ja) 1993-05-12 1994-11-25 Hitachi Ltd 固体表面加工方法
US6022806A (en) 1994-03-15 2000-02-08 Kabushiki Kaisha Toshiba Method of forming a film in recess by vapor phase growth
US6124211A (en) * 1994-06-14 2000-09-26 Fsi International, Inc. Cleaning method
WO1997015069A1 (en) * 1995-10-19 1997-04-24 Massachusetts Institute Of Technology Metals removal process
US5766971A (en) 1996-12-13 1998-06-16 International Business Machines Corporation Oxide strip that improves planarity
KR20010034127A (ko) 1998-01-13 2001-04-25 조셉 제이. 스위니 이방성 플라티늄 프로화일을 위한 에칭 방법
US6177353B1 (en) 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
WO2001024248A1 (en) * 1999-09-27 2001-04-05 Applied Materials, Inc. Hydrocarbon gases for anisotropic etching of metal-containing layers
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
EP1120820A3 (en) 2000-01-24 2008-01-09 Ebara Corporation Method and apparatus for forming interconnect
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US20020058409A1 (en) 2000-11-16 2002-05-16 Ching-Te Lin Elimination of overhang in liner/barrier/seed layers using post-deposition sputter etch
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
TW552624B (en) 2001-05-04 2003-09-11 Tokyo Electron Ltd Ionized PVD with sequential deposition and etching
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US7115516B2 (en) 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
AU2003223472A1 (en) 2002-05-14 2003-12-02 Tokyo Electron Limited PLASMA ETCHING OF Cu-CONTAINING LAYERS
US6884730B2 (en) 2002-07-02 2005-04-26 Headway Technologies, Inc. Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
US6841484B2 (en) * 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
JP2004332045A (ja) * 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
US7341946B2 (en) 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7829152B2 (en) 2006-10-05 2010-11-09 Lam Research Corporation Electroless plating method and apparatus
US7115522B2 (en) 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
CN100576474C (zh) 2004-07-20 2009-12-30 应用材料股份有限公司 以钽前驱物taimata进行含钽材料的原子层沉积
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7214626B2 (en) * 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
US20070238301A1 (en) * 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7795148B2 (en) * 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US7368393B2 (en) * 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US20080265243A1 (en) * 2007-04-30 2008-10-30 Ahn Kie Y Magnetic floating gate flash memory structures
KR101330707B1 (ko) * 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
KR100905278B1 (ko) 2007-07-19 2009-06-29 주식회사 아이피에스 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
US8247030B2 (en) 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US7948044B2 (en) * 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en) * 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US8227344B2 (en) 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
US9373500B2 (en) * 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
JP2012038815A (ja) 2010-08-04 2012-02-23 Toshiba Corp 磁気抵抗素子の製造方法
JP5416280B2 (ja) 2010-08-19 2014-02-12 株式会社アルバック ドライエッチング方法及び半導体装置の製造方法
US8546263B2 (en) * 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130129922A1 (en) 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
JP2013197524A (ja) * 2012-03-22 2013-09-30 Toshiba Corp 磁気抵抗効果素子の製造方法
JP2014049466A (ja) 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
JP5918108B2 (ja) * 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US20140349469A1 (en) 2013-05-22 2014-11-27 Qualcomm Mems Technologies, Inc. Processing for electromechanical systems and equipment for same
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9564582B2 (en) 2014-03-07 2017-02-07 Applied Materials, Inc. Method of forming magnetic tunneling junctions
US9257638B2 (en) * 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
KR101745686B1 (ko) 2014-07-10 2017-06-12 도쿄엘렉트론가부시키가이샤 기판의 고정밀 에칭을 위한 방법
FR3023971B1 (fr) 2014-07-18 2016-08-05 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9362131B2 (en) 2014-08-29 2016-06-07 Applied Materials, Inc. Fast atomic layer etch process using an electron beam
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
US10381227B2 (en) 2014-12-18 2019-08-13 The Regents Of The University Of Colorado, A Body Corporate Methods of atomic layer etching (ALE) using sequential, self-limiting thermal reactions
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
SG10201604524PA (en) 2015-06-05 2017-01-27 Lam Res Corp ATOMIC LAYER ETCHING OF GaN AND OTHER III-V MATERIALS
US9449843B1 (en) * 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
KR102451098B1 (ko) 2015-09-23 2022-10-05 삼성전자주식회사 자기 메모리 장치 및 이의 제조 방법
KR20170050056A (ko) 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010053585A1 (en) * 2000-05-09 2001-12-20 M-Fsi Ltd. Cleaning process for substrate surface
US20040137749A1 (en) * 2003-01-13 2004-07-15 Applied Materials, Inc. Method for removing conductive residue
US20060152967A1 (en) * 2005-01-12 2006-07-13 Nickel Janice H Hardmasks for providing thermally assisted switching of magnetic memory elements
US20060194435A1 (en) * 2005-02-14 2006-08-31 Tokyo Electron Limited Method of processing substrate, and method of and program for manufacturing electronic device

Also Published As

Publication number Publication date
CN110379918B (zh) 2024-07-05
TW201709573A (zh) 2017-03-01
KR102515411B1 (ko) 2023-03-28
KR102648476B1 (ko) 2024-03-15
US20180019387A1 (en) 2018-01-18
US10749103B2 (en) 2020-08-18
US10374144B2 (en) 2019-08-06
JP6804864B2 (ja) 2020-12-23
CN110379918A (zh) 2019-10-25
US20190312194A1 (en) 2019-10-10
TWI690097B (zh) 2020-04-01
SG10201603090VA (en) 2016-11-29
CN106067513A (zh) 2016-11-02
US20160308112A1 (en) 2016-10-20
US9806252B2 (en) 2017-10-31
TW202025525A (zh) 2020-07-01
JP2016208031A (ja) 2016-12-08
KR20230044167A (ko) 2023-04-03
KR20160124689A (ko) 2016-10-28
CN106067513B (zh) 2019-07-09
CN109346393A (zh) 2019-02-15

Similar Documents

Publication Publication Date Title
TWI762876B (zh) 乾電漿蝕刻方法以使磁性隨機存取記憶體堆疊圖案化
US10515816B2 (en) Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
JP6964964B2 (ja) チェンバ内で基板を処理する方法およびその装置
US20220376174A1 (en) Chemical etch nonvolatile materials for mram patterning
TWI855118B (zh) 用於磁阻式隨機存取記憶體圖案化的化學蝕刻非揮發性材料