KR20220066097A - 원자 층 에칭 및 이온 빔 에칭 패터닝 - Google Patents

원자 층 에칭 및 이온 빔 에칭 패터닝 Download PDF

Info

Publication number
KR20220066097A
KR20220066097A KR1020227012068A KR20227012068A KR20220066097A KR 20220066097 A KR20220066097 A KR 20220066097A KR 1020227012068 A KR1020227012068 A KR 1020227012068A KR 20227012068 A KR20227012068 A KR 20227012068A KR 20220066097 A KR20220066097 A KR 20220066097A
Authority
KR
South Korea
Prior art keywords
stack
atomic layer
ion beam
chamber
etch
Prior art date
Application number
KR1020227012068A
Other languages
English (en)
Inventor
사만다 시암화 탄
타말 무케르지
웬빙 양
기리쉬 딕싯
양 판
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220066097A publication Critical patent/KR20220066097A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • H01L43/12
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Hall/Mr Elements (AREA)
  • Mram Or Spin Memory Techniques (AREA)

Abstract

마스크에 대해 스택을 선택적으로 에칭하기 위한 방법이 제공된다. 스택을 적어도 부분적으로 에칭하도록 원자 층 에칭이 제공되고, 원자 층 에칭은 적어도 일부 잔여물을 형성한다. 스택을 에칭하도록 이온 빔 에칭이 제공되고, 이온 빔 에칭은 원자 층 에칭으로부터의 잔여물의 적어도 일부를 제거한다.

Description

원자 층 에칭 및 이온 빔 에칭 패터닝
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 모든 것, 그리고 잠재적으로 기록된 기술의 양태들은 본 출원에 대한 종래 기술로서 명시적으로 또는 암시적으로 인정되지 않는다.
본 개시는 반도체 웨이퍼 상에 반도체 디바이스들을 형성하는 방법에 관한 것이다. 보다 구체적으로, 본 개시는 반도체 디바이스들의 선택적인 에칭에 관한 것이다.
반도체 디바이스들을 형성할 때, MRAM (Magnetic Random Access Memory) 이 패턴 전사 프로세스를 사용하여 형성될 수도 있다. 이러한 패턴 전사 프로세스는 에칭 프로세스를 사용한다. MRAM 스택은 코발트 (Co), 철 (Fe), 망간 (Mn), 니켈 (Ni), 백금 (Pt), 팔라듐 (Pd) 및 루테늄 (Ru) 과 같은 비휘발성 및 강자성 재료들을 함유하고, 이들은 이온 빔 에칭 (Ion Beam Etching; IBE), 반응성 이온 에칭 (Reactive Ion Etching; RIE) 및 습식 화학 물질들과 함께 복잡한 접근법들을 사용하지 않고 패터닝하기는 극히 어렵다. 수년간의 개발에도 불구하고, 현재 패터닝 기술들은 테이퍼링된 (taper) 프로파일과 고정 층으로의 자기 터널 접합 (Magnetic Tunnel Junction; MTJ) 의 단락을 유발하는 측벽 재증착, 및 MTJ 층 손상을 유발하는 부식과 같은 많은 단점들을 여전히 겪는다. 일부 종래의 기법들에서, 염소 함유 화학 물질이 금속을 에칭하도록 사용되지만, 에칭된 부산물들은 비휘발성 화합물들을 포함한다. 비휘발성 화합물들은 후속하여 피처의 측벽들 상에 재증착될 수도 있다. 그러나, 디바이스들이 축소되고 다양한 타입들의 구조체들의 제조가 보다 복잡해짐에 따라, 일부 에칭된 부산물들은 기판의 다른 노출된 영역들 상에 재증착될 수도 있고, 이는 결함들 및 궁극적인 디바이스 고장을 유발할 수도 있다.
넓은 피치를 갖는 큰 CD (Critical Dimension) 구조체들에 대해, 단일-단계 또는 멀티-단계 IBE 레시피가 충분할 수도 있다. 그러나 100 ㎚ 이하 (sub-100 nm) 의 작은 CD 또는 타이트한 피치 피처들에 대해, IBE를 사용하는 패터닝은 어렵다. 근본적인 한계는 마스크에 의해 섀도잉된 이온 입사 (ion incidence) 이고, 이는 효과적인 MRAM 스택 에칭 및 트리밍 (trim) 을 방지한다.
관련 출원에 대한 교차 참조
본 출원은 2019년 9월 17일에 출원된 미국 특허 출원 번호 제 62/901,702 호의 우선권의 이익을 주장하고, 이는 모든 목적들을 위해 참조로서 본 명세서에 인용된다.
본 개시의 목적에 따라 그리고 전술한 것을 달성하기 위해, 마스크에 대해 스택을 선택적으로 에칭하기 위한 방법이 제공된다. 스택을 적어도 부분적으로 에칭하도록 원자 층 에칭이 제공되고, 원자 층 에칭은 적어도 일부 잔여물을 형성한다. 스택을 에칭하도록 이온 빔 에칭이 제공되고, 이온 빔 에칭은 원자 층 에칭으로부터의 잔여물의 적어도 일부를 제거한다.
또 다른 현상에서, 마스크에 대해 스택을 선택적으로 에칭하기 위한 장치가 제공된다. 진공 이송 모듈이 제공된다. 원자 층 에칭 챔버가 진공 이송 모듈에 연결된다. 이온 빔 에칭 챔버가 진공 이송 모듈에 연결된다. 캡슐화 챔버가 진공 이송 모듈에 연결된다. 제어기가 진공 이송 모듈, 원자 층 에칭 챔버, 이온 빔 에칭 챔버, 및 캡슐화 챔버에 제어 가능하게 연결된다. 제어기는 진공 이송 모듈로부터 원자 층 에칭 챔버 내로 스택을 이동시키도록 진공 이송 모듈을 제어하고, 스택의 원자 층 에칭을 제공하도록 원자 층 에칭 챔버를 제어하고, 이온 빔 에칭 챔버로 스택을 이동시키도록 진공 이송 모듈을 제어하고, 스택의 이온 빔 에칭을 제공하도록 이온 빔 에칭 챔버를 제어하고, 이온 빔 에칭 챔버로부터 캡슐화 챔버로 스택을 이동시키도록 진공 이송 모듈을 제어하고, 그리고 스택의 캡슐화를 제공하도록 캡슐화 챔버를 제어하도록 구성된다.
본 개시의 이들 및 다른 특징들은 본 개시의 상세한 기술 (description) 및 이하의 도면들과 함께 본 개시의 발명을 실시하기 위한 구체적인 내용에 보다 상세히 기술될 것이다.
본 개시는 유사한 참조 번호들이 유사한 엘리먼트들을 참조하는 첨부된 도면들의 도면들에, 제한이 아니라 예로서 예시된다.
도 1은 일 실시 예의 고 레벨 플로우 차트이다.
도 2a 내지 도 2c는 일 실시 예에 따라 프로세싱된 스택의 개략적인 단면도들이다.
도 3은 원자 층 에칭 프로세스의 보다 상세한 플로우 차트이다.
도 4는 개질 페이즈 (modification phase) 의 보다 상세한 플로우 차트이다.
도 5a 내지 도 5c는 실시 예에 따라 프로세싱된 금속 층의 보다 상세한 단면도들이다.
도 6은 원자 층 에칭 챔버 시스템의 개략적인 예시이다.
도 7은 이온 빔 에칭 챔버의 개략적인 예시이다.
도 8은 일 실시 예에서 사용될 수도 있는 프로세싱 툴의 상면 개략도이다.
도 9는 일 실시 예의 실시에 사용될 수도 있는 컴퓨터 시스템의 개략도이다.
본 개시는 첨부한 도면들에 예시된 바와 같이 개시의 일부 바람직한 실시 예들을 참조하여 이제 상세히 기술될 것이다. 이하의 기술 (description) 에서, 본 개시의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 그러나, 본 개시가 이들 구체적인 상세들의 일부 또는 전부 없이 실시될 수도 있다는 것이 당업자들에게 명백할 것이다. 다른 예들에서, 공지된 프로세스 단계들 및/또는 구조체들은 본 개시를 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다.
반도체 웨이퍼 프로세싱 동안, 피처들은 금속 함유 층에 에칭 쓰루될 (etch through) 수도 있다. MRAM (Magnetic Random Access Memories) 의 형성에서, 복수의 박형 금속 층들 또는 막들이 자기 터널 접합 스택들을 형성하도록 순차적으로 에칭될 수도 있다.
MTJ (Magnetic Tunnel Junction) 는 2 개의 자기 재료들 사이의 박형 유전체 배리어 층으로 구성된다. 전자들은 양자 터널링 (quantum tunneling) 프로세스에 의해 배리어를 통과한다. 이는 스핀-전달 토크 (spin-transfer torque) 를 사용하여 자기-기반 메모리 (magnetic-based memory) 에 대한 기초로서 역할을 할 수 있다.
스핀-전달 토크는 MTJ에서 자기 층의 배향이 스핀-분극 전류 (spin-polarized current) 를 사용하여 수정될 수 있는 효과이다. 전하 캐리어들 (예를 들어, 전자들) 은 스핀으로 공지된 특성을 갖는다. 스핀은 캐리어에 고유한 소량의 각운동량이다. 전류는 일반적으로 분극되지 않는다 (50 % 스핀-업 전자 및 50 % 스핀-다운 전자). 두꺼운 자기 층 (통상적으로 "고정 층"으로 지칭됨) 을 통해 전류를 통과시킴으로써, 보다 많은 전자들을 갖는 스핀의 스핀 분극된 전류가 생성될 수 있다. 이 스핀-분극 전류가 제 2, 보다 박형의 자기 층 ("자유 층 (free layer)") 내로 지향된다면, 각운동량은 이 층으로 전달될 수 있어서, 배향을 변화시킨다. 이 효과는 오실레이션들 (oscillations) 을 여기하거나 심지어 자석의 배향을 뒤집도록 (flip) 사용될 수 있다.
스핀-전달 토크는 자기 랜덤 액세스 메모리의 능동 소자들 (active elements) 을 뒤집도록 사용될 수 있다. 스핀-전달 토크 자기 랜덤 액세스 메모리 (Spin-Transfer Torque Magnetic Random-Access Memory; STT-RAM 또는 Spin-Transfer Torque Magneto-Resistive Random-Access Memory; STT-MRAM) 는 종래의 MRAM에 비해 보다 낮은 전력 소비 및 보다 우수한 확장성의 이점들을 갖는다. MRAM은 자기장들을 사용하여 능동 소자들을 뒤집는다.
STT-RAM 디바이스 패터닝은 반응성 이온 에칭에 이어 이온 빔 에칭 (Ion Beam Etch; IBE) 또는 완전한 불활성 가스 각도 IBE 전략을 통해 입증되었다. 반응성 이온 에칭 (Reactive Ion Etch; RIE) 프로세스는 보통 테이퍼링된 (taper) 프로파일 및 에칭 부산물들의 무거운 측벽 재증착을 발생시킨다. 게다가, MgO 층들에 대한 화학적 손상들은 MRAM 패터닝을 위한 RIE 단독 프로세스들을 제한한다.
IBE 기법은 반응성 종에 의해 유발된 MTJ 손상을 최소화하는 동안 MRAM 패턴을 전사하기 위해 개발되었다. 일반적인 접근법은 MTJ를 성형하고 푸팅 (footing) 을 최소화하기 위해 직각 입사 (incidence) 로 IBE를 먼저 구현하고 이어서 그레이징 (grazing) 입사로 IBE를 제공하여 측벽 세정을 제공함으로써 최초 단계로부터 재증착을 제거하는 것이다. IBE는 불활성 이온들의 스퍼터링에 의존하기 때문에, 패턴 전사 동안 측벽 재증착이 존재한다. IBE 및 산화 사이클들은 일반적으로 단락 경로들을 제거하고 MgO 터널 배리어 상에서 중단되도록 구현되어, 스핀 이송을 위해 깨끗하고 (pristine) 연속적인 자유 층을 보존한다.
MRAM 스택들을 플라즈마 건식 에칭하는 방법들은 2017년 10월 31일 허여된, Tan 등에 의한, 미국 특허 제 9,806,252 호의 "Dry Plasma Etch Method To Pattern MRAM Stack"에 기술되고, 이는 모든 목적들을 위해 참조로서 인용된다. 이온 빔 에칭을 제공하기 위한 방법들은 2016년 2월 9일 허여된, Singh 등에 의한, 미국 특허 제 9,257,295 호의 "Ion Beam Etching System"에 기술되고, 이는 모든 목적들을 위해 참조로서 인용된다.
넓은 피치를 갖는 큰 CD (Critical Dimension) 구조체들에 대해, 단일-단계 또는 멀티-단계 IBE 레시피가 충분할 수도 있다. 그러나 100 ㎚ 이하 (sub-100 nm) 의 작은 CD 또는 타이트한 피치 피처들에 대해, IBE를 사용하는 패터닝은 어렵다. 근본적인 한계는 마스크에 의해 섀도잉된 이온 입사이다. 이 제한은 효과적인 MRAM 스택 에칭 및 트리밍 (trim) 을 방지한다.
일 실시 예에서, 1 차 패턴 전사는 ALE (Atomic Layer Etch) 를 통해 실현되었다. ALE 프로세스는 코발트 (Co) 및 철 (Fe) 함유 재료들에 대한 휘발성 에칭 부산물을 형성하기 위해 Si 종을 통합한다. 화학적 에칭 메커니즘은 최소 측벽 재증착을 가능하게 한다. 따라서 ALE는 종횡비로부터의 제한 없이 타이트한 피치들을 패터닝할 수 있다. 할로겐 플라즈마가 수반되었지만, ALE 사이클의 Ar 단계가 반응성 종을 제거하고 퍼지하기 때문에, ALE 프로세스는 RIE와 비교하여 MgO 손상을 최소화한다. ALE 프로세스는 MRAM 스택을 개방하고 전체 프로파일을 규정한다.
실시 예에서, IBE 처리의 제 2 단계는 ALE에 의해 규정된 MRAM 필라 (pillar) 에 적용되었다. IBE 단계는 표면 흡수된 할로겐 종으로부터의 잠재적인 손상을 최소화하는 측벽 잔여물 제거에 기여한다. IBE는 수직 프로파일을 향해 MRAM 측벽을 더 트리밍한다. ALE가 휘발성 부산물을 형성하는 MTJ 스택들을 에칭하도록 사용되기 때문에, IBE 단계는 MRAM을 패터닝하기 위해 입사각 모드에서 사용되지 않고, 오히려 스택의 잔여물 및/또는 푸팅을 제거하기 위해 그레이징 각을 사용하는 세정이다.
ALE와 IBE의 통합된 프로세스는 두 가지 양태들에서 이점들을 갖는다. 1) IBE 단계는 MRAM 스택 표면 및 측벽 상의 할로겐 종을 효과적으로 제거한다. ALE 패터닝을 위해, 예컨대 챔버 벽으로부터의 잔류 할로겐 종은 염소화된 표면 층을 남기는 문제를 부과한다. 측벽 잔여물들은 전기적 특성들을 악화시켜 디바이스들의 단락을 유발하거나 전기 신호들을 열화시킨다. 게다가, 불활성 이온들은 최소 할로겐 잔여물들이 남아 있는 할로겐화된 표면 층을 더 제거한다. 2) 통합 플로우는 고 종횡비 또는 타이트한 피치 구조체들을 향한 패터닝에 대한 IBE의 제한을 회피한다. ALE로부터의 화학적 에칭이 주로 MRAM 필라를 규정하기 때문에, 패터닝에 대한 제한은 더 이상 IBE로부터의 이온 입사각에 의해 제한되지 않는다. 일 실시 예에서 사용된 통합은 MTJ 측벽들에 걸친 재증착 또는 부식 없이 고밀도 MRAM 어레이들을 패터닝하기 위한 솔루션을 제공한다.
이해를 용이하게 하기 위해, 도 1은 일 실시 예의 고 레벨 플로우 차트이다. 이 실시 예에서, 스택은 원자 층 에칭을 겪는다 (subject) (단계 104). 스택은 상이한 재료들의 복수 층들을 포함할 수도 있다. 예를 들어, 스택은 통상적인 MRAM에서 사용되는 하나 이상의 자기 재료들의 층들을 포함할 수도 있다. 도 2a는 도 1에 도시된 프로세스를 사용하여 프로세싱될 수 있는 예시적인 스택 (200) 의 개략적인 단면도이다. 스택 (200) 은 실리콘 또는 실리콘 옥사이드 (Si/SiO2) 층 (204) 을 갖는 기판 상에 있다. 제 1 탄탈룸 (Ta) 층 (208) 이 Si/SiO2 층 (204) 위에 있다. 백금 (Pt) 층 (212) 이 제 1 Ta 층 (208) 위에 있다. 코발트 백금 합금 (CoPt) 층 (216) 이 Pt 층 (212) 위에 있다. 마그네슘 옥사이드 (MgO) 층 (220) 이 CoPt 층 (216) 위에 있다. 코발트 철 붕소 (CoFeB) 층 (224) 이 MgO 층 (220) 위에 있다. 제 2 Ta 층 (228) 이 CoFeB 층 (224) 위에 있다. 루테늄 (Ru) 층 (232) 이 제 2 Ta 층 (228) 위에 있다. 패터닝된 마스크가 스택 (200) 위에 형성된다. 이 실시 예에서, 패터닝된 마스크는 Ru 층 (244) 아래, SiO2 층 (240) 아래에 티타늄 나이트라이드 층 (236) 을 포함한다. 이 실시 예에서, 선택 가능한 (optional) Ru 층 (244) 개방 에칭이 원자 층 에칭 (단계 104) 전에 제공된다. Ru 층 (244) 개방 에칭은 산소 함유 플라즈마를 사용하여 제공된다.
도 3은 원자 층 증착 (단계 104) 의 보다 상세한 플로우 차트이다. 원자 층 에칭은 복수의 사이클들을 포함하고, 사이클 각각은 개질 페이즈 (modification phase) (단계 304) 및 활성화 페이즈 (activation phase) (단계 308) 를 포함한다. 도 4는 개질 페이즈의 보다 상세한 플로우 차트이다. 개질 가스가 제공된다 (단계 404). 개질 가스는 활성화 페이즈를 용이하게 하도록 표면을 개질할 수 있는 임의의 적절한 가스일 수도 있다. 예를 들어, 개질 가스는 할로겐 함유 가스일 수도 있다. 일 실시 예에서, 개질 가스는 5 내지 200 sccm의 실리콘 테트라클로라이드 (SiCl4) 를 포함한다. 개질 가스는 플라즈마로 변환된다 (단계 (408)). 일부 예시적인 조건들로서, 플라즈마는 약 100 W (watts) 내지 900 W의 플라즈마 전력을 사용하여 생성될 수도 있다. 이 동작 동안 온도는 약 60 ℃ 내지 약 200 ℃일 수도 있다. 이 동작 동안 챔버 압력은 약 1 mTorr (millitorr) 내지 약 500 mTorr일 수도 있다. 특정한 이론에 얽매이지 않고, 플라즈마는 SiCl4 분자들을 해리하여 염소 종 및 Si-Cl 종을 생성한다고 여겨진다. 일부 예들에서, 펄싱된 바이어스가 인가될 수도 있다. Si-Cl 종은 금속 층에 흡착된 Si-Cl 컴포넌트들의 개질 층을 형성한다. 상이한 층들 내의 상이한 금속들 M에 대해, 염소 및 Si-Cl 성분 종은 상이한 금속들 M 내로 흡착되어 MSiClx의 원자 층을 형성하고, 여기서 x는 1 이상 3 이하의 정수이다. 원자 층은 단층일 수도 있고, 또는 단층 (monolayer) 보다 두꺼울 수도 있고, 또는 불완전한 단층일 수도 있다.
도 5a 내지 도 5c는 스택이 프로세싱될 때의 분자 상호 작용들을 예시한다. 특히, 도 5a 내지 도 5c는 프로세싱되는 도 2a의 스택을 도시한다. 도 5a는 CoFeB 층 (224) 의 일부의 확대된 개략도이다. SiCl4의 개질 가스는 Si (508) 및 Cl (512) 를 함유하는 종의 플라즈마로 형성된다. 플라즈마 내의 종은 SiClx이고, 여기서 x는 1 이상 3 이하의 정수이다. 또한, 개별 염소 이온들이 있을 수도 있다. 바이어스가 SiClx 종을 CoFeB 층 (224) 으로 가속화한다. SiClx 종은 CoFeB 층 (224) 의 상단 노출된 표면에 결합하여 단층을 형성한다.
이 실시 예에서, 단층이 형성된 후 개질 페이즈 (단계 304) 가 중단된다. 도 5b는 SiClx의 단층이 CoFeB 층 (224) 의 상단 표면 위에 형성되어 CoFeB 층 (224) 의 일부의 개질된 표면을 생성한 후 CoFeB 층 (224) 의 일부의 확대된 개략도이다. 바이어스 및/또는 개질 가스의 플로우 및/또는 플라즈마 전력이 중단될 수도 있다.
원자 층이 형성되고 개질 페이즈 (단계 304) 가 완료된 후, 스택 (200) 은 활성화 페이즈 (단계 308) 를 겪는다. 활성화 페이즈는 플라즈마가 생성될 수도 있는 가스와 같은, 활성화될 수도 있는 가스를 제공하는 것을 포함할 수도 있다. 예를 들어, 활성화 가스는 비활성 가스 (noble gas) 일 수도 있다. 이 실시 예에서, 스택 (200) 은 활성화 페이즈 (단계 308) 를 제공하기 위해 아르곤 (Ar) 플라즈마를 겪는다. 이 실시 예에서, Ar을 포함하는 활성화 가스가 제공된다. 활성화 가스가 활성화된다. 활성화된 가스의 활성화는 활성화 가스를 플라즈마로 형성함으로써 이루어질 수도 있다. 플라즈마는 약 100 W 내지 900 W의 플라즈마 전력을 사용하여 생성될 수도 있다. 이 동작 동안 온도는 약 60 ℃ 내지 약 300 ℃일 수도 있다. 이 동작 동안 챔버 압력은 약 1 mTorr 내지 약 500 mTorr일 수도 있다. Ar 플라즈마는 MSiClx 분자들을 휘발시켜, MSiClx 분자들의 제거를 허용한다. 그 결과, 금속 층들의 개질된 표면은 마스크에 대해 선택적으로 에칭된다. 따라서, 표면 개질은 스택 (200) 을 에칭하도록 사용된다. 다른 실시 예들에서, 열적 활성화는 활성화 가스를 활성화하도록 사용될 수도 있다.
도 5c는 활성화 페이즈 동안 CoFeB 층 (224) 의 일부의 확대된 개략도이다. 아르곤 이온들 (516) 은 바이어스에 의해 CoFeB 층 (224) 을 향해 가속화된다. Ar 이온들 (516) 은 MSiClx의 착체를 활성화하여 MSiClx (520) 의 휘발된 착체를 생성하고, 여기서 M은 이 경우에 CoFeB인 금속을 나타낸다. 바이어스는 MSiClx (520) 의 착체를 휘발시키기에 충분한 에너지를 Ar 이온들 (516) 에 제공하지만, 재증착된 잔여물을 에칭하기에는 충분하지 않다. 개질 페이즈 (단계 304) 및 활성화 페이즈 (단계 308) 를 제공하는 단계들은 스택 (200) 의 원자 층 에칭 (단계 104) 을 제공하여 복수 회 순환적으로 반복된다.
도 2b는 ALE (단계 104) 가 제공된 후 스택 (200) 의 개략적인 단면도이다. 재증착된 잔여물 재료의 잔여물 층 (248) 이 스택 (200) 의 측벽들 상에 형성된다. 잔여물 층 (248) 은 금속 재료, 실리콘, 및 염소 잔여물을 포함한다. 염소 중 일부는 염소 (252) 로 함침된 (impregnate) MgO 영역들을 형성하기 위해 MgO 층 (220) 과 같은 특정한 층들로 이동하고 이들을 공격할 수도 있다. 스택 (200) 의 측벽들 상의 잔여물 층 (248) 의 증착은 비수직 측면들로 나타낸 바와 같이, 스택 (200) 의 에칭으로 하여금 테이퍼링되게 한다.
스택 (200) 의 ALE (단계 104) 가 완료된 후, 스택 (200) 은 IBE를 겪는다 (단계 108). 이온 빔 에칭은 불활성 가스를 사용한 물리적인 스퍼터링에 의한 원자들의 제거를 지칭한다. 물리적 스퍼터링은 불활성 가스의 이온들과 이온들과의 충돌에 의해 에칭될 재료 사이의 운동량 교환에 의해 제공된다. 일 실시 예에서, 챔버 압력은 20 mTorr 이하로 유지된다. 저압은 가스와 이온들의 충돌들을 감소시키고, 플라즈마를 형성할 가능성을 감소시킨다. 이 실시 예에서, 이온들은 Ar 이온들이다. 다른 실시 예들에서, 활성화 가스에 의해 제공된 이온들과 상이한 다른 이온들이 사용될 수도 있다. 이온들은 50 V 내지 1800 V 범위의 에너지를 갖도록 가속화된다. 이온들은 잔류 층 (248) 및 M 원자들 또는 분자들을 스퍼터링하기에 충분한 에너지를 갖는다.
도 2c는 IBE (단계 108) 가 제공된 후 스택 (200) 의 개략적인 단면도이다. IBE (단계 108) 는 잔여물 층 (248), 및 MgO 층 (220) 을 함침시키는 염소 (252) 를 제거한다. 이에 더하여, IBE (단계 108) 는 테이퍼 (taper) 를 제거하거나 감소시킨다. 이 예에서, 스택 (200) 의 측벽들은 IBE (단계 108) 에 의한 테이퍼 제거의 결과로서 수직이다.
ALE (단계 104) 는 IBE 프로세스 단독보다 높은 종횡비 피처들을 에칭할 수 있다. 이에 더하여, 상기 실시 예에 의해 제공된 피처들은 IBE 프로세스 단독으로 형성된 피처들보다 높은 피치를 가질 수 있다. ALE 프로세스 단독으로, 측벽 증착을 갖는 보다 테이퍼링된 스택을 발생시킬 수 있다. IBE 프로세스 (단계 108) 가 제공되지 않으면, MgO 층 (220) 을 함침하고 공격하는 염소 (252) 는 MgO 층 (220) 의 일부를 에칭할 것이다. IBE 프로세스 (단계 108) 는 테이퍼 및 잔여물 층 (248) 을 감소시키거나 제거할 수 있다. IBE 프로세스 (단계 108) 는 MgO 층 (220) 의 일부를 에칭하지 않고 MgO 층 (220) 을 함침시키는 염소 (252) 를 제거할 수 있다.
이 실시 예에서, ALE (단계 104) 는 하나의 레시피를 사용하여 스택 (200) 내의 모든 층들을 에칭할 수 있다. 스택 (200) 이 상대적으로 박형, 예를 들어 30 ㎚ 미만의 두께이기 때문에, ALE (단계 104) 는 15 분 미만 동안 수행된다. IBE 프로세스 (단계 108) 는 또한 스택 (200) 의 하단부에서 푸터 (footer) 를 제거하거나 감소시킬 수 있다. 다른 실시 예들에서, ALE (단계 104) 는 스택 (200) 을 적어도 부분적으로 에칭한다.
다양한 실시 예들에서, 스택 (200) 은 MRAM에 대한 스택일 수도 있다. 다양한 실시 예들에서, 스택 (200) 은 2 개의 자기 재료들 사이의 박형 유전체 배리어 층으로 구성된 MTJ일 수도 있다. 다양한 실시 예들에서, 스택 (200) 은 적어도 하나의 금속 함유 층을 포함한다. 금속 함유 층은 Cr, Mo, Ir, Ti, Ru, Mn, Ni, Pd, Ta, Co, Fe, Mg, 및 Pt 중 적어도 하나를 포함할 수도 있다. 일 예에서, 스택은 적어도 하나의 MgO 층을 포함한다. 다른 스택들은 Cu와 같은 금속들을 포함하여, 제 1 열, 제 2 열, 및 제 3 열에 다른 전이 금속들 (예를 들어, IV 족 전이 금속들, V 족 전이 금속들, 및 VI 족 전이 금속들) 을 가질 수도 있다.
상기 실시 예에서, 개질 가스는 SiCl4를 포함한다. 다른 실시 예들에서, 개질 가스는 할로실란을 포함한다. 할로실란들의 예들은 요오드실란들, 브로모실란들, 클로로실란들, 하이드로클로로실란들, 및 플루오로실란들이다. 특정한 클로로실란들은 테트라클로로실란, 트리클로로실란, 디클로로실란, 모노클로로실란, 클로로아릴실란, 클로로메틸실란, 디클로로메틸실란, 클로로디메틸실란, 클로로에틸실란, t-부틸클로로실란, 디-t-부틸클로로실란, 클로로아이소프로필실란, 클로로-sec-부틸실란, t-부틸디메틸클로로실란, 덱실디메틸클로로실란, SiHCl-(N(CH3)2)2, 등이다. 일부 실시 예들에서, 개질 가스의 실리콘은 게르마늄, 탄소, 티타늄, 또는 주석으로 대체될 수도 있다. 다양한 실시 예들에서, 개질 가스는 실리콘, 게르마늄, 탄소, 티타늄, 및 주석으로 구성된 그룹으로부터 선택된 원소를 포함하는 할로겐 함유 가스를 포함한다. 다른 실시 예들에서, 금속 실리콘 및 염소 분자 (MSiClx) 는 보다 일반적으로 금속 테트렐 (tetrel) 할로겐 분자로서 기술될 수도 있다. 명세서 및 청구항들에서, 금속 테트렐 할로겐 분자는 적어도 하나의 금속 원자, 적어도 하나의 할로겐 원자, 및 실리콘, 게르마늄, 티타늄, 및 주석 중 적어도 하나의 테트렐을 갖는 분자로서 규정된다. 금속 테트렐 할로겐 분자가 실리콘을 포함하면, 금속 테트렐 할로겐 분자는 휘발성 금속 사일로 (silo) 착체이다. 또 다른 실시 예에서, SiCl4 및 CO 전구체는 M(SiCl3)(CO)를 생성하도록 사용될 수도 있다. 또 다른 실시 예에서, Cl2 및 H2O의 전구체들은 MClx(H2O)y를 형성하도록 사용될 수도 있다. 또 다른 실시 예에서, 메탄올의 전구체 (CH3OH) 가 사용될 수도 있다.
다른 실시 예들에서, 반응 가스는 Ar 대신 또 다른 비활성 가스일 수도 있다. 다른 실시 예들에서, 반응 가스는 일산화탄소 (CO), 물 (H2O), CH3OH, 또는 암모니아 (NH3) 중 하나 이상일 수도 있다. 반응 가스는 반응 가스 이온들로 변환된다.
일부 실시 예들에서, ALE (단계 104) 는 오버에칭 (overetch) 을 제공하도록 사용될 수도 있다. 오버에칭은 실리콘 또는 실리콘 옥사이드 (Si/SiO2) 층 (204) 의 일부를 에칭한다. 오버에칭은 ALE (단계 104) 에 의해 에칭된 스택 (200) 의 테이퍼를 감소시킨다. 오버에칭의 실리콘 또는 실리콘 옥사이드 (Si/SiO2) 층 (204) 의 에칭 동안, 보다 적은 재료가 재증착되어, 잔여물 층 (248) 이 에칭되고 테이퍼가 감소될 수도 있다. 오버에칭은 또한 스택 푸터를 감소시키거나 제거하도록 사용될 수도 있다.
하나 이상의 부가적인 프로세스들이 상기 실시 예의 상기 단계들 전 또는 후에 또는 상기 단계들 동안 스택 상에서 수행될 수도 있다. 예를 들어, 부가적인 IBE 개방 단계가 ALE (단계 104) 전에 스택 (200) 을 부분적으로 개방하도록 사용될 수도 있다. 이러한 프로세스는 CoFeB 층을 개방할 수도 있어서, CoFeB를 할로겐에 노출시키지 않고 CoFeB 층의 개방을 허용한다. 또 다른 실시 예에서, ALE (단계 104) 및 IBE (단계 108) 는 적어도 2 사이클들 동안 순환적으로 제공될 수도 있다. 이러한 프로세스들은 보다 느린 쓰루풋 (throughput) 을 가질 수도 있다.
또한, 상기 기술된 예시적인 프로세스들의 순서 및 시퀀스는 임의의 유용한 방식으로 수정될 수도 있다. 예를 들어, 다른 실시 예들은 ALE 전에 층들을 에칭하도록 IBE를 제공할 수도 있고, 이어서 잔여물을 제거하기 위해 ALE 후 IBE를 사용할 수도 있다. 여전히, 다른 실시 예들은 IBE를 사용하여 하나 이상의 층들을 에칭할 수도 있고, 이어서 ALE를 사용하여 하나 이상의 층들을 에칭할 수도 있고, 이어서 IBE를 사용하여 하나 이상의 층들을 에칭할 수도 있고, 이어서 ALE를 사용하여 하나 이상의 층들을 에칭할 수도 있다.
ALE 프로세스 (단계 104) 에 사용될 수도 있는 프로세싱 챔버의 실시 예를 제공하기 위해, 도 6은 ALE 프로세스를 위해 사용될 수도 있는 ALE 챔버 시스템 (600) 의 예를 개략적으로 예시한다. ALE 챔버 시스템 (600) 은 내부에 플라즈마 프로세싱 한정 챔버 (604) 를 갖는 플라즈마 반응기 (602) 를 포함한다. 플라즈마 매칭 네트워크 (608) 에 의해 튜닝된 플라즈마 전력 공급부 (606) 가, 유도적으로 커플링된 전력을 제공함으로써 플라즈마 프로세싱 한정 챔버 (604) 에서 플라즈마 (614) 를 생성하도록 유전체 유도 전력 윈도우 (612) 근방에 위치된 TCP (Transformer Coupled Plasma) 코일 (610) 에 전력을 공급한다. 피나클 (pinnacle) (672) 이 플라즈마 프로세싱 한정 챔버 (604) 의 챔버 벽 (676) 으로부터 유전체 유도 전력 윈도우 (612) 로 연장하여 피나클 링을 형성한다. 피나클 (672) 은 피나클 (672) 과 챔버 벽 (676) 사이의 내각 및 피나클 (672) 과 유전체 유도 전력 윈도우 (612) 사이의 내각이 각각 90°보다 크고 180°보다 작도록, 챔버 벽 (676) 및 유전체 유도 전력 윈도우 (612) 에 대해 기울어진다. 피나클 (672) 은 도시된 바와 같이 플라즈마 프로세싱 한정 챔버 (604) 의 상단부 근방에 기울어진 링을 제공한다. TCP 코일 (상부 전력 소스) (610) 은 플라즈마 프로세싱 한정 챔버 (604) 내에 균일한 확산 프로파일을 생성하도록 구성될 수도 있다. 예를 들어, TCP 코일 (610) 은 플라즈마 (614) 내에 토로이달 (toroidal) 전력 분포를 생성하도록 구성될 수도 있다. 유전체 유도 전력 윈도우 (612) 는 에너지로 하여금 TCP 코일 (610) 로부터 플라즈마 프로세싱 한정 챔버 (604) 로 통과하게 하는 동안, 플라즈마 프로세싱 한정 챔버 (604) 로부터 TCP 코일 (610) 을 분리하기 위해 제공된다. TCP 코일 (610) 은 플라즈마 프로세싱 한정 챔버 (604) 에 무선 주파수 (Radio Frequency; RF) 전력을 제공하기 위한 전극으로서 작용한다. 바이어스 매칭 네트워크 (618) 에 의해 튜닝된 웨이퍼 바이어스 전압 전력 공급부 (616) 가 기판 (666) 상에 바이어스 전압을 설정하도록 전극 (620) 에 전력을 제공한다. 기판 (666) 은 전극 (620) 이 기판 지지부로서 작용하도록 전극 (620) 에 의해 지지된다. 제어기 (624) 가 플라즈마 전력 공급부 (606) 및 웨이퍼 바이어스 전압 전력 공급부 (616) 를 제어한다.
플라즈마 전력 공급부 (606) 및 웨이퍼 바이어스 전압 전력 공급부 (616) 는 예를 들어, 13.56 ㎒, 27 ㎒, 2 ㎒, 60 ㎒, 400 ㎑, 2.54 ㎓, 또는 이들의 조합들과 같은 특정한 무선 주파수들에서 동작하도록 구성될 수도 있다. 플라즈마 전력 공급부 (606) 및 웨이퍼 바이어스 전압 전력 공급부 (616) 는 목표된 프로세스 성능을 달성하기 위해 다양한 전력들을 공급하도록 적절하게 사이즈가 정해질 수도 있다. 예를 들어, 일 실시 예에서, 플라즈마 전력 공급부 (606) 는 50 내지 5000 W 범위의 전력을 공급할 수도 있고, 웨이퍼 바이어스 전압 전력 공급부 (616) 는 20 내지 2000 V 범위의 바이어스 전압을 공급할 수도 있다. 이에 더하여, TCP 코일 (610) 및/또는 전극 (620) 은 2 개 이상의 서브-코일들 또는 서브-전극들로 구성될 수도 있다. 서브-코일들 또는 서브-전극들은 단일 전력 공급부에 의해 전력 공급되거나 복수의 전력 공급부들에 의해 전력 공급될 수도 있다.
도 6에 도시된 바와 같이, ALE 챔버 시스템 (600) 은 가스 소스/가스 공급 메커니즘 (630) 을 더 포함한다. 가스 소스 (630) 는 가스 주입기 (640) 와 같은, 가스 유입구를 통해 플라즈마 프로세싱 한정 챔버 (604) 와 유체로 연통한다 (in fluid connection). 가스 주입기 (640) 는 플라즈마 프로세싱 한정 챔버 (604) 의 임의의 유리한 위치에 위치될 수도 있고, 가스를 주입하기 위해 임의의 형태를 취할 수도 있다. 그러나 바람직하게, 가스 유입구는 "튜닝 가능한 (tunable)" 가스 주입 프로파일을 생성하도록 구성될 수도 있다. 튜닝 가능한 가스 주입 프로파일은 플라즈마 프로세싱 한정 챔버 (604) 내의 복수의 존들로 가스들의 각각의 플로우의 독립적인 조정을 허용한다. 보다 바람직하게, 가스 주입기는 유전체 유도 전력 윈도우 (612) 에 장착된다. 가스 주입기는 전력 윈도우 상에 장착되거나, 전력 윈도우 내부에 장착되거나, 전력 윈도우의 일부를 형성할 수도 있다. 프로세스 가스들 및 부산물들은 압력 제어 밸브 (642) 및 펌프 (644) 를 통해 플라즈마 프로세싱 한정 챔버 (604) 로부터 제거된다. 압력 제어 밸브 (642) 및 펌프 (644) 는 또한 플라즈마 프로세싱 한정 챔버 (604) 내에 특정한 압력을 유지하기 위한 역할을 한다. 압력 제어 밸브 (642) 는 프로세싱 동안 1 torr 미만의 압력을 유지할 수 있다. 에지 링 (660) 이 기판 (666) 둘레에 배치된다. 가스 소스/가스 공급 메커니즘 (630) 이 제어기 (624) 에 의해 제어된다. CA, Fremont 소재의 Lam Research Corp. 의 Kiyo가 일 실시예를 실시하기 위해 사용될 수도 있다.
이온 빔 에칭 챔버의 실시 예를 도시하기 위해, 도 7은 특정한 방법들에 따라 이온 빔 에칭을 수행하기 위한 이온 빔 에칭 챔버 (700) 의 간략화된 단면도를 제시한다. 이 예에서, 기판 (701) 은 기판 지지부 (703) 상에 놓인다. 이온 빔 에칭 챔버 (700) 는 전기적 연결부 및 유체 연결부를 제공하기 위해 하드웨어 (미도시) 를 구비할 수도 있다. 전기적 연결부들은 일부 경우들에서 기판 지지부 (703) 상에 또는 기판 지지부 (703) 내에 위치된 정전 척에 또는 기판 지지부 (703) 에 전기를 공급하도록 사용될 수도 있는 한편, 유체 연결부들은 기판 (701) 및 기판 지지부 (703) 의 온도를 제어하기 위해 사용된 유체들을 제공하도록 사용될 수도 있다. 기판 지지부 (703) 는 히터 (미도시) 에 의해 가열될 수도 있고 그리고/또는 냉각 메커니즘 (미도시) 에 의해 냉각될 수도 있다. 임의의 적절한 냉각 메커니즘이 사용될 수도 있다. 일 예에서, 냉각 메커니즘은 기판 지지부 (703) 내 또는 기판 지지부 (703) 에 인접한 파이프 (piping) 를 통해 냉각 유체들을 흘리는 것을 수반할 수도 있다. 기판 지지부 (703) 는 도 7에서 양방향 화살표들로 나타낸 바와 같이, 가변 속도들 및 각도들로 회전하고 틸팅할 (tilting) 수도 있다. 회전 및 틸팅은 이온 빔으로 하여금 연속적으로 가변하는 각도들로 입사하게 한다. IBE의 가변하는 각도들은 보다 많은 잔여물 층 (248) 으로 하여금 IBE에 노출되게 하여, 잔여물 층 (248) 이 보다 신속하게 제거되게 한다.
플라즈마 생성 가스가 주 플라즈마 생성 영역 (705) 으로 전달된다. 플라즈마 생성 가스는 플라즈마 소스 (707) 에 의해 에너자이징된다 (energize). 도 7의 맥락에서, 플라즈마 소스 (707) 는 유도 결합 플라즈마 소스로서 작용하는 코일이다. 용량성으로 결합된 소스들, 마이크로파 소스들, 또는 방전 소스들과 같은 다른 소스들이 적절히 설계된 반응기들에서 채용될 수도 있다. 플라즈마는 주 플라즈마 생성 영역 (705) 에서 형성된다. 추출 전극 (709) 은 이온들이 추출되는 일련의 어퍼처들 (apertures) (710) 을 포함한다.
어퍼처들 (710) 은 약 0.5 내지 1 ㎝의 직경, 및 전극의 두께에 의해 규정된 높이를 가질 수도 있다. 어퍼처들 (710) 은 약 0.01 내지 100.0의 높이 대 폭 종횡비 (AR) 를 가질 수도 있다. 일부 경우들에서, 어퍼처들 (710) 은 육각형, 정사각형 그리드, 또는 나선형 패턴으로 배열되지만, 다른 패턴들이 또한 사용될 수도 있다. 이웃하는 어퍼처들 사이의 중심-대-중심 거리는 약 1 ㎜ 내지 10 ㎝일 수도 있다. 어퍼처들은 전극의 단일 (상단 또는 하단) 면을 단독으로 고려할 때 전극의 표면적의 약 0.1 % 내지 95 %인 전체 개방 면적 (즉, 어퍼처 각각의 면적의 합) 을 달성하도록 구성될 수도 있다. 예를 들어, 40 ㎝의 직경을 갖는 전극 및 1 ㎝의 직경을 각각 갖는 500 개의 홀들은 약 31 %의 개방 면적 (393 ㎠ 개방 면적을 1257 ㎠ 총 면적으로 나눈 값) 을 가질 것이다. 어퍼처들 (710) 은 상이한 전극들에서 상이한 직경들을 가질 수도 있다. 일부 경우들에서, 어퍼처 직경은 상부 전극들에서 보다 작고 하부 전극들에서 보다 크다. 일 실시 예에서, 하부 전극 (713) 의 어퍼처들은 포커스 전극 (711) 의 어퍼처들보다 크다 (예를 들어, 약 0 내지 30 % 보다 크다). 이들 또는 다른 경우들에서, 포커스 전극 (711) 의 어퍼처들은 추출 전극 (709) 의 어퍼처들보다 크다 (예를 들어, 약 0 내지 30 % 보다 크다).
기판 (701) 에 대해 추출 전극 (709) 에 인가된 바이어스 V1은 기판에 대해 이온에 운동 에너지를 제공하도록 작용한다. 이 바이어스는 일반적으로 포지티브이고, 약 20 내지 10,000 V 이상의 범위일 수 있다. 특정한 경우들에서, 추출 전극 상의 바이어스는 약 20 내지 2,000 V이다. 추출 전극 (709) 위의 플라즈마의 포지티브 이온들은 전극들 (709 및 713) 사이의 전위차에 의해 하부 전극 (713) 으로 끌어당겨진다 (attract). 포커스 전극 (711) 이 이온들을 포커싱하기 위해, 그리고 필요하다면, 전자들을 밀어내기 (repel) 위해 부가된다. 이 전극 상의 바이어스 V2는 추출 전극 (709) 에 대해 포지티브 또는 네거티브일 수 있지만, 일반적으로 네거티브로 바이어스된다. 포커스 전극 (711) 의 바이어스 전위는 포커스 전극 (711) 의 렌즈 특성들에 의해 결정된다. 포커스 전극 (711) 상의 바이어스 전압들은 추출 전극 상의 전위 V1의 약 1.1 배 내지 20 배인 포지티브 전압들, 및 전위 V1의 약 0.001 배 내지 0.95 배 크기를 갖는 네거티브 전압들을 포함한다. 상이한 전극들에 인가된 상이한 전위들로 인해, 전위 기울기 (potential gradient) 가 존재한다. 전위 기울기는 대략 약 1000 V/㎝일 수도 있다. 이웃하는 전극들 사이의 예시적인 분리 거리들은 약 0.1 내지 10 ㎝, 또는 예를 들어 약 1 ㎝로 떨어진다.
이온들이 접지된 하부 전극 (713) 의 하단부를 떠난 후, 포커스 전극 (711) 전압이 시준된 빔을 생성하도록 설정되면, 이온들은 시준되고 포커싱된 빔으로 이동한다. 대안적으로, 포커스 전극 전압이 이온 빔을 언더-포커싱하거나 오버-포커싱하도록 조정된다면, 빔은 발산하게 될 수 있다. 하부 전극 (713) 은 많은 (그러나 전부는 아닌) 경우들에서 접지된다. 접지된 기판 (701) 과 조합하여 접지된 하부 전극 (713) 의 사용은 실질적으로 필드 프리인 (field free) 기판 프로세싱 영역 (715) 을 발생시킨다. 필드 프리 영역에 위치된 기판을 갖는 것은 잔류 가스들을 갖는 이온 빔 간의 충돌 또는 반응 챔버 내의 표면들과의 충돌에 의해 생성된 전자들 또는 2 차 이온들이 기판을 향해 가속화되는 것을 방지하여, 원치 않은 손상 또는 2 차 반응들을 유발할 위험을 최소화한다.
부가적으로, 기판 (701) 이 이온 빔 자체로부터, 또는 기판과의 이온 빔 충돌 동안 생성된 방출된 2 차 전자들로부터 대전되는 것을 방지하는 것이 중요하다. 중화는 통상적으로 기판 (701) 근방에 저에너지 전자 소스 (미도시) 를 부가함으로써 달성된다. 이온 상의 포지티브 전하 및 방출된 2 차 전자들 모두가 기판을 포지티브로 대전하기 때문에, 기판 근방의 저에너지 전자들은 포지티브로 대전된 표면으로 끌어당겨질 수 있고, 이 전하를 중화할 수 있다. 이 중화를 수행하는 것은 필드 프리 영역에서 훨씬 보다 쉽다.
일부 적용 예들에서, 하부 전극 (713) 과 기판 (701) 사이에 전위차를 갖는 것이 바람직할 수도 있다. 예를 들어, 매우 저에너지 이온들이 요구된다면, 포지티브로 대전된 이온들의 상호 반발 (공간-전하 효과들) 로 인해 장거리에 걸쳐 저에너지로 잘 시준된 빔을 유지하는 것이 어렵다. 이에 대한 일 솔루션은 기판 (701) 에 대해 하부 전극 (713) 상에 네거티브 바이어스를 배치하는 것 (또는 반대로 하부 전극 (713) 에 대해 기판 (701) 을 포지티브로 바이어싱하는 것) 이다. 이는 보다 높은 에너지의 이온들을 추출하여, 이온들이 기판에 접근할 때 이들을 느리게 한다.
전극들 (709, 711, 및 713) 각각은 두께를 갖는다. 두께는 약 0.5 ㎜ 내지 10 ㎜, 또는 약 1 ㎜ 내지 3 ㎝, 예를 들어 약 5 ㎜일 수도 있다. 전극들 (709, 711, 및 713) 각각은 동일한 두께일 수도 있고, 또는 상이한 두께들을 가질 수도 있다. 또한, 추출 전극 (709) 과 포커스 전극 (711) 사이의 분리 거리는 포커스 전극 (711) 과 하부 전극 (713) 사이의 분리 거리와 같거나, 보다 크거나, 보다 작을 수도 있다.
추출 전극 (709), 포커스 전극 (711) 및 하부 전극 (713) 의 어퍼처들 (710) 은 서로 정밀하게 정렬될 수도 있다. 그렇지 않으면, 이온들이 부정확하게 조준될 것이고, 웨이퍼 상의 에칭 결과들은 좋지 않을 (poor) 것이다. 예를 들어, 포커스 전극 (711) 의 단일 어퍼처가 오정렬되면, 기판 (701) 의 일 영역이 오버-에칭될 수도 있고 (너무 많은 이온들이 지향됨), 기판 (701) 의 또 다른 영역이 언더-에칭될 수도 있다 (이온들이 없거나 너무 적은 이온들이 지향됨). 이와 같이, 어퍼처들은 가능한 한 많이 서로 정렬되어야 한다. 다양한 경우들에서, 수직으로 인접한 전극들 사이의 오정렬은 (인접한 어퍼처와 비교하여 어퍼처의 위치에서 선형 시프트의 거리에 의해 측정된 바와 같이) 홀 직경의 약 1 % 이하로 제한된다.
이온 빔 에칭 프로세스들은 통상적으로 저압에서 실행된다. 일부 실시 예들에서, 압력은 약 100 mTorr 이하, 예를 들어 약 1 mTorr 이하, 그리고 많은 경우들에서 약 0.1 mTorr 이하일 수도 있다. 저압은 기판 프로세싱 영역에 존재하는 임의의 가스 종과 이온들 사이의 바람직하지 않은 충돌들을 최소화하는 것을 돕는다. 특정한 경우들에서, 상대적으로 고압인 반응 물질은 그렇지 않으면 저압 이온 프로세싱 분위기에서 전달된다.
일 실시 예에서, 프로세싱 툴은 ALE 프로세스를 위한 챔버, IBE 프로세스를 위한 챔버, 및 발생하는 디바이스들을 캡슐화하기 위한 챔버를 제공하는 플랫폼을 제공할 수도 있다. 도 8은 일 실시 예에서 사용된 프로세싱 툴 (800) 의 상면 개략도이다. 카세트 (802) 가 프로세싱되기 전에 프로세싱되지 않은 웨이퍼들을 하우징하고, 일단 프로세싱 툴 (800) 내에서 모든 프로세싱이 완료되면 처리된 웨이퍼들을 홀딩한다. 카세트 (802) 는 종종 25 개만큼 많은 웨이퍼들을 홀딩할 수 있다. ATM (Atmospheric Transport Module) (814) 이 카세트 (802) 로 그리고 카세트 (802) 로부터 웨이퍼들을 이송하도록 사용된다. 로드 록 스테이션 (805) 이 ATM (814) 의 대기와 VTM (Vacuum Transport Module) (812) 의 진공 사이에서 웨이퍼를 앞뒤로 이송하도록 동작하는, 적어도 하나의 디바이스를 나타낸다. VTM (812) 은 프로세싱 툴의 일부이고, 복수의 챔버들에 연결된다. 상이한 타입들의 챔버들이 있을 수도 있다. 이 실시 예에서, 2 개의 ALE 챔버들 (600) 과 2 개의 이온 빔 에칭 챔버들 (700) 및 캡슐화 챔버 (828) 가 있다. 이 실시 예에서, ALE 챔버들 (600) 은 CA, Fremont 소재의 Lam Research에 의해 제작된 Kiyo® 챔버들이다. 이온 빔 에칭 챔버들 (700) 은 CA, Fremont 소재의 Lam Research에 의해 제작된 Kyber® 챔버이다. 캡슐화 챔버 (828) 는 PECVD (Plasma Enhanced Chemical Vaporization Deposition) 챔버 또는 또 다른 유전체 증착 챔버, 예컨대 CA, Fremont 소재의 Lam Research에 의해 제작된 VECTOR® PECVD 챔버일 수도 있다. 진공 이송 모듈 (812) 내의 로봇 시스템이 로드 록 스테이션 (805) 과 상이한 챔버들 (600, 700, 828) 사이에서 스택을 갖는 웨이퍼를 이동시키기 위해 로봇 암을 사용한다. ATM (814) 은 진공 분위기에서 카세트 (802) 와 로드 록 스테이션 (805) 사이에서 웨이퍼들을 이송하기 위해 로봇 시스템을 사용한다. 제어기 (835) 가 프로세싱 툴 (800) 을 제어하도록 사용될 수도 있다. 제어기 (835) 는 하나 이상의 서브-제어기들을 포함할 수도 있다. 하나 이상의 서브-제어기들을 포함할 수도 있는 제어기 (835) 는 진공 이송 모듈 (812), 원자 층 에칭 챔버들 (600), 이온 빔 에칭 챔버들 (700), 및 캡슐화 챔버 (828) 에 제어 가능하게 연결된다.
도 9는 컴퓨터 시스템 (900) 을 도시하는 고 레벨 블록도이다. 컴퓨터 시스템 (900) 은 실시 예들에서 사용된 제어기 (835) 를 구현하기에 적합하다. 컴퓨터 시스템 (900) 은 집적 회로, 인쇄 회로 기판, 및 소형 휴대용 디바이스로부터 대형 슈퍼 컴퓨터까지 범위의 많은 물리적 형태들을 가질 수도 있다. 컴퓨터 시스템 (900) 은 하나 이상의 프로세서들 (902) 을 포함하고, (그래픽, 텍스트, 및 다른 데이터를 디스플레이하기 위한) 전자 디스플레이 디바이스 (904), 메인 메모리 (906) (예를 들어, RAM (Random Access Memory)), 저장 디바이스 (908) (예를 들어, 하드 디스크 드라이브), 이동식 저장 디바이스 (910) (예를 들어, 광학 디스크 드라이브), 사용자 인터페이스 디바이스들 (912) (예를 들어, 키보드들, 터치 스크린들, 키패드들, 마우스들 또는 다른 포인팅 디바이스들, 등), 및 통신 인터페이스 (914) (예를 들어, 무선 네트워크 인터페이스) 를 더 포함할 수 있다. 통신 인터페이스 (914) 는 소프트웨어 및 데이터로 하여금 링크를 통해 컴퓨터 시스템 (900) 과 외부 디바이스들 사이에서 이송되게 한다. 시스템은 또한 전술한 디바이스들/모듈들이 연결되는 통신 인프라스트럭처 (916) (예를 들어, 통신 버스, 크로스-오버 바, 또는 네트워크) 를 포함할 수도 있다.
통신 인터페이스 (914) 를 통해 전송된 정보는 신호들을 반송하고, 전선 또는 케이블, 광섬유, 전화 선, 휴대전화 링크, 무선 주파수 링크, 및/또는 다른 통신 채널들을 사용하여 구현될 수도 있는 통신 링크를 통해, 통신 인터페이스 (914) 에 의해 수신될 수 있는 전자, 전자기, 광학, 또는 다른 신호들과 같은 신호들의 형태일 수도 있다. 이러한 통신 인터페이스 (914) 를 사용하여, 하나 이상의 프로세서들 (902) 이 상기 기술된 방법 단계들을 수행하는 동안 네트워크로부터 정보를 수신할 수도 있고, 또는 네트워크에 정보를 출력할 수도 있다는 것이 고려된다. 또한, 방법 실시 예들은 프로세서들 상에서만 실행될 수도 있거나, 프로세싱의 일부를 공유하는 원격 프로세서들과 함께 인터넷과 같은 네트워크를 통해 실행될 수도 있다.
용어 "비일시적 컴퓨터 판독가능 매체"는 일반적으로 메인 메모리, 보조 메모리, 이동식 저장장치, 및 하드 디스크들, 플래시 메모리, 디스크 드라이브 메모리, CD-ROM 및 다른 형태들의 영구 메모리와 같은 저장 디바이스들과 같은 매체를 지칭하도록 사용되고, 반송파들 또는 신호들과 같은 일시적 주제를 커버하는 것으로 해석되지 않는다. 컴퓨터 판독 가능 코드의 예들은 예컨대 컴파일러에 의해 생성된 머신 코드, 및 인터프리터 (interpreter) 를 사용하여 컴퓨터에 의해 실행되는, 보다 고 레벨 코드를 포함하는 파일들을 포함한다. 컴퓨터 판독 가능 매체는 또한 컴퓨터 데이터 신호에 의해 프로세서로 전송된 컴퓨터 코드일 수도 있다.
일부 실시 예들에서, 컴퓨터 판독 가능 매체는 스택을 ALE 챔버 (600) 로 이송하기 위한 컴퓨터 판독 가능 코드, ALE 프로세스 (단계 104) 를 수행하기 위한 컴퓨터 판독 가능 코드, 스택을 이온 빔 에칭 챔버 (700) 로 이송하기 위한 컴퓨터 판독 가능 코드, 이온 빔 에칭 (단계 108) 을 수행하기 위한 컴퓨터 판독 가능 코드, 스택 (200) 을 캡슐화 챔버 (828) 로 이송하기 위한 컴퓨터 판독 가능 코드, 스택 (200) 을 캡슐화하기 위한 컴퓨터 판독 가능 코드, 및 프로세싱 툴 (800) 로부터 대기로 스택 (200) 을 제거하기 위한 컴퓨터 판독 가능 코드를 포함할 수도 있다. 스택 (200) 이 에칭된 후 그리고 스택 (200) 이 대기에 노출되기 전에 스택을 캡슐화하는 것은 스택의 다양한 층들의 산화를 방지한다. 스택 (200) 의 다양한 층들의 산화는 디바이스 고장을 유발할 수도 있다. ALE 챔버 (600), 이온 빔 에칭 챔버 (700), 및 캡슐화 챔버 (828) 를 갖는 통합된 프로세싱 툴 (800) 은 에칭된 MRAM의 보다 빠른 쓰루풋을 제공한다.
본 개시가 몇몇의 바람직한 실시 예들의 측면에서 기술되었지만, 본 개시의 범위 내에 속하는 변경들, 수정들, 치환들, 및 다양한 대체 등가물들이 있다. 또한 본 개시의 방법들 및 장치들을 구현하는 많은 대안적인 방식들이 있다는 것에 유의해야 한다. 따라서 이하의 첨부된 청구항들은 본 개시의 진정한 정신 및 범위 내에 속하는 이러한 변경들, 수정들, 치환들, 및 다양한 대체 등가물들을 모두 포함하는 것으로 해석되는 것이 의도된다.

Claims (19)

  1. 마스크에 대해 스택을 선택적으로 에칭하기 위한 방법에 있어서,
    스택을 적어도 부분적으로 에칭하도록 원자 층 에칭을 제공하는 단계로서, 상기 원자 층 에칭은 적어도 일부 잔여물을 형성하는, 상기 원자 층 에칭 제공 단계; 및
    상기 스택의 이온 빔 에칭을 제공하는 단계로서, 상기 이온 빔 에칭은 상기 원자 층 에칭으로부터의 상기 잔여물의 적어도 일부를 제거하는, 상기 이온 빔 에칭 제공 단계를 포함하는, 스택을 선택적으로 에칭하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 원자 층 에칭을 제공하는 단계는 복수의 사이클들을 포함하고,
    사이클 각각은,
    개질 페이즈 (modification phase) 로서,
    실리콘, 게르마늄, 탄소, 티타늄, 및 주석으로 구성된 그룹으로부터 선택된 원소를 포함하는 할로겐 함유 가스를 포함하는 개질 가스를 제공하는 단계; 및
    상기 개질 가스를 플라즈마로 변환하는 단계로서, 상기 플라즈마로부터의 컴포넌트들은 개질된 표면을 형성하도록 상기 스택의 표면의 일부를 개질하는, 상기 플라즈마 변환 단계를 포함하는, 상기 개질 페이즈; 및
    활성화 페이즈 (activation phase) 로서, 상기 활성화 페이즈는 상기 스택의 상기 개질된 표면을 에칭하는, 상기 활성화 페이즈를 포함하는, 스택을 선택적으로 에칭하기 위한 방법.
  3. 제 2 항에 있어서,
    상기 할로겐 함유 가스는 요오드실란들, 브로모실란들, 클로로실란들, 하이드로클로로실란들, 및 플루오로실란들로 구성된 그룹으로부터 선택되는, 스택을 선택적으로 에칭하기 위한 방법.
  4. 제 2 항에 있어서,
    상기 활성화 페이즈는,
    활성화 가스를 제공하는 단계; 및
    상기 활성화 가스를 활성화하는 단계를 포함하는, 스택을 선택적으로 에칭하기 위한 방법.
  5. 제 4 항에 있어서,
    상기 활성화 페이즈는 바이어스를 인가하는 단계를 더 포함하는, 스택을 선택적으로 에칭하기 위한 방법.
  6. 제 2 항에 있어서,
    상기 활성화 페이즈는 금속, 테트렐 (tetrel), 할로겐 분자를 생성하는, 스택을 선택적으로 에칭하기 위한 방법.
  7. 제 6 항에 있어서,
    상기 금속, 테트렐, 할로겐 분자는 금속, 실리콘, 및 할로겐을 포함하는, 스택을 선택적으로 에칭하기 위한 방법.
  8. 제 1 항에 있어서,
    상기 스택은 적어도 하나의 금속 함유 층을 포함하는, 스택을 선택적으로 에칭하기 위한 방법.
  9. 제 1 항에 있어서,
    상기 스택은 적어도 하나의 전이 금속 함유 층을 포함하는, 스택을 선택적으로 에칭하기 위한 방법.
  10. 제 1 항에 있어서,
    상기 이온 빔 에칭을 제공하는 단계는 연속적으로 가변하는 각도들로 상기 스택을 향해 가스 이온들을 지향시키는 단계를 포함하는, 스택을 선택적으로 에칭하기 위한 방법.
  11. 제 10 항에 있어서,
    상기 이온 빔 에칭은 상기 스택의 테이퍼 (taper) 를 감소시키는, 스택을 선택적으로 에칭하기 위한 방법.
  12. 제 10 항에 있어서,
    상기 이온 빔 에칭은 상기 스택의 금속 함유 층을 함침시키는 (impregnate) 할로겐을 제거하는, 스택을 선택적으로 에칭하기 위한 방법.
  13. 제 10 항에 있어서,
    상기 이온 빔 에칭은 상기 스택의 상기 금속 함유 층을 에칭하지 않고 상기 스택의 금속 함유 층을 함침시키는 염소를 제거하는, 스택을 선택적으로 에칭하기 위한 방법.
  14. 제 1 항에 있어서,
    상기 원자 층 에칭을 제공하는 단계는 복수의 사이클들을 포함하고,
    사이클 각각은,
    개질 페이즈로서,
    할로겐 함유 가스를 포함하는 개질 가스를 제공하는 단계; 및
    상기 개질 가스를 플라즈마로 변환하는 단계로서, 상기 플라즈마로부터의 컴포넌트들은 개질된 표면을 형성하도록 상기 스택의 표면의 일부를 개질하는, 상기 플라즈마 변환 단계를 포함하는, 상기 개질 페이즈; 및
    활성화 페이즈로서, 상기 활성화 페이즈는 상기 스택의 상기 개질된 표면을 에칭하는, 상기 활성화 페이즈를 포함하는, 스택을 선택적으로 에칭하기 위한 방법.
  15. 제 1 항에 있어서,
    상기 원자 층 에칭을 제공하는 단계 전에 이온 빔 개방 에칭을 제공하는 단계를 더 포함하는, 스택을 선택적으로 에칭하기 위한 방법.
  16. 마스크에 대해 스택을 선택적으로 에칭하기 위한 장치에 있어서,
    진공 이송 모듈;
    상기 진공 이송 모듈에 연결된 원자 층 에칭 챔버;
    상기 진공 이송 모듈에 연결된 이온 빔 에칭 챔버;
    상기 진공 이송 모듈에 연결된 캡슐화 챔버;
    상기 진공 이송 모듈, 상기 원자 층 에칭 챔버, 상기 이온 빔 에칭 챔버, 및 상기 캡슐화 챔버에 제어 가능하게 연결된 제어기를 포함하고, 상기 제어기는,
    상기 원자 층 에칭 챔버 내로 상기 스택을 이동시키도록 상기 진공 이송 모듈을 제어하고,
    상기 스택의 원자 층 에칭을 제공하도록 상기 원자 층 에칭 챔버를 제어하고,
    상기 원자 층 에칭 챔버로부터 상기 이온 빔 에칭 챔버로 상기 스택을 이동시키도록 상기 진공 이송 모듈을 제어하고,
    상기 스택의 이온 빔 에칭을 제공하도록 상기 이온 빔 에칭 챔버를 제어하고,
    상기 이온 빔 에칭 챔버로부터 상기 캡슐화 챔버로 상기 스택을 이동시키도록 상기 진공 이송 모듈을 제어하고, 그리고
    상기 스택의 캡슐화를 제공하도록 상기 캡슐화 챔버를 제어하도록 구성되는, 장치.
  17. 제 16 항에 있어서,
    상기 제어기는,
    개질 가스를 제공하도록 상기 원자 층 에칭 챔버를 제어하고, 그리고
    상기 개질 가스를 플라즈마로 변환하도록 상기 원자 층 에칭 챔버를 제어하도록 더 구성되고, 상기 플라즈마로부터의 컴포넌트들은 개질된 표면을 형성하도록 상기 스택의 표면의 일부를 개질하는, 장치.
  18. 제 17 항에 있어서,
    상기 제어기는,
    활성화 가스를 제공하도록 상기 원자 층 에칭 챔버를 제어하고, 그리고
    상기 활성화 가스를 활성화하도록 상기 원자 층 에칭 챔버를 제어하도록 더 구성되는, 장치.
  19. 제 18 항에 있어서,
    상기 제어기는 바이어스를 인가하기 위해 상기 원자 층 에칭 챔버를 제어하도록 더 구성되는, 장치.
KR1020227012068A 2019-09-17 2020-09-09 원자 층 에칭 및 이온 빔 에칭 패터닝 KR20220066097A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962901702P 2019-09-17 2019-09-17
US62/901,702 2019-09-17
PCT/US2020/049871 WO2021055197A1 (en) 2019-09-17 2020-09-09 Atomic layer etch and ion beam etch patterning

Publications (1)

Publication Number Publication Date
KR20220066097A true KR20220066097A (ko) 2022-05-23

Family

ID=74883880

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227012068A KR20220066097A (ko) 2019-09-17 2020-09-09 원자 층 에칭 및 이온 빔 에칭 패터닝

Country Status (6)

Country Link
US (1) US20220254649A1 (ko)
JP (1) JP2022547953A (ko)
KR (1) KR20220066097A (ko)
CN (1) CN114430858A (ko)
TW (1) TW202125640A (ko)
WO (1) WO2021055197A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110416053B (zh) * 2019-07-30 2021-03-16 江苏鲁汶仪器有限公司 一种电感耦合等离子体处理系统

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8377270B2 (en) * 2008-12-03 2013-02-19 Canon Anelva Corporation Plasma processing apparatus, magnetoresistive device manufacturing apparatus, magnetic thin film forming method, and film formation control program
KR101080604B1 (ko) * 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법
US9806252B2 (en) * 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
KR20180045104A (ko) * 2016-10-24 2018-05-04 삼성전자주식회사 원자층 식각 방법 및 이를 포함하는 반도체 제조 방법
US20180142355A1 (en) * 2016-11-18 2018-05-24 Adnanotek Corp. System integrating atomic layer deposition and reactive ion etching
KR102451018B1 (ko) * 2017-11-13 2022-10-05 삼성전자주식회사 가변 저항 메모리 장치의 제조 방법

Also Published As

Publication number Publication date
JP2022547953A (ja) 2022-11-16
US20220254649A1 (en) 2022-08-11
WO2021055197A1 (en) 2021-03-25
CN114430858A (zh) 2022-05-03
TW202125640A (zh) 2021-07-01

Similar Documents

Publication Publication Date Title
KR102648476B1 (ko) Mram 스택을 패터닝하기 위한 건식 플라즈마 에칭 방법
KR102504770B1 (ko) Ale 및 선택적인 증착을 사용하여 기판들 에칭
US9391267B2 (en) Method to etch non-volatile metal materials
TWI675397B (zh) 利用掩模及方向性電漿處理之選擇性沉積
US20130105303A1 (en) Process chamber for etching low k and other dielectric films
US11145808B2 (en) Methods for etching a structure for MRAM applications
US20180315616A1 (en) Method of cyclic plasma etching of organic film using carbon-based chemistry
Marchack et al. Plasma processing for advanced microelectronics beyond CMOS
US20180315615A1 (en) Method of cyclic plasma etching of organic film using sulfur-based chemistry
KR102412439B1 (ko) 자기 정렬된 다중 패터닝을 위한 선택적 산화물 에칭 방법
US20220254649A1 (en) Atomic layer etch and ion beam etch patterning
US20220376174A1 (en) Chemical etch nonvolatile materials for mram patterning
US20230066036A1 (en) Method of forming memory device with physical vapor deposition system
US20230298869A1 (en) Subtractive copper etch
US20240021435A1 (en) Metal etch
US10607852B2 (en) Selective nitride etching method for self-aligned multiple patterning
KR20240046599A (ko) 이온 빔 에칭 시스템 및 방법
US20060234498A1 (en) Method of performing a surface treatment respectively on the via and the trench in a dual damascene process

Legal Events

Date Code Title Description
A201 Request for examination