CN114430858A - 原子层蚀刻和离子束蚀刻图案化 - Google Patents

原子层蚀刻和离子束蚀刻图案化 Download PDF

Info

Publication number
CN114430858A
CN114430858A CN202080064672.2A CN202080064672A CN114430858A CN 114430858 A CN114430858 A CN 114430858A CN 202080064672 A CN202080064672 A CN 202080064672A CN 114430858 A CN114430858 A CN 114430858A
Authority
CN
China
Prior art keywords
stack
atomic layer
chamber
ion beam
etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080064672.2A
Other languages
English (en)
Inventor
萨曼莎·西亚姆华·坦
塔玛尔·穆克吉
杨文兵
吉里什·迪克西特
潘阳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN114430858A publication Critical patent/CN114430858A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Mram Or Spin Memory Techniques (AREA)
  • Hall/Mr Elements (AREA)

Abstract

提供了一种相对于掩模而选择性蚀刻堆叠件的方法。提供原子层蚀刻以至少对所述堆叠件进行部分蚀刻,其中所述原子层蚀刻形成至少一些残留物。提供离子束以蚀刻所述堆叠件,其中所述离子束蚀刻将来自所述原子层蚀刻的所述残留物中的至少一些移除。

Description

原子层蚀刻和离子束蚀刻图案化
相关申请的交叉引用
本申请要求于2019年9月17日申请的美国申请No.62/901,702的优先权,其通过引用并入本文以用于所有目的。
背景技术
这里提供的背景描述是为了一般地呈现本公开的上下文。本背景部分中描述的任何内容,以及书面描述的潜在方面,都没有明确或暗示地承认为本申请的现有技术。
本公开涉及在半导体晶片上形成半导体设备的方法。更具体地说,本公开涉及半导体设备的选择性蚀刻。
在半导体设备的形成过程中,可使用图案转移处理来形成磁性随机存取存储器(MRAM)。这样的图案转移处理使用蚀刻处理。MRAM堆叠件包含非挥发性且铁磁性的材料,例如钴(Co)、铁(Fe)、锰(Mn)、镍(Ni)、铂(Pt)、钯(Pd)、和钌(Ru),所述材料在不利用离子束蚀刻(IBE)、反应性离子蚀刻(RIE)、以及湿式化学品的复杂方法的情况下进行图案化是极度困难的。尽管经过许多年的发展,当前的图案化技术仍具有许多缺点,例如造成锥形轮廓以及磁性隧道结(MTJ)至固定层的短路的侧壁再沉积、以及造成MTJ层的受损的腐蚀。在一些常规技术中,使用含氯化学品以蚀刻金属,但被蚀刻的副产物包括非挥发性化合物。所述非挥发性化合物后续可能会再沉积于特征的侧壁上。然而,随着设备缩小且各种结构类型的加工变得更加复杂,一些经蚀刻的副产物可能会在衬底的其他已暴露区域上再次沉积,从而可能造成缺陷及最终设备的失灵。
对于具有宽节距的大型关键尺寸(CD)结构,单一步骤、或多重步骤的IBE配方可能是足够的。但对于小于100nm的微小CD、或紧密节距的特征,利用IBE进行图案化是困难的。根本性的限制在于离子入射被掩模遮蔽,从而妨碍有效的MRAM堆叠件蚀刻与修整。
发明内容
为了实现前述事项并且根据本公开的目的,提供了一种相对于掩模而选择性蚀刻堆叠件的方法。提供原子层蚀刻以至少对所述堆叠件进行部分蚀刻,其中所述原子层蚀刻形成至少一些残留物。提供离子束蚀刻以蚀刻所述堆叠件,其中所述离子束蚀刻将来自所述原子层蚀刻的所述残留物中的至少一些移除。
在另一表现形式中,提供了一种相对于掩模而选择性蚀刻堆叠件的装置。提供了一种真空传输模块。原子层蚀刻室连接至所述真空传输模块。离子束蚀刻室连接至所述真空传输模块。封装室连接至所述真空传输模块。控制器能控制地连接至所述真空传输模块、所述原子层蚀刻室、所述离子束蚀刻室、以及所述封装室。所述控制器被配置成:控制所述真空传输模块以将所述堆叠件从所述真空传输模块移动至所述原子层蚀刻室中;控制所述原子层蚀刻室以提供对所述堆叠件的原子层蚀刻;控制所述真空传输模块以将所述堆叠件移动至所述离子束蚀刻室;控制所述离子束蚀刻室以提供对所述堆叠件的离子束蚀刻;控制所述真空传输模块以将所述堆叠件从所述离子束蚀刻室移动至所述封装室;以及控制所述封装室以提供对所述堆叠件的封装。
本公开的这些以及其他特征将在本公开的具体实施方式中并结合以下附图而进行更详细的描述。
附图说明
本公开以示例性的方式而并非限制性的方式描绘于附图的图中,其中相同的附图标记指代相同的元件,且其中:
图1为一实施方案的高阶流程图。
图2A-2C是根据一实施方案所处理的堆叠件的横截面示意图。
图3是原子层蚀刻处理的较详细的流程图。
图4是改性阶段的较详细的流程图。
图5A-5C是根据实施方案所处理的金属层的较详细的横截面图。
图6是原子层蚀刻室系统的示意图。
图7是离子束蚀刻室的示意图。
图8是可以在一实施方案中使用的处理工具的俯视示意图。
图9是可以用于实行一实施方案的计算机系统的示意图。
具体实施方式
现在将参照附图中所描绘的本公开的若干优选的实施方案来对本公开进行详细描述。在下列描述中,阐述了许多具体细节以提供对本公开的透彻理解。然而,对于本领域技术人员而言,显而易见的是,本公开可在不具有这些具体细节中的一些或全部的情况下实施。在其他情况下,并未详细描述常规的处理步骤和/或结构,以免不必要地模糊本公开。
在半导体晶片的处理期间,特征可能会蚀刻穿过含金属层。在磁性随机存取存储器(MRAM)的形成中,可依次对多个薄金属层、或膜进行蚀刻以形成磁性隧道结堆叠件。
磁性隧道结(MTJ)是由两磁性材料之间的薄介电阻挡层所构成。电子通过量子隧穿的过程而穿过阻挡物。这可作为使用自旋转移矩(spin-transfer torque)的基于磁性存储器的基础。
自旋转移矩是一种效应,在该效应中可以使用自旋极化电流来改变MTJ中的磁性层的方位。电荷载体(例如,电子)具有被称为自旋的性质。自旋是该载体所固有的角动量的微小量。电流通常是非极化的(50%上自旋与50%下自旋的电子)。通过使电流通过厚磁性层(通常称作“固定层”)可以产生具有更多的任一种自旋电子的自旋极化电流。如果将该自旋极化电流导入第二较薄磁性层(“自由层”),可以将角动量转移至该层而改变其方位。这种效应可用于激发震荡、或甚至翻转磁铁的方位。
自旋转移矩可用于翻转磁性随机存取存储器中的有源元件。比起传统磁阻式随机存取存储器(MRAM),自旋转移矩式磁性随机存取存储器(STT-RAM或STT-MRAM)具有较低功耗与较佳微缩性的优点。MRAM使用磁场来翻转有源元件。
自旋转移矩式随机存取存储器(STT-RAM)设备图案化已经由反应性离子蚀刻随后接着进行离子束蚀刻(IBE);或是随后接着进行完整的惰性气体角度IBE策略来加以验证。反应性离子蚀刻(RIE)处理通常会造成锥形轮廓、以及蚀刻副产物的厚重侧壁再沉积物。此外,对于MgO层的化学损害限制了MRAM图案化的仅仅RIE处理。
研发IBE技术以用于MRAM的图案转移,同时使反应性物质所造成的MTJ损害最小化。一种常见的方式是先以正常入射角实施IBE以对MTJ整形并使基脚(footing)最小化,接着通过从掠射入射角提供IBE来提供侧壁清洁,以移除来自初始步骤的再沉积物。由于IBE依靠惰性离子的溅射,因此在图案转移期间会存在侧壁再沉积。总体上实施IBE和氧化循环以移除短路路径,并且在MgO穿隧阻挡物上停止以保存自旋传输所用的原始且连续的自由层。
MRAM堆叠件的等离子体干式蚀刻方法被描述于Tan等人的于2017年10月31日授权的名称为“Dry Plasma Etch Method To Pattern MRAM Stack”的美国专利9,806,252中,其通过引用并入以用于所有目的。提供离子束蚀刻的方法被描述于Singh等人的于2016年2月9日授权的名称为“Ion Beam Etching System”的美国专利9,257,295中,其通过引用并入以用于所有目的。
对于具有宽节距的大型关键尺寸(CD)结构,单一步骤、或多重步骤的IBE配方可能是足够的。但对于小于100nm的微小CD、或紧密节距的特征,利用IBE进行图案化是困难的。根本性的限制在于离子入射被掩模遮蔽。而这种限制妨碍了有效的MRAM堆叠件蚀刻与修整。
在一实施方案中,最初的图案化转移经由原子层蚀刻(ALE)而实现。为了含钴(Co)和铁(Fe)材料,ALE处理引入Si物质以形成挥发性蚀刻副产物。化学蚀刻的机制能最小化侧壁的再沉积。因此,ALE能够不受限于深宽比而对紧密的节距进行图案化。由于ALE循环中的Ar步骤是将反应性物质进行去除和清扫,因此相比于RIE,虽然ALE处理涉及卤素等离子体,但ALE处理使MgO的损害最小化。ALE处理将MRAM堆叠件进行开口并界定出整体轮廓。
在该实施方案中,将IBE处理的第二步骤应用于由ALE所界定出的MRAM柱体。该IBE步骤有助于侧壁残留物的移除,以将表面吸附的卤素物质所造成的潜在损害最小化。IBE将MRAM侧壁进一步修整成竖直轮廓。由于ALE用于蚀刻MTJ堆叠件而形成挥发性副产物,因此该IBE步骤并未在入射角模式中使用以对MRAM进行图案化,而是利用掠射角进行清理以移除该堆叠件的残留物和/或基脚。
ALE与IBE的整合处理具有两方面的优点。1)IBE步骤有效地移除MRAM堆叠件表面及侧壁上的卤素物质。对于ALE图案化,例如来自室壁的残留卤素物质会造成残留氯化表面层的问题。侧壁残留物使电性性质恶化而造成器件短路、或是劣化的电信号。此外,惰性离子进一步移除卤化的表面层以留下最小量的卤素残留物。2)该整合流程规避了IBE对于高深宽比、或紧密节距结构进行图案化的限制。由于来自ALE的化学蚀刻最初界定出该MRAM柱体,因此对于图案化的限制不再受限于IBE的离子入射角。实施方案中所使用的整合提供对高密度MRAM阵列进行图案化而在整个MTJ侧壁上不具有再沉积或腐蚀的解决方案。
为了便于理解,图1是一实施方案的高阶流程图。在该实施方案中,将堆叠件进行原子层蚀刻(步骤104)。该堆叠件可包括不同材料的多个层。举例来说,该堆叠件可包括在典型MRAM中使用的一或更多磁性材料层。图2A是示例性堆叠件200的横截面示意图,其中该堆叠件200可使用图1中显示的处理而进行处理。该堆叠件200在衬底上具有硅或硅氧化物(Si/SiO2)层204。第一钽(Ta)层208在Si/SiO2层204上。铂(Pt)层212在第一Ta层208上。钴铂合金(CoPt)层216在Pt层212上。氧化镁(MgO)层220在CoPt层216上。钴铁硼(CoFeB)层224在MgO层220上。第二Ta层228在CoFeB层224上。钌(Ru)层232在第二Ta层228上。图案化掩模形成在堆叠件200上。在该实施方案中,图案化掩模包括Ru层244、在Ru层244下的SiO2层240以及在SiO2层240下的氮化钛层236。在该实施方案中,在原子层蚀刻(步骤104)之前提供可选的Ru层244开口蚀刻。使用含氧等离子体提供Ru层244开口蚀刻。
图3是原子层蚀刻(步骤104)的较详细的流程图。原子层蚀刻包括多个循环,其中各循环包括改性阶段(步骤304)和活化阶段(步骤308)。图4是改性阶段的较详细的流程图。提供改性气体(步骤404)。该改性气体可以是任何合适气体,该合适气体可以对表面进行改性以利于该活化阶段。举例来说,该改性气体可以是含卤素气体。在一实施方案中,该改性气体包括介于5至200sccm的四氯化硅(SiCl4)。将该改性气体转化成等离子体(步骤408)。在一些示例性状态下,可使用介于约100W(瓦)与900W之间的等离子体功率来产生等离子体。在该操作期间的温度可介于约60℃与约200℃之间。此操作期间的室压强可介于约1毫托(mTorr)与约500mTorr之间。不受限于特定理论,据信等离子体将SiCl4分子分解以产生氯及Si-Cl物质。在一些情况下,可施加脉冲偏压。该Si-Cl物质形成Si-Cl成分的改性层,其中该Si-Cl成分被吸附至金属层中。对于不同层中的不同金属M,氯及Si-Cl成分物质被吸附至不同金属M中以形成MSiClx原子层,其中x为介于1至3之间的整数(包括1和3)。该原子层可为单层、或可比单层更厚、或可为不完全单层。
图5A-5C示出了堆叠件进行处理时的分子交互作用。尤其是,图5A-5C显示了处理中的图2A的堆叠件。图5A是该CoFeB层224的一部分的放大示意图。SiCl4的改性气体已形成含Si 508和Cl 512的物质的等离子体。该等离子体中的物质为SiClx,其中x为从1至3的整数(包括1和3)。此外,可存在独立的氯离子。偏压将SiClx物质加速至CoFeB层224。该SiClx物质与该CoFeB层224的顶部暴露表面接合而形成单层。
在该实施方案中,在形成该单层后停止该改性阶段(步骤304)。图5B是在该CoFeB层224的顶部表面上方形成SiClx单层,以形成该CoFeB层224的一部分的改性表面后的该CoFeB层224的一部分的放大示意图。可停止该偏压、和/或该改性气体的流动、和/或该等离子体功率。
在形成原子层且完成改性阶段(步骤304)后,将堆叠件200经受活化阶段(步骤308)。该活化阶段可包括提供可经活化的气体,例如可用于产生等离子体的气体。举例来说,活化气体可为稀有气体。在该实施方案中,该堆叠件200经受氩(Ar)等离子体作用以提供该活化阶段(步骤308)。在该实施方案中,提供包括Ar的活化气体。将该活化气体进行活化。活化气体的活化可以是通过将该活化气体形成等离子体。可使用介于约100W与900W之间的等离子体功率来产生等离子体。在该操作期间的温度可介于约60℃与约300℃之间。在该操作期间的室压强可以介于约1mTorr与约500mTorr之间。该Ar等离子体使MSiClx分子挥发,以使得能移除MSiClx分子。因此,相对于该掩模以将该金属层的经改性表面选择性地蚀刻去除。因此,该表面改性被用于蚀刻堆叠件200。在其他实施方案中,可以将热活化用于将该活化气体进行活化。
图5C是在活化阶段期间该CoFeB层224的一部分的放大示意图。氩离子516通过偏压而加速朝向该CoFeB层224。Ar离子516将MSiClx络合物活化以形成挥发性MSiClx络合物520,其中M代表金属,而在该示例中该金属为CoFeB。该偏压将足够能量提供至Ar离子516以使MSiClx络合物520挥发,但能量不足以蚀刻再沉积的残留物。提供改性阶段(步骤304)和活化阶段(步骤308)的步骤循环地重复多次,以提供堆叠件200的原子层蚀刻(步骤104)。
图2B已提供ALE(步骤104)后的堆叠件200的横截面示意图。在堆叠件200的侧壁上形成了再沉积残留物材料的残留物层248。该残留物层248包括金属材料、硅和氯残留物。一些氯可能会转移至并攻击某些层如MgO层220,以形成渗入了氯252的MgO区域。如非竖直侧部所指出的,堆叠件200的侧壁上的残留物层248沉积导致堆叠件的蚀刻变得倾斜。
在完成堆叠件200的ALE(步骤104)后,该堆叠件200经受IBE(步骤108)。离子束蚀刻指的是通过使用惰性气体的物理性溅射来移除原子。物理性溅射是通过惰性气体的离子与利用所述离子进行碰撞而被蚀刻的材料之间的动量互换而提供。在一实施方案中,室压强被维持在低于20mTorr。低压减少离子与气体的碰撞,并减少形成等离子体的可能性。在该实施方案中,所述离子为Ar离子。在其他实施方案中,可使用与活化气体所提供的离子不同的其他离子。将所述离子加速至具有50伏(V)至1800V的范围内的能量。所述离子具有充足能量以对残留物层248以及M原子或分子进行溅射。
图2C是已提供IBE(步骤108)后的堆叠件200的横截面示意图。该IBE(步骤108)将残留物层248、及渗入MgO层220的氯252移除。此外,该IBE(步骤108)将斜度消除或减少。在该示例中,由于通过IBE(步骤108)来消除斜度,因此堆叠件200的侧壁是竖直的。
比起单独进行IBE处理,ALE(步骤104)能够蚀刻深宽比较高的特征。另外,比起单独利用IBE处理所形成的特征,由上述实施方案所提供的特征能具有较高的节距。单独进行ALE可能造成更加倾斜的堆叠件伴随着侧壁沉积。如果未提供IBE处理(步骤108),则渗入且攻击MgO层220的氯252将会把一些MgO层220蚀刻掉。IBE处理(步骤108)能够减少、或消除斜度和残留物层248。IBE处理(步骤108)能够将渗入MgO层220的氯252移除,而不会把一些MgO层220蚀刻掉。
在该实施方案中,ALE(步骤104)能利用一配方来蚀刻堆叠件200中的所有层。由于该堆叠件200是相对薄的(例如,厚度小于30nm),因此将ALE(步骤104)执行少于15分钟。IBE处理(步骤108)还能够移除、或减少堆叠件200的底部处的基脚。在其他实施方案中,ALE(步骤104)至少部分地蚀刻该堆叠件200。
在多种实施方案中,堆叠件200可以是MRAM所使用的堆叠件。在多种实施方案中,堆叠件200可以是由两磁性材料之间的薄介电阻挡层所构成的磁性隧道结(MTJ)。在多种实施方案中,堆叠件200包括至少一含金属层。该含金属层可包括Cr、Mo、Ir、Ti、Ru、Mn、Ni、Pd、Ta、Co、Fe、Mg和Pt中的至少一者。在一示例中,该堆叠件包括至少一MgO层。其他堆叠件可以具有第一、第二和第三行的其他过渡金属(例如,第IV族过渡金属、第V族过渡金属以及第VI族过渡金属),包括例如Cu的金属。
在上述实施方案中,改性气体包括SiCl4。在其他实施方案中,改性气体包括卤硅烷。卤硅烷的示例为碘硅烷、溴硅烷、氯硅烷、氢氯硅烷、及氟硅烷。特定的氯硅烷为四氯硅烷、三氯硅烷、二氯硅烷、单氯硅烷、氯丙烯硅烷、氯甲基硅烷、二氯甲基硅烷、氯二甲基硅烷、氯乙基硅烷、叔丁基氯硅烷、二(叔丁基)氯硅烷、氯异丙基硅烷、氯仲丁基硅烷、叔丁基二甲基氯硅烷、叔己基二甲基氯硅烷、SiHCl-(N(CH3)2)2等。在一些实施方案中,可利用锗、碳、钛、或锡来取代改性气体中的硅。在多种实施方案中,改性气体包括含卤素气体,该含卤素气体包括从硅、锗、碳、钛和锡所构成的群组中选择的元素。在其他实施方案中,可将金属硅和氯分子(MSiClx)更广泛地描述成金属四族(metal tetrel)卤素分子。在本说明书与权利要求中,将该金属四族卤素分子定义成一种分子,其具有至少一金属原子、至少一卤素原子、以及硅、锗、硅、钛和锡中的至少一者的四族。当金属四族卤素分子包括硅时,则该金属四族卤素分子为挥发性金属硅络合物(metal silo complex)。在另一实施方案中,可以将SiCl4和CO前体用于产生M(SiCl3)(CO)。在另一实施方案中,可将Cl2前体和H2O用于产生MClx(H2O)y。在另一实施方案中,可使用甲醇(CH3OH)前体。
在其他实施方案中,反应气体可以是Ar以外的另一稀有气体。在其他实施方案中,反应气体可以是一氧化碳(CO)、水(H2O)、CH3OH、或氨(NH3)中的一或更多者。该反应气体被转化成反应气体离子。
在一些实施方案中,可以使用ALE(步骤104)以提供过度蚀刻(overetch)。该过度蚀刻对硅或硅氧化物(Si/SiO2)层204中的一些进行蚀刻。该过度蚀刻减少由ALE(步骤104)所蚀刻的堆叠件200的斜度。在该过度蚀刻的硅或硅氧化物(Si/SiO2)层204的蚀刻期间,较少材料进行再沉积使残留物层248被蚀刻去除并可减少斜度。该过度蚀刻还可用于减少、或移除堆叠件基脚。
在上述实施方案中的上述步骤之前、或之后、或期间,可以在堆叠件上执行一或更多附加处理。例如,在ALE(步骤104)之前可将附加IBE开口步骤用于部分地对堆叠件200进行开口。这种处理可对CoFeB层进行开口,以允许在不将CoFeB暴露于卤素的情况下将CoFeB层进行开口。在另一实施方案中,可循环地将ALE(步骤104)及IBE(步骤108)提供至少两个循环。这种处理可能具有较慢的生产率。
此外,能以任何实用方式来修改上述示例性处理的顺序和序列。举例来说,其他实施方案可以在ALE之前提供IBE以对多个层进行蚀刻,并接着在ALE之后使用IBE以移除残留物。然而,其他实施方案可使用IBE来蚀刻一或更多层,接着使用ALE来蚀刻一或更多层,接着使用IBE来蚀刻一或更多层,并接着使用ALE来蚀刻一或更多层。
为了提供可用于ALE处理(步骤104)的处理室的实施方案,图6示意性描绘了可用于ALE处理的ALE室系统600的示例。该ALE室系统600包括等离子体反应器602,在该等离子体反应器602中具有等离子体处理约束室604。由等离子体匹配网络608所调整的等离子体电源606将功率提供至位于介电感应功率窗612附近的变压器耦合等离子体(TCP)线圈610,以通过提供感应耦合功率而在等离子体处理约束室604内产生等离子体614。峰部672从等离子体处理约束室604的室壁676延伸至介电感应功率窗612而形成峰部环。峰部672相对于室壁676和介电感应功率窗612呈一定角度,使得峰部672与室壁676之间的内角、以及峰部672与介电感应功率窗612之间的内角各自大于90°且小于180°。如图所示,峰部672在等离子体处理约束室604的顶部附近提供呈角度的环。TCP线圈(上电源)610可配置成在等离子体处理约束室604内产生均匀的扩散轮廓。举例来说,TCP线圈610可配置成在等离子体614中产生环形功率分布。介电感应功率窗612被提供以将TCP线圈610与等离子体处理约束室604分离,同时允许能量从TCP线圈610传递至该等离子体处理约束室604。TCP线圈610作为电极,以将射频(RF)功率提供至等离子体处理约束室604。由偏压匹配网络618所调整的晶片偏压电源616将功率提供至电极620,以设定衬底666上的偏压。衬底666由电极620支撑,以便用作衬底支撑件。控制器624控制着等离子体电源606和晶片偏压电源616。
等离子体电源606及晶片偏压电源616可配置以在特定射频下进行操作,例如诸如13.56兆赫(MHz)、27MHz、2MHz、60MHz、400千赫(KHz)、2.54吉赫(GHz)、或其组合。等离子体电源606及晶片偏压电源616可适当地设定大小以供应一定范围的功率,从而实现期望的处理性能。举例而言,在一实施方案中,等离子体电源606可以供应范围为50至5000瓦的功率,而晶片偏压电源616可以供应范围为20至2000伏(V)的偏压。此外,TCP线圈610和/或电极620可以由两个或更多个子线圈或子电极组成。。所述子线圈或子电极可以由单一电源供电、或由多个电源供电。
如图6所显示的,等离子体处理室系统600还包括气体源/气体供应机构630。气体源630通过例如气体注入器640的气体入口而与等离子体处理约束室604流体连接。气体注入器640可以位于等离子体处理约束室604中的任何有利位置,并且可以作为用于注入气体的任何形式。然而,优选地可以将气体入口配置成产生“可调整的”气体注入轮廓。可调整的气体注入轮廓允许将往等离子体处理约束室604中多个区域的相应气体流量进行独立调整。更优选地,气体注入器被安装至介电感应功率窗612。可以将气体注入器安装在功率窗612上、安装在该功率窗612中、或形成该功率窗的一部分。处理气体及副产物经由压强控制阀642和泵644而从等离子体处理约束室604移除。压强控制阀642和泵644还用于维持等离子体处理约束室604中的特定压强。压强控制阀642可以在处理期间维持着小于1托的压强。边缘环660环绕着衬底666放置。气体源/气体供应机构630由控制器624控制。由LamResearch Corp.(Fremont,CA)制造的Kiyo可以用于实践实施方案。
为了显示离子束蚀刻室的实施方案,图7呈现根据某些方法来执行离子束蚀刻的离子束蚀刻室700的横截面简化图。在该示例中,衬底701被支撑在衬底支撑件703上。离子束蚀刻室700可配备硬件(未显示)以提供电气和流体连接。电气连接可用于将电力供应至衬底支撑件703、或是在一些示例中供应至位于该衬底支撑件703上或内部的静电卡盘,而流体连接可用于提供流体,而该流体被用于控制衬底701以及衬底支撑件703的温度。衬底支撑件703可通过加热器(未显示)来进行加热、和/或通过冷却机构(未显示)来进行冷却。可使用任何合适的冷却机构。在一示例中,冷却机构可涉及将冷却流体经由衬底支撑件703内、或相邻的管道以进行流动。如图7中的双头箭头所指示的,衬底支撑件703可具有在可变速度及角度下进行转动和倾斜的能力。该转动和倾斜使离子束连续地以不同角度进行入射。不同的IBE角度将更多的残留物层248暴露于IBE而较快地移除残留物层248。
将等离子体产生气体运输至主要等离子体产生区域705。通过等离子体源707激发等离子体产生气体。在图7的背景下,等离子体源707是作为感应耦合等离子体来源的线圈。在经合适设计的反应器中可使用其他源,例如电容耦合源、微波源、或放电源。等离子体在主要等离子体产生区域705中形成。萃取电极709包括一系列孔口710,经由这些孔口以萃取离子。
孔口710可具有介于约0.5-1cm之间的直径、以及由电极厚度所界定的高度。孔口710可具有介于约0.01-100.0之间的高比宽的深宽比(AR)。在一些情况下,将孔口710配置成六角形、方格、或螺旋图案,但也可以使用其他图案。相邻孔口之间的中心与中心的距离可介于约1mm~10cm之间。当仅考虑电极的单一(顶部或底部)面时,可将孔口配置成实现总开口面积(即,各孔口的面积总和)介于电极的表面面积的约0.1%~95%之间。例如,具有直径40cm的电极、以及各自具有直径1cm的500个孔洞以将具有约31%的开口面积(393cm2开口面积除以1257cm2总面积)。在不同电极中,这些孔口710可以具有不同直径。在一些情况下,孔口直径在上电极中是较小的,而在下电极中是较大的。在一实施方案中,下电极713中的孔口大于聚焦电极711中的孔口(例如,大介于约0-30%之间)。在这些或其他情况下,聚焦电极711中的孔口大于萃取电极709中的孔口(例如,大介于约0-30%之间)。
相对于衬底701而施加至萃取电极709的偏压V1起作用以相对于该衬底而将动能提供至离子。该偏压通常是正性的并且可以介于约20-10,000伏或更多的范围之间。在某些情况下,萃取电极上的偏压介于约20-2,000伏之间。通过电极709和713之间的电位差,位于萃取电极709上方的等离子体中的正离子被吸引至下电极713。添加聚焦电极711以将离子聚焦,且如果需要的话则排斥电子。在该电极上的偏压V2可相对于萃取电极709为正性或负性的,但通常是将其负性偏压。聚焦电极711的偏压电位由该聚焦电极711的透镜特性所决定。聚焦电极711上的偏压电压包括约介于萃取电极上的电位V1的1.1倍至20倍之间的正电压、以及幅值介于约电位V1的0.001倍至0.95倍之间的负电压。由于对不同电极施加不同电位,因此存在电位梯度。该电位梯度可以为约1000V/cm的数量级。邻近电极之间的示例性分隔距离落在约0.1~10cm之间、或例如约为1cm。
如果聚焦电极711的电压设定成产生准直光束,则在离子离开接地下电极713的底部后,在准直且聚焦的射束中传播。替代地,如果对聚焦电极的电压进行调整使离子束不足聚焦(under-focus)或过度聚焦(over-focus),则射束会是发散的。在许多(但并非所有)的情况下,下电极713是接地的。将接地下电极713与接地衬底701结合使用导致基本上无场(field free)的衬底处理区域715。使衬底位于无场区域中防止由离子束与残留气体之间、或离子束与反应室中的表面之间的碰撞所产生的电子或二次离子被加速朝向该衬底,从而使导致非期望的损害或二次反应的风险最小化。
此外,使衬底701避免被离子束本身或被离子束与衬底碰撞期间所产生的射出二次电子充电是重要的。中和作用通常是通过在衬底701的邻区中添加低能量电子源(未显示)而实现。由于离子与射出二次电子上的正电荷两者均会对衬底正性充电,因此可以使该衬底的邻区中的低能量电子吸引至正电表面并且可以中和该电荷。在无场区域中执行这种中和是较容易的。
在一些应用中,可能需要在下电极713与衬底701之间具有电位差。举例来说,如果是需要非常低能量的离子,由于正电离子的相互排斥力(空间-电荷效应),因此难以在低能量下将良好准直的射束维持长距离。对此的一种解决方案是相对于衬底701而在下电极713上设置负偏压(或相反地将衬底701相对于该下电极713进行正性偏压)。这使得能萃取较高能量的离子,并在它们到达衬底时使其减速。
电极709、711和713各自具有一定厚度。该厚度可介于约0.5mm至10cm之间、或介于约1mm至3cm之间,例如为约5mm。电极709、711和713可以各自具有相同的厚度,或者它们可以具有不同的厚度。进一步,萃取电极709与聚焦电极711之间的分隔距离可相同于、大于、或小于该聚焦电极711与下电极713之间的分隔距离。
可以将位于萃取电极709、聚焦电极711、与下电极713内的孔口710彼此精确地对准。否则,离子将会不正确地瞄准,并将会使晶片上的蚀刻结果劣化。例如,如果聚焦电极711内的单一孔口是未对准的,则这可造成衬底701的一区域被过度蚀刻(过多离子被导向的位置),而该衬底701的另一区域则蚀刻不足(无离子、或过少离子被导向的位置)。因此,应将这些孔口尽可能地彼此对准。在各种情况下,将竖直相邻电极之间的未对准量限制在孔洞直径的约1%或更少(通过孔口的位置相对于相邻孔口的位置间的线性位移距离来测量)。
离子束蚀刻处理通常是在低压下执行的。在一些实施方案中,压强可以为约100mTorr或更低,例如为约1mTorr或更低,且在许多情况下为约0.1mTorr或更低。低压有助于使离子与存在于衬底处理区域中的任何气态物质之间的非期望碰撞最小化。在某些情况下,在其他低压离子处理环境中运输相对高压的反应物。
在一实施方案中,处理工具可提供平台,该平台提供ALE处理室、IBE处理室、以及对所得设备进行封装的室。图8是在实施方案中使用的处理工具800的俯视示意图。晶片盒802在未处理晶片在进行处理前容纳这些未处理晶片,并一旦当处理工具800中的所有处理完成时就接着容纳经处理的晶片。晶片盒802可以容纳许多晶片,经常多达25片。大气传输模块(ATM)814用于将晶片传输至晶片盒802、或从该晶片盒802传输晶片。加载锁站805代表至少一种设备,该至少一种设备运行以将晶片在ATM 814的大气与真空传输模块(VTM)812的真空之间来回地进行传输。VTM 812是处理工具的一部分并且连接至多个室。可以存在不同类型的室。在该实施方案中,存在着两个ALE室600和两个离子束蚀刻室700以及封装室828。在该实施方案中,这些ALE室600为Lam Research(Fremont,CA)所制造的
Figure BDA0003546974140000141
室。这些离子束蚀刻室700为Lam Research(Fremont,CA)所制造的
Figure BDA0003546974140000142
室。该封装室828可以是等离子体增强化学气相沉积(PECVD)室、或者是另一介电沉积室,例如为Lam Research(Fremont,CA)所制造的
Figure BDA0003546974140000143
PECVD室。真空传输模块812内的机器人系统使用机器手臂以在加载锁站805与不同室600、700、828之间移动具有堆叠件的晶片。ATM 814使用机器人系统以在真空环境下在晶片盒802与加载锁站805之间传输晶片。控制器835可以用于控制该处理工具800。该控制器835可以包括一或更多子控制器。将可包括一或更多子控制器的该控制器835可控制地连接至真空传输模块812、原子层蚀刻室600、离子束蚀刻室700以及封装室828。
图9是显示计算机系统900的高阶方块图。该计算机系统900适合用于实现在实施方案中所使用的控制器835。计算机系统900可以具有多种物理形式,其范围从集成电路、印刷电路板以及小型手持设备到巨型超级计算机。计算机系统900包括一个或者多个处理器902,并且进一步可以包括电子显示装置904(用于显示图形、文本以及其他数据)、主存储器906(例如,随机存储器(RAM))、存储设备908(例如,硬盘驱动器)、可移动存储设备910(例如,光盘驱动器)、用户接口设备912(例如,键盘、触摸屏、小键盘、鼠标或者其他定位装置等)以及通信接口914(例如,无线网络接口)。通信接口914使得软件和数据能通过链路在计算机系统900和外部设备之间传输。系统还可以包括通信基础设施916(例如,通信总线、交叉杆(cross-over bar)、或者网络),前述的设备/模块被连接于该通信基础设施916。
经由通信接口914传输的信息可以是能通过通信链路由通信接口914接收的信号的形式,所述信号是例如电子的、电磁的、光的、或者其他的信号,所述通信链路携带信号并且可以是使用电线或电缆、光纤、电话线、蜂窝电话链路、射频链路、和/或其他通信通道实现的通信链路。利用这样的通信接口914,可预期,一个或者多个处理器902可以从网络接收信息或者可以在实施上述方法步骤的过程中向网络输出信息。另外,方法实施方案可以仅在处理器上执行或者可以与远程处理器结合在诸如因特网之类的网络上执行,所述远程处理器共享部分处理。
术语“非暂态计算机可读介质”一般用来指诸如主存储器、辅助存储器、移动存储装置、以及存储设备(例如硬盘、闪存、硬盘驱动存储器、CD-ROM以及其他形式的永久性存储器)之类的介质,并且不得被解释为涵盖诸如载波或者信号之类的暂时性的标的物。计算机可读代码的示例包括机器代码,例如由编译器产生的机器代码,以及包括使用解释器由计算机执行的更高级代码的文件。计算机可读介质还可以是通过计算机数据信号、处理器传输的计算机代码。
在一些实施方案中,计算机可读介质可以包括用于将堆叠件传输至ALE室600的计算机可读代码、用于执行ALE处理(步骤104)的计算机可读代码、用于将堆叠件传输至离子束蚀刻室700的计算机可读代码、用于执行离子束蚀刻(步骤108)的计算机可读代码、用于将堆叠件200传输至封装室828的计算机可读代码、用于封装该堆叠件200的计算机可读代码、以及用于将该堆叠件200从处理工具800移除至大气中的计算机可读代码。在将堆叠件200进行蚀刻后且将该堆叠件200暴露于大气前对该堆叠件进行封装防止:该堆叠件的各种层氧化。该堆叠件200的各种层氧化可能导致设备失灵。具有ALE室600、离子束蚀刻室700和封装室828的整合处理工具800提供蚀刻MRAM的较快生产率。
虽然已就若干优选的实施方案来描述本公开,但在本公开的范围内存在变更、修改、置换、及各种替代等同方案。还应注意,存在着本公开的方法及设备的许多替代性实施方案。因此,其意旨将下面随附的权利要求解读成包括落入本公开的真实精神与范围内的所有这种变更、修改、置换、及各种替代等同方案。

Claims (19)

1.一种相对于掩模而选择性蚀刻堆叠件的方法,其包括:
提供原子层蚀刻以至少对所述堆叠件进行部分蚀刻,其中所述原子层蚀刻形成至少一些残留物;以及
提供对所述堆叠件的离子束蚀刻,其中所述离子束蚀刻将来自所述原子层蚀刻的所述残留物中的至少一些移除。
2.根据权利要求1所述的方法,其中所述提供所述原子层蚀刻包括多个循环,其中每个循环包括:
改性阶段,其包括:
提供包括含卤素气体的改性气体,所述含卤素气体包括选自由硅、锗、碳、钛和锡组成的群组的元素;以及
将所述改性气体转化为等离子体,其中来自所述等离子体的成分对所述堆叠件的表面的一部分进行改性,以形成经改性的表面;以及
活化阶段,其中所述活化阶段对所述堆叠件的所述经改性的表面进行蚀刻。
3.根据权利要求2所述的方法,其中所述含卤素气体选自由碘硅烷、溴硅烷、氯硅烷、氢氯硅烷和氟硅烷组成的群组。
4.根据权利要求2所述的方法,其中所述活化阶段包括:
提供活化气体;以及
将所述活化气体进行活化。
5.根据权利要求4所述的方法,其中所述活化阶段还包括施加偏压。
6.根据权利要求2所述的方法,其中所述活化阶段产生金属四族卤素分子。
7.根据权利要求6所述的方法,其中所述金属四族卤素分子包括金属、硅和卤素。
8.根据权利要求1所述的方法,其中所述堆叠件包括至少一含金属层。
9.根据权利要求1所述的方法,其中所述堆叠件包括至少一含过渡金属层。
10.根据权利要求1所述的方法,其中所述提供所述离子束蚀刻包括:以连续变化的角度将气体离子朝向所述堆叠件引导。
11.根据权利要求10所述的方法,其中所述离子束蚀刻减少所述堆叠件的斜度。
12.根据权利要求10所述的方法,其中所述离子束蚀刻将渗入所述堆叠件的含金属层的卤素移除。
13.根据权利要求10所述的方法,其中所述离子束蚀刻将渗入所述堆叠件的含金属层的氯移除,而不对所述堆叠件的所述含金属层进行蚀刻。
14.根据权利要求1所述的方法,其中所述提供所述原子层蚀刻包括多个循环,其中每个循环包括:
改性阶段,其包括:
提供包括含卤素气体的改性气体;以及
将所述改性气体转化为等离子体,其中来自所述等离子体的成分对所述堆叠件的表面的一部分进行改性,以形成经改性的表面;以及
活化阶段,其中所述活化阶段对所述堆叠件的所述经改性的表面进行蚀刻。
15.根据权利要求1所述的方法,其还包括在提供所述原子层蚀刻前,提供离子束开口蚀刻。
16.一种相对于掩模而选择性蚀刻堆叠件的装置,其包括:
真空传输模块;
原子层蚀刻室,其连接至所述真空传输模块;
离子束蚀刻室,其连接至所述真空传输模块;
封装室,其连接至所述真空传输模块;
控制器,其能控制地连接至所述真空传输模块、所述原子层蚀刻室、所述离子束蚀刻室、以及所述封装室,其中所述控制器被配置成:
控制所述真空传输模块以将所述堆叠件移动至所述原子层蚀刻室中;
控制所述原子层蚀刻室以提供对所述堆叠件的原子层蚀刻;
控制所述真空传输模块以将所述堆叠件从所述原子层蚀刻室移动至所述离子束蚀刻室;
控制所述离子束蚀刻室以提供对所述堆叠件的离子束蚀刻;
控制所述真空传输模块以将所述堆叠件从所述离子束蚀刻室移动至所述封装室;以及
控制所述封装室以提供对所述堆叠件的封装。
17.根据权利要求16所述的装置,其中所述控制器还被配置成:
控制所述原子层蚀刻室以提供改性气体;以及
控制所述原子层蚀刻室以将所述改性气体转化成等离子体,其中来自所述等离子体的成分对所述堆叠件的表面的一部分进行改性,以形成经改性的表面。
18.根据权利要求17所述的装置,其中所述控制器还被配置成:
控制所述原子层蚀刻室以提供活化气体;以及
控制所述原子层蚀刻室以将所述活化气体进行活化。
19.根据权利要求18所述的装置,其中所述控制器还被配置成控制所述原子层蚀刻室以施加偏压。
CN202080064672.2A 2019-09-17 2020-09-09 原子层蚀刻和离子束蚀刻图案化 Pending CN114430858A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962901702P 2019-09-17 2019-09-17
US62/901,702 2019-09-17
PCT/US2020/049871 WO2021055197A1 (en) 2019-09-17 2020-09-09 Atomic layer etch and ion beam etch patterning

Publications (1)

Publication Number Publication Date
CN114430858A true CN114430858A (zh) 2022-05-03

Family

ID=74883880

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080064672.2A Pending CN114430858A (zh) 2019-09-17 2020-09-09 原子层蚀刻和离子束蚀刻图案化

Country Status (6)

Country Link
US (1) US20220254649A1 (zh)
JP (1) JP2022547953A (zh)
KR (1) KR20220066097A (zh)
CN (1) CN114430858A (zh)
TW (1) TW202125640A (zh)
WO (1) WO2021055197A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110416053B (zh) * 2019-07-30 2021-03-16 江苏鲁汶仪器有限公司 一种电感耦合等离子体处理系统

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110036850A (ko) * 2008-12-03 2011-04-11 캐논 아네르바 가부시키가이샤 플라스마 처리 장치, 자기 저항 소자의 제조 장치, 자성 박막의 성막 방법 및 성막 제어 프로그램
KR101080604B1 (ko) * 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법
US9806252B2 (en) * 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
KR20180045104A (ko) * 2016-10-24 2018-05-04 삼성전자주식회사 원자층 식각 방법 및 이를 포함하는 반도체 제조 방법
US20180142355A1 (en) * 2016-11-18 2018-05-24 Adnanotek Corp. System integrating atomic layer deposition and reactive ion etching
KR102451018B1 (ko) * 2017-11-13 2022-10-05 삼성전자주식회사 가변 저항 메모리 장치의 제조 방법

Also Published As

Publication number Publication date
JP2022547953A (ja) 2022-11-16
KR20220066097A (ko) 2022-05-23
WO2021055197A1 (en) 2021-03-25
TW202125640A (zh) 2021-07-01
US20220254649A1 (en) 2022-08-11

Similar Documents

Publication Publication Date Title
US11410860B2 (en) Process chamber for etching low k and other dielectric films
KR102648476B1 (ko) Mram 스택을 패터닝하기 위한 건식 플라즈마 에칭 방법
KR102504770B1 (ko) Ale 및 선택적인 증착을 사용하여 기판들 에칭
KR20200053623A (ko) 고 에너지 ale (atomic layer etching)
KR20160143553A (ko) GaN 및 다른 III-V 족 재료들의 원자층 에칭
US20060201911A1 (en) Methods of etching photoresist on substrates
Marchack et al. Plasma processing for advanced microelectronics beyond CMOS
US20220376174A1 (en) Chemical etch nonvolatile materials for mram patterning
CN114430858A (zh) 原子层蚀刻和离子束蚀刻图案化
Darnon Plasma etching in microelectronics
US20230298869A1 (en) Subtractive copper etch
US20240021435A1 (en) Metal etch
US10607852B2 (en) Selective nitride etching method for self-aligned multiple patterning

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination