JP5882364B2 - ウエハレベルのシンギュレーションのための方法 - Google Patents

ウエハレベルのシンギュレーションのための方法 Download PDF

Info

Publication number
JP5882364B2
JP5882364B2 JP2013554654A JP2013554654A JP5882364B2 JP 5882364 B2 JP5882364 B2 JP 5882364B2 JP 2013554654 A JP2013554654 A JP 2013554654A JP 2013554654 A JP2013554654 A JP 2013554654A JP 5882364 B2 JP5882364 B2 JP 5882364B2
Authority
JP
Japan
Prior art keywords
semiconductor substrate
semiconductor
substrate
predetermined
carrier substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2013554654A
Other languages
English (en)
Other versions
JP2014511569A (ja
Inventor
クラウス シューグラフ,
クラウス シューグラフ,
シシャドリ ラマスワミ,
シシャドリ ラマスワミ,
マイケル アール. ライス,
マイケル アール. ライス,
モーセン エス. サレク,
モーセン エス. サレク,
クラエス エイチ. ビョルクマン,
クラエス エイチ. ビョルクマン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2014511569A publication Critical patent/JP2014511569A/ja
Application granted granted Critical
Publication of JP5882364B2 publication Critical patent/JP5882364B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02076Cleaning after the substrates have been singulated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Dicing (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Laser Beam Processing (AREA)

Description

関連出願の相互参照
本出願は、2011年2月18日出願の「Method and System for Wafer Level Singulation」という名称の米国仮特許出願第61/444,618号の優先権を主張する。同出願の開示は、全体としてあらゆる目的で参照により本明細書に組み込まれている。
半導体業界は、半導体集積回路ダイをシンギュレーションする技法を開発してきた。次いでダイは、使用するために製品にパッケージングされる。従来の処理では、ウエハは、接着テープ上に取り付けられ、次いで、たとえばソーを使用して能動ダイ領域間のスクライブ線またはソーストリートに沿って切断される。次いで、テープに取り付けられているシンギュレーションされたダイは、さらなるパッケージングステップで利用可能になる。
ダイのシンギュレーション技法における進歩にもかかわらず、ウエハレベルのシンギュレーションのための改善された方法が、当技術分野で求められている。
本発明は一般に、半導体処理技法に関する。より詳細には、本発明は、ウエハレベルのシンギュレーションを実行する方法および装置を含む。例示のみを目的として、本発明は、レーザでシンギュレーションし、シンギュレーションされた半導体ダイをキャリアウエハからデボンディングする方法に適用される。この方法および装置は、ウエハレベルのパッケージングを含む様々な半導体処理の適用分野に適用することができる。
本発明の一実施形態によれば、複数の半導体ダイをシンギュレーションする方法が提供される。この方法は、キャリア基板を用意することと、半導体基板をキャリア基板に接合することとを含む。半導体基板は、複数のデバイスを含む。この方法はまた、半導体基板上にマスク層を形成することと、マスク層の所定の部分を光に露出させることと、マスク層の所定の部分を処理して半導体基板上に所定のマスクパターンを形成することとを含む。この方法は、複数の半導体ダイを形成することであって、複数の半導体ダイがそれぞれ、所定のマスクパターンに関連し、複数のデバイスの1つまたは複数を含む、形成することと、複数の半導体ダイをキャリア基板から分離することとをさらに含む。
本発明の別の実施形態によれば、半導体ダイをシンギュレーションするシステムが提供される。このシステムは、複数のデバイスを含む半導体基板上にマスク層を形成するように動作可能なコーティングユニットと、半導体基板をキャリア基板に接合するように動作可能なボンディングユニットと、マスク層の所定の部分をレーザ光に露出させるように動作可能なレーザ処理ユニットとを含む。このシステムはまた、半導体基板上に所定のマスクパターンを形成するように動作可能な現像処理ユニットと、複数の半導体ダイを形成するように動作可能なシンギュレーションユニットとを含む。複数の半導体ダイはそれぞれ、所定のマスクパターンに関連し、複数のデバイスの1つまたは複数を含む。このシステムは、複数の半導体ダイをキャリア基板から分離するように動作可能なダイ分離ユニットをさらに含む。
本発明の特定の実施形態によれば、複数の半導体ダイをシンギュレーションする代替方法が提供される。この方法は、半導体基板のデバイス表面に結合された不活性フィルムを形成することと、不活性フィルムのうち周辺領域内の部分を除去することと、半導体基板のデバイス表面の周辺領域に結合された接着材料を形成することとを含む。この方法はまた、半導体基板をキャリア基板に接合することと、複数の半導体ダイを形成することとを含む。複数の半導体ダイを形成する処理は、マスキング処理を含むことができ、またはマスクのない処理を使用して実行することができ、これらの処理の両方またはどちらかで、レーザシンギュレーション処理を利用することができる。この方法は、複数の半導体ダイをキャリア基板から分離することをさらに含む。
本発明を使用することで、従来の技法に比べて多数の利益が実現される。たとえば、本発明による一実施形態では、パッケージングコストを低減させるウエハレベルのシンギュレーションのための方法およびシステムが提供される。いくつかの実施形態では、デバイスをウエハレベルで試験することができ、試験に通ったデバイスだけが処理中に取り出される。実施形態に応じて、これらの利益の1つまたは複数を得ることができる。上記その他の利益について、本明細書全体にわたって、より詳細には以下で説明する。本発明の様々な追加の目的、特徴、および利点は、以下の詳細な説明および添付の図面を参照すれば、より完全に理解することができる。
本発明の一実施形態による第1の処理の流れを示す簡略化された概略図である。 本発明の一実施形態による第1の処理の流れを示す簡略化された概略図である。 本発明の一実施形態による第1の処理の流れを示す簡略化された概略図である。 本発明の一実施形態による第1の処理の流れを示す簡略化された概略図である。 本発明の一実施形態による第2の処理の流れを示す簡略化された概略図である。 本発明の一実施形態による第2の処理の流れを示す簡略化された概略図である。 本発明の一実施形態による第2の処理の流れを示す簡略化された概略図である。 本発明の一実施形態による第2の処理の流れを示す簡略化された概略図である。 本発明の一実施形態による第2の処理の流れを示す簡略化された概略図である。 本発明の一実施形態による第2の処理の流れを示す簡略化された概略図である。 本発明の一実施形態によるシンギュレーション中の半導体基板の平面図である。 本発明の一実施形態による複数の半導体ダイをシンギュレーションする方法を示す簡略化された流れ図である。 本発明の一実施形態による複数の半導体ダイをシンギュレーションするシステムを示す簡略化された概略図である。 本発明の別の実施形態による複数の半導体ダイをシンギュレーションする方法を示す簡略化された流れ図である。
本発明によれば、半導体処理技法が提供される。より詳細には、本発明は、ウエハレベルのシンギュレーションを実行する方法および装置を含む。例示のみを目的として、本発明は、レーザでシンギュレーションし、シンギュレーションされた半導体ダイをキャリアウエハからデボンディングする方法に適用される。この方法および装置は、ウエハレベルのパッケージングを含む様々な半導体処理の適用分野に適用することができる。
本発明の一実施形態によれば、ウエハボンディングおよびデボンディング技法が利用される。後述するように、キャリアウエハとも呼ばれるキャリア基板が設けられる。いくつかの実装形態では、シリコンキャリア基板が利用されるが、機械的な剛性を有し、適当な温度で処理できることを特徴とする他の適した基板を利用することができる。キャリア基板には、デバイスウエハとも呼ばれる半導体基板が接合される。
いくつかのウエハボンディング処理では、ボンディング処理の一部として、キャリア基板および/または半導体基板の1つまたは複数の表面に接着剤が施される。熱処理プロセスを実行することもできる。したがって、ウエハボンディング処理中に一時的なボンドが形成される。基板の薄化は、化学機械研磨(CMP)処理または半導体基板の厚さを低減させるのに適した他の処理を使用して実行することができる。薄化後、半導体基板は通常、テープに取り付けられ、キャリア基板は、ウエハデボンディング処理、たとえば基板を剪断すること、ボンド領域にくさびを挿入することなどによって取り出される。テープに取り付けた後、半導体基板を切断し、次いでパッケージング中に配置するためにダイを選択することができる。
本発明者らは、テープの使用はいくつかの望ましくない処理上の制約をもたらすと判断した。一例として、テープを使用することで、半導体基板上でいくつかの高温処理ステップを実行することができなくなる。
図1A〜1Dは、本発明の一実施形態による第1の処理の流れを示す簡略化された概略図である。図1Aを参照すると、半導体基板120のデバイス表面上に不活性フィルム110が形成される。不活性フィルム110は、成形材料と呼ぶこともできる。図1Aを参照すると、不活性フィルム110は、半導体基板上に製造されたデバイス125a、125b、および125cならびに半導体基板の表面を保護するために、低温処理(たとえば、300℃未満、275℃未満、250℃未満など)に適合しているフィルムを提供する。デバイス125a、125b、および125cは、プロセッサ、メモリなどの製造に有用な集積回路を含む多種多様な半導体デバイスとすることができる。「不活性」という用語の使用は、半導体基板上に形成されたデバイスに対してフィルムの反応性が実質上低いことを示す。本発明の実施形態では、より詳細に後述するように、半導体基板から容易に除去することができる不活性フィルムを利用する。
本発明の範囲内には、スピンオン式のフィルム、スピンオン式の炭素フィルム、フォトレジスト、湿式化学作用を使用して剥離可能な酸化物フィルム、Applied Producerシステムを使用してプラズマ化学気相堆積(PECVD)によって堆積できるアドバンスドパターニングフィルム(APF)などの溶剤可溶性フィルムを含む、複数の不活性フィルムが含まれる。これらのAPFフィルム(たとえば、APF、APFe、APFxなど)は、臨界パターニングステップに適した剥離可能(すなわち、プラズマ灰化可能)なアモルファスカーボンのハードマスクを利用する。本明細書に記載する様々な材料の複数の層を有する複合不活性構造を形成するために、材料の組合せを利用することができる。一例として、不活性フィルム110の上または不活性フィルム110の下に接着層を施すことができ、不活性フィルム110は、上述のように多層複合構造とすることができる。多くの変形形態、修正形態、および代替形態が、当業者には理解されよう。
たとえば半導体基板上に存在するはんだバンプは、250℃を超える温度でリフローする傾向があるため、低温処理に対する不活性フィルム110の適合性により、本明細書に記載する実施形態は、能動デバイスを含む多種多様な半導体基板とともに使用するのに適している。多くの変形形態、修正形態、および代替形態が、当業者には理解されよう。半導体基板上に存在するデバイスに応じて、低温処理の定義は、特定のデバイス構造および特徴に応じて変動することがある。
図1Bを参照すると、エッジ除去処理を実行して不活性フィルム110の周辺部分を除去し、キャリア基板のうち不活性フィルム110が実質上ない周辺領域111を設ける。一例として、処理ユニットの隅部に、エッジビード除去(EBR)アームを含む処理ユニットを設けることができる。この例では、EBRアームは、EBRアームの近位端に位置するピボットの周りを回転して、スピンチャック上に取り付けられた半導体基板のエッジの上の位置にEBRアームの遠位端を位置決めする。EBRアームの遠位端に位置するノズルを通じてEBR流体を投与し、不活性フィルム110の周辺部分を除去する。不活性フィルム110の周辺部分を除去するのに適した他の技法も、本発明の範囲内に含まれる。
図1Cを参照すると、図示の実施形態では、半導体基板に接着材料113を施して不活性フィルム110を覆う。次いで、図1Dに示すように、接着材料を平坦化させてキャリア基板の周辺部分に環状リング114を形成する。平坦化に加えて、これらの処理ステップ中に、不活性層110と環状リング114の両方の薄化を実現することができる。後述するように、半導体基板は、薄化などのさらなる処理のために、キャリア基板にボンディングすることができる。図1Dには平面構造を示すが、そのような平面構造は本発明で必ずしも必要ではない。いくつかの実施形態では、不活性フィルムおよび/または接着フィルム内に、デバイス表面の方(図1Dの下方)へ延びる空胴を形成することができる。
いくつかの実施形態では、不活性フィルムおよび/または接着フィルムは、半導体基板ではなくキャリア基板に施される。多くの変形形態、修正形態、および代替形態が、当業者には理解されよう。
いくつかの実施形態では、接着材料の環状リングを使用するのではなく、半導体基板の他の所定の部分は、接着材料でコーティングされる。たとえば、ウエハ形状が概ね円形であり、ダイ形状が概ね方形であるため、ウエハのエッジ上には部分的なダイまたは疑似ダイを有することが一般的である。これらの部分的なダイまたは疑似ダイの位置では不活性材料を除去することができ、これらの位置に接着材料を施して、半導体基板の表面全体に分散させた接着位置のパッチワークを提供することができる。一例として、溶剤のドットを施し、それに続いて接着剤のドットを施すことができる。別法として、ウエハボンディングの前に統合的な電気的試験を処理するために、欠陥のあるダイ(すなわち、歩留まりのないダイ)を識別することができ、これらのダイに接着剤を施すことができる。引き続きこの例では、歩留まりのないダイに接着剤を施すことで、後の処理段階でこれらのダイが選択されるのを防止することができ、選択処理を簡略化し、下流の情報を提供することができる。これらの技法の組合せを利用することもできる。多くの変形形態、修正形態、および代替形態が、当業者には理解されよう。
図2A〜2Fは、本発明の一実施形態による第2の処理の流れを示す簡略化された概略図である。第2の処理の流れは、本発明の実施形態によって提供されるウエハボンディング、レーザシンギュレーション、およびダイ除去処理を含む。図2Aを参照すると、不活性層110および環状リング114を含む半導体基板120は、ボンディング表面105を有するキャリア基板100に隣接して位置決めされる。一実施形態では、キャリア基板はシリコン基板を構成する。他の実施形態では、キャリア基板は、いくつかの光学的アライメント処理中に有用な可視スペクトル内の透過性を実現するために、ガラス材料を含む。
図2Bに示すように、ウエハボンディング処理を実行して、半導体基板をキャリア基板に接合する。ウエハボンディング処理では、いくつかのウエハボンディング技法のうちの1つを利用することができる。これらの技法には、陽極、共晶、融着、共有結合、ガラスフリット、および/または他のボンディング技法などの低温ボンディング方法が含まれる。代替実施形態では、様々な技法を使用して、2つの基板のボンディングが実行される。特有の実施形態では、ボンディングは、室温の共有結合ボンディング処理を使用して行われる。ボンディング表面はそれぞれ、たとえばプラズマ励起または湿式処理によって洗浄および励起される。励起された表面は互いに接触して、固着作用を引き起こす。いくつかのボンディング処理では、各基板構造上に機械的な力をかけて、ボンディング表面を押し合わせる。いくつかの実施形態では、基板の1つまたは複数のボンディング表面をCMP処理によって研磨し、共有結合ボンディング処理に役立つ極めて平滑な表面を提供する。当然ながら、多くの他の変形形態、修正形態、および代替形態が、当業者には理解されよう。いくつかの実施形態では、ボンディングされた構造内で気泡の形成を防止するために、環状リング114を通過する通風孔を設けて(たとえば、径方向)、ガス抜きを実現する。
図2Cを参照すると、1つまたは複数の処理ステップを使用して半導体基板の裏側124を薄化し、この基板の厚さを低減させる。そのような処理ステップは、CMP、研削、エッチバック、これらの任意の組合せなどを含むことができる。いくつかの実装形態では、半導体基板内へエッチング停止層を組み込んで、薄化処理の終了を助ける。薄化処理の一部として、プラズマ灰化および/または他の洗浄処理を実行することができる。図2Cに示すように、薄化後、この構造は、キャリア基板100と、構造の中心部分に位置する不活性層110と、環状の接着層114と、デバイス125a/b/cを有する薄化された半導体基板120とを含む。本明細書全体にわたって論じる図示の層に加えて、追加の保護層を組み込むこともできる。
本発明の実施形態では、レーザシンギュレーション処理を利用する。図2Dに示すように、半導体基板の表面、たとえばデバイス表面に対向する表面上に、マスク層130が形成される。マスク層130は、単一の層とすることができ、または半導体基板の表面を保護するように動作可能な1つもしくは複数の層を含む多層構造とすることができ、その中には、はんだボールまたは他の構造を形成することができる。図示の実施形態では、レーザマスクはシリコン表面上に直接形成されているが、これは本発明で必ずしも必要ではなく、他の実施形態では、2段階のマスク層、たとえばポリイミド/酸化物の組合せを利用する。半導体基板の薄化について、シンギュレーションの前に行われると説明したが、他の実施形態では、シンギュレーション後に薄化を実行し、またはこれらの組合せを実行する。
本発明の実施形態によれば、ポリイミド材料、感光性ポリマー、非感光性ポリマー、フォトレジスト、これらの組合せなどを含む様々な適したマスキング材料が利用される。
デバイス125a/b/cとエッチマスクをアライメントするために、裏側のアライメント(すなわち、薄化された基板を通して半導体基板のデバイス表面上のアライメントマークを見る)が使用される。レーザアブレーションを使用して、マスク層のうち、図2Eの領域130a、130b、130c、130d、および130e間の空間によって示す所定の部分を除去する。図2Eには横断面を示すが、典型的な適用分野では図の平面内へ延びる2次元のパターンが形成されることが、当業者には理解されよう。マスク層のレーザアブレーションの結果、所定のパターンを有するエッチマスクが形成される。図示の実施形態では、マスク層(たとえば、ポリイミドおよび/または薄い保護層)は、後述するように、後のエッチング処理中にハードマスクとして使用される。マスク層130として単一の層を示すが、これは本発明で必ずしも必要ではなく、たとえばポリイミド、酸化物、レジスト、これらの組合せなどを含む複数の層のスタックを利用することができる。したがって、1つまたは複数の材料は、レーザアブレーション中のマスキングを実現することができ、他の材料は、エッチング中のマスキングを実現することができる。
いくつかの実施形態ではレーザアブレーションが利用されるが、他の実施形態では、レーザアブレーションとともに、またはレーザアブレーションの代わりに、リソグラフィ処理を利用する。一実施形態では、マスク層が利用されず、デカルト座標系に基づいて行うことができるレーザアブレーション処理を使用して、デバイスのシンギュレーションを実行する。さらに別の実施形態では、ダイヤモンドソーイングなどの機械的な分離処理を使用して、デバイスのシンギュレーションを実行する。当業者には明らかなように、これらの技法の組合せを利用することができる。したがって、マスクを使用しないレーザアブレーション、マスク/レーザアブレーション/エッチング処理のレーザパターニング、または機械的なスクライビング/ソーイングを含む複数の技法が、本発明の範囲内に含まれる。
次いで、図2Eに示すように、エッチング処理を使用して、半導体基板のうち、レーザアブレーション(すなわち、スクライブエッチング処理)によって除去されたマスク層部分の下にある部分を除去する。エッチング処理は、乾式エッチング、反応性イオンエッチング、湿式エッチングなどを含む様々な材料除去処理を含むことができる。エッチング処理の結果、半導体ダイがシンギュレーションされる。レーザシンギュレーション処理によって提供される1つの利益は、スクライブストリートが非常に小さいことである。デバイス表面上に存在する構造(たとえば、相互接続層などの金属化)に応じて、構造の一部分を切除する追加のレーザアブレーション処理で、エッチングを補足することができる。したがって、エッチング処理は、複数のエッチングステップ、レーザアブレーション、これらの組合せなどを伴う多段階処理とすることができる。ダイの側面に対する保護を提供するために、たとえば低温酸化物フィルム形成処理を使用して、トレンチにライナを施すことができる。次いで、特定の適用分野に応じて、金属スパッタリングおよび/またはメッキを適宜実行することができる。
不活性フィルムは、接着材料に比べて半導体基板のデバイス表面に対して低い接着力を有するため、シンギュレーション後、たとえば半導体基板の裏側に接触する真空支援式の選択および配置ツールを使用して、図2Fに示すようにダイを容易に除去することができる。図2Eに示すように、接着材料は、デバイス構造のない周辺領域のみで半導体基板に接触するため、キャリア基板にはシンギュレーションされたダイを接着させない。いくつかの実施形態では、デバイス構造と不活性材料との間の表面の相互作用(たとえば、ファンデルワールス力)は、除去前にそれぞれの位置でダイを維持するのに十分な接着力を提供する。他の実施形態では、図1Aに示す不活性層110の堆積前に、半導体基板上に軟性の接着促進剤が堆積される。さらに他の実施形態では、低温熱処理を利用して、不活性層に関連する残留接着剤を低減させ、ダイ除去処理を助ける。
シンギュレーション後、個々のダイは、選択ツール、たとえば真空選択ツールで選択することができ、適した洗浄技法を使用して、デバイスウエハの前側から残留物を洗浄することができる(たとえば、酸素プラズマによる)。選択および/または洗浄後、ダイは、別のキャリア上に配置すること、テープ上に配置すること、別の選択ツール/テープへ動かすことなどができる。したがって、本発明の実施形態は、従来の技法を使用して利用可能なものよりはるかに大きな柔軟性を提供する。
Oリング固定具を有する真空ツール、特定のダイに適した円形以外の形状を有するシューなどを含む、様々な選択ツールを利用することができる。ピクセル化された静電チャックをキャリアとして使用することができ、選択後、キャリア上にシンギュレーションされたダイを配置して、ウエハレベルの洗浄処理を容易にすることができる。さらに、いくつかのタイプのキャリアの1つ、トレイ、1列のトレイなどを使用して、個々のダイを受け取ることができる。薄化されたウエハに比べて個々のダイの表面力が低減されるため、通常はこれらのダイが反ることは問題ではなく、選択処理後のダイの配置において高いレベルの柔軟性を可能にする。いくつかの実施形態では、選択ステーションはダイボンディングツールと一体化される。別の代替手段として、選択および配置後、複数のダイ上で洗浄処理を同時に実行することもできる。
いくつかの実施形態では、ダイ上に存在する特定のデバイスに応じて、不活性フィルムを施す前に保護層を施すことができる。一例として、銀−スズはんだボールを支持するアルミニウム層を有する銅パッドをデバイスが利用する場合、選択後のプラズマ灰化洗浄処理でこれらの構造が損傷する可能性がある。これらの構造を保護するために、図1Aに示す不活性層110の形成前に、保護フィルムを形成することができる。不活性フィルムが洗浄された後、保護層は、保護層に適した適当な洗浄処理を使用して除去することができる。例示的な保護層には、ポリマー、スピンオン材料、他のフィルム、これらの組合せなどの材料が含まれる。したがって、図1Aに示す実施形態では半導体基板上に不活性フィルム110の単一の層が形成されているが、本発明は、この単一の層に限定されるものではなく、特定の適用分野に応じて、多層構造を適宜利用することもできる。基板の表面からはんだボールまたは他の構造が延びるいくつかの実施形態では、コンプライアンス層を形成することができ、その結果、コンプライアンス層によってはんだボールまたは他の構造を1つまたは複数の側面上で取り囲むことができる。
不活性材料がより大きい接着力を有することを特徴とするいくつかの実施形態では、ガラスキャリア基板とともに熱処理を使用することができ、可視スペクトル内の光(たとえば、ランプから)が所定のパターンのキャリアウエハを透過して、不活性材料を局所的に加熱し、それによってダイの除去を容易にする。したがって、いくつかの実施形態では、半導体基板の全体を覆う接着層を利用して、不活性フィルムの使用を不要にすることができる。他の実施形態では、この概念は、他の波長で実質上透過性の基板を、これらの他の波長の光源に整合させて利用するように修正される(たとえば、シリコン基板および赤外光)。これらの実施形態では、接着材料は、適当な波長を吸収するように設計されるが、デバイス特徴は、この適当な波長で吸収性をもたない。したがって、はんだボールがリフローしない状態で、接着材料を熱処理して接着力を低減させることができる。多くの変形形態、修正形態、および代替形態が、当業者には理解されよう。
図3は、本発明の一実施形態によるシンギュレーション中の半導体基板120の平面図である。図3に示すように、位置310、312、および314に位置決めされたいくつかのダイはシンギュレーションおよび除去されており、いくつかのダイ320〜330は半導体基板120に取り付けられたままである。
図4は、本発明の一実施形態による複数の半導体ダイをシンギュレーションする方法を示す簡略化された流れ図である。方法400は、キャリア基板を用意すること(410)と、キャリア基板に半導体基板を接合すること(412)とを含む。半導体基板は、複数のデバイスを含む。一実施形態では、キャリア基板はシリコン基板を構成するが、ガラス基板を含む他の基板を利用することもできる。上述したように、いくつかの実施形態では、キャリア基板に半導体基板を接合することは、半導体基板上にフィルム、たとえば半導体基板上のデバイスに対する反応性が実質上低い不活性フィルム(たとえば、アモルファスカーボンフィルム)を形成することを含む。一実施形態では、フィルムのエッジ部分が除去され、半導体基板に結合された接着層が形成される。一例として、接着層は、フィルムを取り囲む環状の層として形成することができる。キャリア基板、フィルム、および接着層を接触させて、2つの基板をともにボンディングする。フィルムは、単一の材料の単一の層とすることができ、または接着促進剤、保護層などを含む複数の材料を含む複合構造とすることができる。
一実施形態では、接着剤/不活性材料の組合せとして、感光性材料が使用される。一例として、光に露出されると接着力を有するようになる材料を施すことができ、材料の周辺または他の部分を露出させて、材料内に接着リングまたはパターンを生じさせることができる。露出されていない材料は、低い接着性を有することを特徴とし、本明細書に記載する不活性材料に関連する機能を提供する。代替実施形態では、相補的な材料が使用され、露出されると材料の接着性が低減し、露出されなければ接着性を伴う。多くの変形形態、修正形態、および代替形態が、当業者には理解されよう。環状の構造に加えて、これらの感光性材料は、デカルト座標系を使用して露出させることもでき、その結果、1つまたは複数のダイ、たとえば選別されて使用不可と判断されたダイに関連して、接着材料が設けられる。
代替実施形態では、キャリア基板に半導体基板を接合することは、半導体基板上に不活性フィルムを形成することと、不活性フィルムのうち、複数のデバイスの1つまたは複数に関連する所定のパターンに関連する部分を除去することとを含む。一例として、ダイの何らかの試験を実行し、特定のダイが完全には機能できないと判断した場合、機能できないダイに隣接して接着剤を施して、このダイが後の処理中に分離されるのを防止することができる。
この方法はまた、半導体基板上にマスク層を形成すること(414)と、マスク層の所定の部分を光に露出させること(416)と、マスク層の所定の部分を処理して半導体基板上に所定のマスクパターンを形成すること(418)とを含む。一例として、所定のマスクパターンを形成することは、マスク層の所定の部分を現像することと、マスク層の所定の部分をエッチングして半導体基板の表面を露出させることとを含むことができる。図2Eに示すように、半導体基板のうち、マスク層の開放領域の下に位置する部分は、フィルム/接着層またはキャリア基板に到達するまで、半導体基板をすべてエッチングすることができる。
この方法は、複数の半導体ダイを形成すること(420)と、複数の半導体ダイをキャリア基板から分離すること(422)とをさらに含む。複数の半導体ダイはそれぞれ、所定のマスクパターンに関連し、複数のデバイスの1つまたは複数を含む。いくつかの実施形態では、複数の半導体ダイは、ダイの分離後に様々な処理の1つを使用して洗浄することができる。キャリア基板からのダイの選択は、一度に1つずつ実行することができ、または複数のダイを同時に選択できる装置を使用して実行することができる(連動分離)。複数のダイが同時に選択されるいくつかの実施形態では、様々な選択要素に対する真空は、使用不可と判断されたダイを選択しないように、または他の理由で、独立して制御することができる。一例として、ダイ分離ツールは、所定のダイを選択し、残りのダイをキャリア基板に取り付けたままにするようにプログラムすることができる。
図4に示す特有のステップは、本発明の一実施形態による複数の半導体ダイをシンギュレーションする特定の方法を提供することを理解されたい。代替実施形態によれば、他の順序のステップを実行することもできる。たとえば、本発明の代替実施形態では、上記で略述したステップを異なる順序で実行することができる。さらに、図4に示す個々のステップは、個々のステップに応じて様々な順序で適宜実行できる複数のサブステップを含むことができる。さらに、特定の適用分野に応じて、追加のステップを追加または除去することができる。多くの変形形態、修正形態、および代替形態が、当業者には理解されよう。
図5は、本発明の一実施形態による複数の半導体ダイをシンギュレーションするシステムを示す簡略化された概略図である。システム500は、制御デバイス、たとえば入出力インターフェース510、プロセッサ512(データプロセッサとも呼ばれる)、およびメモリなどのコンピュータ可読媒体514を含む。プロセッサ512およびメモリ514は、I/Oインターフェースと相互作用し、本明細書に記載する様々なユニットのユーザ制御を実現する。プロセッサ512は、CISC(コンプレックス命令セットコンピューティング)、RISC(縮小命令セットコンピューティング)、VLIW(長大命令語)、または混合のアーキテクチャなどの任意のタイプのアーキテクチャの中央処理装置であるが、任意の適当なプロセッサを使用することができる。プロセッサ512は、命令を実行するものであり、コンピュータのうち、コンピュータ全体の動作を制御する部分を含む。図5には示さないが、通常、プロセッサ512は制御ユニットを含み、制御ユニットは、メモリ内のデータおよびプログラムストレージを組織し、コンピュータの様々な部分間でデータおよび他の情報を伝達する。プロセッサ512は、I/Oインターフェース510および/またはネットワーク(図示せず)から入力データを受け取り、コードおよびデータをコンピュータ可読媒体514内に読み込んで記憶し、I/Oインターフェース510にデータを提示する。図5には単一のプロセッサを示すが、開示する実施形態は、複数のプロセッサを有することができるコンピュータおよび複数のバスを有することができるコンピュータにも等しく当てはまり、いくつかまたはすべては、異なる機能を異なる方法で実行する。
コンピュータ可読媒体514は、データを記憶する1つまたは複数の機構である。たとえば、コンピュータ可読媒体514は、読取り専用メモリ(ROM)、ランダムアクセスメモリ(RAM)、磁気ディスクストレージ媒体、光ストレージ媒体、フラッシュメモリデバイス、および/または他の機械可読媒体を含むことができる。他の実施形態では、任意の適当なタイプのストレージデバイスを使用することができる。1つのコンピュータ可読媒体514だけを示すが、複数のコンピュータ可読媒体および複数のタイプのストレージデバイスが存在することができる。さらに、コンピュータ可読媒体514は、プロセッサ512に接続されたところを示すが、他のコンピュータ間、たとえばサーバ上に分散させることもできる。
コンピュータ可読媒体514は、コントローラ(図5には図示せず)およびデータ項目を含む。コントローラは、本明細書全体にわたってより詳細に記載する方法を実施するために、プロセッサ512上で実行することが可能な命令を含む。別の実施形態では、機能の一部またはすべては、プロセッサベースのシステムの代わりに、ハードウェアを介して実施される。一実施形態では、コントローラはウェブブラウザであるが、他の実施形態では、コントローラは、データベースシステム、ファイルシステム、電子メールシステム、メディアマネージャ、イメージマネージャとすることができ、またはデータ項目にアクセスすることが可能な任意の他の機能を含むことができる。当然ながら、コンピュータ可読媒体514はまた、追加のソフトウェアおよびデータ(図示せず)を含むことができる。これは本発明を理解するのに必ずしも必要ではない。
システムは、複数のデバイスを含む半導体基板上にマスク層を形成するように動作可能なコーティングユニット520と、半導体基板をキャリア基板に接合するように動作可能なボンディングユニット530とをさらに含む。コーティングユニットは、本明細書に記載する様々なコーティング層を形成するために使用することができる。処理および現像ユニット540は、マスク層の所定の部分をレーザ光に露出させるように動作可能なレーザ処理ユニット542と、半導体基板上に所定のマスクパターンを形成するように動作可能な現像処理ユニット544と、複数の半導体ダイを形成するように動作可能なシンギュレーションユニット546とを含む、1つまたは複数のサブユニットを含む。シンギュレーションユニット546は、現像ユニットおよびエッチングユニットを含むことができる。図5に示す実施形態では、これらのサブユニットが処理および現像ユニット540内に組み合わされているところを示すが、これは本発明で必ずしも必要ではなく、これらのサブユニットを単独型のユニットとすることもできる。レーザ処理ユニット542は、レーザ源を含むことができ、またはたとえば光ファイバケーブルを通じて外部のレーザ源に光学的に結合することができる。
いくつかの実施形態によれば、ダイ分離ユニット550および洗浄ユニット560がシステム500内に含まれる。ダイ分離ユニット550は、複数の半導体ダイをキャリア基板から分離するように動作可能である。
図6は、本発明の別の実施形態による複数の半導体ダイをシンギュレーションする方法を示す簡略化された流れ図である。図6を参照すると、この方法は、複数のデバイスが上に形成された半導体基板を設け、半導体基板のデバイス表面に結合された不活性材料を形成すること(610)を含む。不活性材料は、半導体基板上に形成された接着層に接触するように形成することができる。いくつかの実施形態では、不活性フィルムは半導体基板全体を覆うが、他の実施形態では、半導体基板の一部分には不活性フィルムがない。上記で論じたように、不活性材料は、半導体基板上に形成されたデバイスに対する反応性が実質上低く低温処理に適合したフィルムを提供する様々な材料とすることができる。例示のみを目的として、多層複合構造とすることができる不活性フィルムは、PECVDによって堆積されたAPFとすることができるが、不活性フィルムはこの例に限定されるものではない。
この方法はまた、不活性フィルムの周辺部分を除去すること(612)を含み、いくつかの実施形態では、これにより半導体基板の周辺部分を露出させる。いくつかの実施形態では、周辺領域内の不活性フィルムは完全に除去されるが、他の実施形態では、周辺領域内の不活性フィルムの一部は半導体基板に結合されたままである。多くの変形形態、修正形態、および代替形態が、当業者には理解されよう。上記で論じたように、EBR処理を使用して、不活性フィルムの周辺部分を除去することができる。
この方法は、半導体基板のデバイス表面に結合された接着材料を形成すること(614)をさらに含む。接着材料は、周辺領域内で露出された半導体基板に直接施すこと、接着促進層に施すことなどができる。いくつかの実施形態では、接着材料の上面は、不活性材料の上面と同一平面上に位置し、それによって後のウエハボンディング処理のために高品質のウエハボンディング表面を提供する。
基板またはウエハボンディング処理を使用して、半導体基板をキャリア基板に接合する(616)。図2A/2Bに示すように、不活性材料/接着材料層をキャリア基板のボンディング表面にボンディングして、複合半導体構造を形成することができる。いくつかの実施形態では、半導体基板の一部分(基板の裏側)は、デバイス動作に応じて、半導体基板の厚さを適宜低減させるために、CMPなどのウエハ薄化処理を使用して除去される。マスクベースのシンギュレーション処理を使用するいくつかの実施形態では、マスク層が形成および処理され(たとえば、デバイス表面に対向する半導体基板の表面上)、たとえばマスク層の所定の部分を光に露出させることによって、半導体基板上に所定のマスクパターンを形成する。これらのマスクベースのシンギュレーションの実施形態では、この方法は、マスク層の所定の部分を処理して半導体基板上に所定のマスクパターンを形成することを含む。一例として、所定のマスクパターンを形成することは、マスク層の所定の部分を現像することと、マスク層の所定の部分をエッチングして半導体基板の表面を露出させることとを含むことができる。図2Eに示すように、半導体基板のうち、マスク層の開放領域の下に位置する部分は、フィルム/接着層またはキャリア基板に到達するまで、半導体基板をすべてエッチングすることができる。
図6を参照すると、この方法は、複数の半導体ダイを形成すること(618)と、複数の半導体ダイをキャリア基板から分離すること(620)とをさらに含む。いくつかの実施形態によれば、上述したように、レーザシンギュレーション方法を利用してダイをシンギュレーションする。通常、複数の半導体ダイはそれぞれ、複数のデバイスの1つまたは複数を含む。いくつかの実施形態では、複数の半導体ダイは、ダイの分離後に様々な処理の1つを使用して洗浄することができる。キャリア基板からのダイの選択は、一度に1つずつ実行することができ、または複数のダイを同時に選択できる装置を使用して実行することができる(連動分離)。複数のダイが同時に選択されるいくつかの実施形態では、様々な選択要素に対する真空は、使用不可と判断されたダイを選択しないように、または他の理由で、独立して制御することができる。一例として、ダイ分離ツールは、所定のダイを選択し、残りのダイをキャリア基板に取り付けたままにするようにプログラムすることができる。
図6に示す特有のステップは、本発明の一実施形態による複数の半導体ダイをシンギュレーションする特定の方法を提供することを理解されたい。代替実施形態によれば、他の順序のステップを実行することもできる。たとえば、本発明の代替実施形態では、上記で略述したステップを異なる順序で実行することができる。さらに、図6に示す個々のステップは、個々のステップに応じて様々な順序で適宜実行できる複数のサブステップを含むことができる。さらに、特定の適用分野に応じて、追加のステップを追加または除去することができる。多くの変形形態、修正形態、および代替形態が、当業者には理解されよう。
本明細書に記載する例および実施形態は例示のみを目的とし、これに関する様々な修正または変更が、当業者には考えられ、本出願の精神および範囲ならびに添付の特許請求の範囲内に含まれることも理解される。

Claims (11)

  1. 複数の半導体ダイをシンギュレーションする方法であって、前記方法は、
    キャリア基板を用意することと、
    複数のデバイスを含む半導体基板上にフィルムを形成することと、
    前記フィルムの一部を除去して、前記半導体基板のうち、エッジ部及び欠陥デバイスが関係している1以上の中央部を含む領域を所定部として露出させることと、
    前記所定部に前記半導体基板に結合される接着材料をコーティングすることと、
    前記半導体基板を前記キャリア基板に接合することと、
    前記半導体基板上にマスク層を形成することと、
    前記マスク層の所定の部分を露光することと、
    前記マスク層の前記所定の部分を処理して前記半導体基板上に所定のマスクパターンを形成することと、
    それぞれ、前記所定のマスクパターンに関連し、前記複数のデバイスの1つまたは複数を含む、前記複数の半導体ダイを形成することと
    記複数の半導体ダイを前記キャリア基板から分離することとを含む方法。
  2. 前記キャリア基板がシリコン基板を構成する、請求項1に記載の方法。
  3. 前記半導体基板を前記キャリア基板に接合することが、
    前記所定部上に配した前記接着材料、前記キャリア基板、および前記フィルムの間を接触させることとを含む、請求項1に記載の方法。
  4. 前記接着材料が、前記フィルムを取り囲む環状の層を構成する、請求項3に記載の方法。
  5. 前記フィルムが不活性材料を含む、請求項3に記載の方法。
  6. 前記不活性材料がアモルファスカーボン材料を含む、請求項5に記載の方法。
  7. 複数の半導体ダイをシンギュレーションする方法であって、前記方法は、
    キャリア基板を用意することと、
    複数のデバイスを含む半導体基板を前記キャリア基板に接合することとを含み、
    前記半導体基板を前記キャリア基板に接合することが、
    前記半導体基板上に不活性フィルムを形成することと、
    前記複数のデバイスの1つまたは複数に関連する所定のパターンに関連する前記不活性フィルムの部分を除去することと、
    前記所定のパターンに関連する前記半導体基板の部分に接着材料を施すことと、
    前記キャリア基板、前記不活性フィルム、および前記接着材料の間を接触させることとを含み、
    さらに前記方法は、
    前記半導体基板上にマスク層を形成することと、
    前記マスク層の所定の部分を露光することと、
    前記マスク層の前記所定の部分を処理して前記半導体基板上に所定のマスクパターンを形成することと、
    各半導体ダイが前記所定のマスクパターンに関連し、かつ前記複数のデバイスの1以上のデバイスを含むようにして、前記複数の半導体ダイを形成することと、
    前記複数の半導体ダイを前記キャリア基板から分離することとを含む、方法。
  8. 前記所定のマスクパターンを形成することが、
    レーザビームを誘導して前記マスク層の前記所定の部分上に当てることと、
    前記マスク層の前記所定の部分をエッチングして前記半導体基板の表面を露出させることとを含む、請求項1に記載の方法。
  9. 前記複数の半導体ダイを形成することが、前記半導体基板の少なくとも一部分をエッチングして前記複数の半導体ダイを形成することを含む、請求項8に記載の方法。
  10. 前記複数の半導体ダイを洗浄することをさらに含む、請求項1に記載の方法。
  11. 不活性材料がアモルファスカーボン材料を含む、請求項7に記載の方法。
JP2013554654A 2011-02-18 2012-02-17 ウエハレベルのシンギュレーションのための方法 Active JP5882364B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161444618P 2011-02-18 2011-02-18
US61/444,618 2011-02-18
PCT/US2012/025716 WO2012112937A2 (en) 2011-02-18 2012-02-17 Method and system for wafer level singulation

Publications (2)

Publication Number Publication Date
JP2014511569A JP2014511569A (ja) 2014-05-15
JP5882364B2 true JP5882364B2 (ja) 2016-03-09

Family

ID=46673212

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013554654A Active JP5882364B2 (ja) 2011-02-18 2012-02-17 ウエハレベルのシンギュレーションのための方法

Country Status (6)

Country Link
US (2) US8580615B2 (ja)
JP (1) JP5882364B2 (ja)
KR (1) KR101579772B1 (ja)
CN (1) CN103370780B (ja)
TW (1) TWI570795B (ja)
WO (1) WO2012112937A2 (ja)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101579772B1 (ko) 2011-02-18 2015-12-23 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 레벨 싱귤레이션 방법 및 시스템
US9041198B2 (en) * 2013-10-22 2015-05-26 Applied Materials, Inc. Maskless hybrid laser scribing and plasma etching wafer dicing process
US9171749B2 (en) * 2013-11-13 2015-10-27 Globalfoundries U.S.2 Llc Handler wafer removal facilitated by the addition of an amorphous carbon layer on the handler wafer
CN105206506B (zh) * 2014-06-30 2018-06-29 中芯国际集成电路制造(上海)有限公司 晶圆的处理方法
US9401303B2 (en) 2014-08-01 2016-07-26 Globalfoundries Inc. Handler wafer removal by use of sacrificial inert layer
JP6417164B2 (ja) * 2014-09-18 2018-10-31 芝浦メカトロニクス株式会社 積層体製造装置、積層体、分離装置及び積層体製造方法
US10163709B2 (en) 2015-02-13 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9418895B1 (en) * 2015-03-14 2016-08-16 International Business Machines Corporation Dies for RFID devices and sensor applications
TWI603393B (zh) * 2015-05-26 2017-10-21 台虹科技股份有限公司 半導體裝置的製造方法
US9559007B1 (en) * 2015-09-30 2017-01-31 Semicondudtor Components Industries, Llc Plasma etch singulated semiconductor packages and related methods
EP3602616B1 (en) * 2017-03-24 2021-11-10 Cardlab ApS Method of assembling a plurality of electrical circuits to a carrier and subsequent removal of said electrical circuits
EP3404693B1 (en) * 2017-05-19 2019-11-13 Total SA Apparatus and method for patterned processing
DE102017125276A1 (de) 2017-10-27 2019-05-02 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung mehrere Halbleiterchips und Halbleiterchip

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3811182A (en) * 1972-03-31 1974-05-21 Ibm Object handling fixture, system, and process
JPH08264490A (ja) * 1995-03-22 1996-10-11 Nec Kansai Ltd 半導体装置の製造方法
KR100263326B1 (ko) * 1997-04-21 2000-08-01 이중구 레이저를 이용한 리드프레임 제조장치 및 이를 이용한 리드프레임 제조방법
DE19850873A1 (de) * 1998-11-05 2000-05-11 Philips Corp Intellectual Pty Verfahren zum Bearbeiten eines Erzeugnisses der Halbleitertechnik
US6642127B2 (en) * 2001-10-19 2003-11-04 Applied Materials, Inc. Method for dicing a semiconductor wafer
JP2004014956A (ja) * 2002-06-11 2004-01-15 Shinko Electric Ind Co Ltd 微小半導体素子の加工処理方法
JP2004322168A (ja) * 2003-04-25 2004-11-18 Disco Abrasive Syst Ltd レーザー加工装置
US6955308B2 (en) 2003-06-23 2005-10-18 General Electric Company Process of selectively removing layers of a thermal barrier coating system
US7713841B2 (en) * 2003-09-19 2010-05-11 Micron Technology, Inc. Methods for thinning semiconductor substrates that employ support structures formed on the substrates
JP4540327B2 (ja) 2003-11-06 2010-09-08 ルネサスエレクトロニクス株式会社 フォトマスクのパターン形成方法
JP4018088B2 (ja) * 2004-08-02 2007-12-05 松下電器産業株式会社 半導体ウェハの分割方法及び半導体素子の製造方法
TWI234234B (en) 2004-08-09 2005-06-11 Touch Micro System Tech Method of segmenting a wafer
US20060099733A1 (en) * 2004-11-09 2006-05-11 Geefay Frank S Semiconductor package and fabrication method
TWI333672B (en) 2005-03-29 2010-11-21 Furukawa Electric Co Ltd Wafer-dicing adhesive tape and method of producing chips using the same
JP2007048958A (ja) * 2005-08-10 2007-02-22 Renesas Technology Corp 半導体装置の製造方法および半導体装置
DE102006000687B4 (de) * 2006-01-03 2010-09-09 Thallner, Erich, Dipl.-Ing. Kombination aus einem Träger und einem Wafer, Vorrichtung zum Trennen der Kombination und Verfahren zur Handhabung eines Trägers und eines Wafers
JP2009088384A (ja) * 2007-10-02 2009-04-23 Sokudo:Kk 基板処理装置
TW200935506A (en) * 2007-11-16 2009-08-16 Panasonic Corp Plasma dicing apparatus and semiconductor chip manufacturing method
JP5111620B2 (ja) * 2008-01-24 2013-01-09 ブルーワー サイエンス アイ エヌ シー. デバイスウェーハーをキャリヤー基板に逆に装着する方法
US8852391B2 (en) * 2010-06-21 2014-10-07 Brewer Science Inc. Method and apparatus for removing a reversibly mounted device wafer from a carrier substrate
KR101579772B1 (ko) 2011-02-18 2015-12-23 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 레벨 싱귤레이션 방법 및 시스템

Also Published As

Publication number Publication date
KR101579772B1 (ko) 2015-12-23
TW201241907A (en) 2012-10-16
US9502294B2 (en) 2016-11-22
WO2012112937A3 (en) 2013-02-21
WO2012112937A2 (en) 2012-08-23
TWI570795B (zh) 2017-02-11
US20130045570A1 (en) 2013-02-21
CN103370780B (zh) 2016-01-20
CN103370780A (zh) 2013-10-23
US8580615B2 (en) 2013-11-12
JP2014511569A (ja) 2014-05-15
KR20130130834A (ko) 2013-12-02
US20140196850A1 (en) 2014-07-17

Similar Documents

Publication Publication Date Title
JP5882364B2 (ja) ウエハレベルのシンギュレーションのための方法
JP7129427B2 (ja) 処理された積層ダイ
US10026605B2 (en) Method of reducing residual contamination in singulated semiconductor die
JP4544231B2 (ja) 半導体チップの製造方法
US7495315B2 (en) Method and apparatus of fabricating a semiconductor device by back grinding and dicing
JP2004055684A (ja) 半導体装置及びその製造方法
US20140106542A1 (en) Laser and plasma etch wafer dicing with partial pre-curing of uv release dicing tape for film frame wafer application
JP2015532008A (ja) レーザ及びプラズマエッチングによる基板のダイシングのためのマスク残留物除去
TW202349488A (zh) 基板處理系統及基板處理方法
KR20210033485A (ko) 기판 처리 시스템 및 기판 처리 방법
JP2018041935A (ja) 分割方法
JP2018046208A (ja) ウエーハの加工方法
WO2020012986A1 (ja) 基板処理システム及び基板処理方法
JP4416108B2 (ja) 半導体ウェーハの製造方法
JP2018041765A (ja) ウエーハの加工方法
CN113649709A (zh) 晶圆切割方法
JP7224456B2 (ja) 基板処理方法及び基板処理システム
JP2003347260A (ja) 処理装置及び基板処理方法
JP2018018980A (ja) デバイスウエーハの加工方法
JP2007005366A (ja) 半導体装置の製造方法
JP2019169686A (ja) 素子チップの製造方法
JP7262903B2 (ja) キャリア板の除去方法
CN116705701A (zh) 晶圆芯片分离和背面金属镀膜的方法
JP5706258B2 (ja) ウェハの電気特性検査方法
JP2010212480A (ja) レーザ切断方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140903

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140909

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141205

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150421

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150716

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150721

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160105

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160203

R150 Certificate of patent or registration of utility model

Ref document number: 5882364

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250