JP5422669B2 - 半導体装置の製造方法、ダイナミックスレッショルドトランジスタの製造方法 - Google Patents

半導体装置の製造方法、ダイナミックスレッショルドトランジスタの製造方法 Download PDF

Info

Publication number
JP5422669B2
JP5422669B2 JP2011543065A JP2011543065A JP5422669B2 JP 5422669 B2 JP5422669 B2 JP 5422669B2 JP 2011543065 A JP2011543065 A JP 2011543065A JP 2011543065 A JP2011543065 A JP 2011543065A JP 5422669 B2 JP5422669 B2 JP 5422669B2
Authority
JP
Japan
Prior art keywords
silicon
region
forming
silicon substrate
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2011543065A
Other languages
English (en)
Other versions
JPWO2011064891A1 (ja
Inventor
真大 福田
英司 吉田
洋介 島宗
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Publication of JPWO2011064891A1 publication Critical patent/JPWO2011064891A1/ja
Application granted granted Critical
Publication of JP5422669B2 publication Critical patent/JP5422669B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76272Vertical isolation by lateral overgrowth techniques, i.e. ELO techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1207Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with devices in contact with the semiconductor body, i.e. bulk/SOI hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/783Field effect transistors with field effect produced by an insulated gate comprising a gate to body connection, i.e. bulk dynamic threshold voltage MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Description

本発明は一般に半導体装置に係り、特にソース/ドレイン領域下に局所的に絶縁構造を配設した半導体装置の製造方法に関する。
一般にMOSトランジスタではソース領域あるいはドレイン領域が、素子領域を構成する逆導電型のウェル中に形成される。その際、かかるソース領域あるいはドレイン領域は前記ウェルから、前記ソ―ス領域とウェル、あるいはドレイン領域とウェルの界面に形成されるpn接合により分離されている。
しかしこのような通常の構造のMOSトランジスタでは、pn接合に伴う寄生容量により動作速度が低下してしまい、またリーク電流が発生しやすい問題点を有している。
このような事情で、素子領域においてウェルがソース領域あるいはドレイン領域から、前記ソースあるいはドレイン領域の下に局所的に形成された酸化膜や窒化膜、ボイドなどの絶縁構造で分離されたMOSトランジスタ構造が提案されている。かかるMOSトランジスタ構造は、接合容量の低減効果があり、またリーク電流を低減することができることから、重要である。
かかるMOSトランジスタ構造の形成プロセスとして、SiGe混晶層上にSi層を形成した積層構造を形成し、その後、Si層とSiGe混晶層の間のエッチングレートの差を利用してSiGe混晶層のみを除去する方法が提案されている(特許文献1,特許文献2,非特許文献1)。これら従来提案されている方法では、単結晶のバルクシリコン基板上に前記SiGe混晶層を介してエピタキシャルさせたシリコン層をMOSトランジスタのチャネル領域として使っている。
特開2005−183987号公報 特開2008−112900号公報
Kyong, H. Y., et al., IEEE Electron Device Letters, Vol.25, No.6, June 2004 Fujitsuka, N., et al., Sensors and Actuators A97-98, 2002, pp.716-719
しかしバルクシリコン基板上にエピタキシャル成長したシリコン層は、特に前記シリコン層をSiGe混晶層上に形成した場合、結晶欠陥が導入されやすい問題を有している。
結晶欠陥の発生は、前記Si層およびSiGe混晶層の成長条件や、各層の膜厚によっても異なるが、前記SiGe混晶層と前記シリコン基板との界面から発生するミスフィット転位についてみると、前記SiGe混晶層中のGe濃度が高くなるほど、またSiGe混晶層の成膜温度が高くなるほど発生しやすく、発生したミスフィット転移は、前記SiGe混晶層上にエピタキシャル成長されるシリコン層中に延在する。
かかるミスフィット転位の発生は、前記SiGe混晶層の臨界膜厚で決定される。そのため、提案されているプロセスを用いる場合、チャネル領域への結晶欠陥導入を抑制するためには、前記SiGe混晶層の膜厚を臨界膜厚以下に抑えなければならない。
また、前記SiGe混晶層とシリコン基板との界面に残留した酸素や炭素は、前記シリコンエピタキシャル層への結晶欠陥導入の要因となり、避けなければならない。
また特許文献1や非特許文献1による技術では、バルクシリコン基板上にSiGe混晶層をエピタキシャル成長した後、チャネル領域に対応する部分においてSiGe混晶層を除去し、その上にシリコンエピタキシャル層を成長させているが、このようなプロセスでは、ゲ―ト絶縁膜およびゲート電極が形成されるチャネル領域の表面が凹んでしまうのが避けられない。非特許文献1を参照。このようにチャネル領域の表面が凹んでしまうと、ゲート電極を焦点深度の浅い高解像度露光系を使ったフォトリソグラフィ工程により形成する際に、位置ずれが発生しやすい。また、このようなシリコンエピタキシャル層表面の凹部には、様々な異なる結晶面が出現しやすいが、シリコン表面における熱酸化速度は表面の面方位により異なるため、このような凹部の発生に伴い、形成されるゲート絶縁膜の膜厚が、位置により変動してしまうおそれがある。このようにゲート絶縁膜の膜厚が位置により変動している状態で、さらにゲート電極に位置ずれが発生すると、半導体装置の特性を正しく規定することができなくなる。
また、このようにバルクシリコン基板上にシリコンエピタキシャル層を直接に成長させる場合でも、特許文献1や非特許文献1の技術によればバルクシリコン基板の表面はエッチングによりダメージを受けており、酸素や炭素が残留している恐れがある。この場合、先に説明したように、シリコンエピタキシャル層に欠陥が導入される危険が大きい。
これら課題の解決の為には、MOSトランジスタのチャネル領域に、バルクシリコン基板を加工せずに用いるのが望ましい。本発明はMOSトランジスタのチャネル領域に、バルクシリコン基板を加工せずに用いるためのプロセスを提案する。
好ましい実施形態による半導体装置の製造方法は、シリコン基板を、チャネル領域が形成されるシリコン基板部分を残してエッチングすることにより、前記基板部分の第1および第2の側に第1および第2のトレンチをそれぞれ形成する工程と、前記第1および第2のトレンチを、シリコンに対しエッチング選択性を有する半導体層とシリコン層とを順次エピタキシャルに成長することにより、それぞれ充填する工程と、前記シリコンに対しエッチング選択性を有する半導体層を、前記シリコン層および前記シリコン基板に対し選択的エッチングにより除去し、前記基板部分の前記第1および第2の側において、前記シリコン層の下にボイドを形成する工程と、前記ボイドを少なくとも部分的に、埋込絶縁膜により充填する工程と、前記シリコン基板部分上にゲート絶縁膜を介してゲート電極を形成する工程と、前記シリコン基板部分の前記第1の側において前記シリコン層中にソース領域を、前記シリコン基板部分の前記第2の側において前記シリコン層中にドレイン領域を形成する工程と、を含む。
好ましい実施形態によれば、ソース領域あるいはドレイン領域の下に局所的に形成された埋込絶縁膜を有するMOSトランジスタにおいて、エッチングなどの加工を施さないバルクシリコン基板の表面にゲート絶縁膜およびゲート電極を形成するため、ゲート電極直下のチャネル領域は平坦であり、またチャネル領域に欠陥が導入されることがない。すなわち好ましい実施形態によれば、当初の良好な結晶品質を有するバルクシリコン基板をチャネル領域として使うことができ、MOSトランジスタの動作特性を向上させ、また特性のばらつきを低減させることができる。
第1の実施形態による半導体装置の製造方法を示す断面図(その1)である。 第1の実施形態による半導体装置の製造方法を示す断面図(その2)である。 第1の実施形態による半導体装置の製造方法を示す断面図(その3)である。 第1の実施形態による半導体装置の製造方法を示す断面図(その4)である。 第1の実施形態による半導体装置の製造方法を示す断面図(その5)である。 第1の実施形態による半導体装置の製造方法を示す断面図(その6)である。 第1の実施形態による半導体装置の製造方法を示す断面図(その7)である。 第1の実施形態による半導体装置の製造方法を示す断面図(その8)である。 第1の実施形態による半導体装置の製造方法を示す断面図(その9)である。 第1の実施形態による半導体装置の製造方法を示す断面図(その10)である。 第1の実施形態による半導体装置の製造方法を示す断面図(その11)である。 第1の実施形態による半導体装置の製造方法を示す断面図(その12)である。 第1の実施形態による半導体装置の製造方法を示す断面図(その13)である。 第1の実施形態による半導体装置の製造方法を示す断面図(その14)である。 第1の実施形態による半導体装置の製造方法を示す断面図(その15)である。 第1の実施形態による半導体装置の製造方法を示す断面図(その16)である。 シリコン層に対するSiGe混晶層の選択的エッチングを示す図(その1)である。 シリコン層に対するSiGe混晶層の選択的エッチングを示す図(その2)である。 シリコン層に対するSiGe混晶層の選択的エッチングを示す図(その3)である。 シリコン層に対するSiGe混晶層の選択的エッチングを示す図(その4)である。 シリコン層に対するSiGe混晶層の選択的エッチングの例を示す断面写真である。 シリコン層とSiGe混晶層のエッチング速度を比較して示すグラフである。 第2の実施形態による半導体装置の製造方法を示す断面図(その1)である。 第2の実施形態による半導体装置の製造方法を示す断面図(その2)である。 第2の実施形態による半導体装置の製造方法を示す断面図(その3)である。 第2の実施形態による半導体装置の製造方法を示す断面図(その4)である。 第2の実施形態による半導体装置の製造方法を示す断面図(その5)である。 第2の実施形態による半導体装置の製造方法を示す断面図(その6)である。 第2の実施形態による半導体装置の製造方法を示す断面図(その7)である。 第2の実施形態による半導体装置の製造方法を示す断面図(その8)である。 第2の実施形態による半導体装置の製造方法を示す断面図(その9)である。 第2の実施形態による半導体装置の製造方法を示す断面図(その10)である。 第2の実施形態による半導体装置の製造方法を示す断面図(その11)である。 第2の実施形態による半導体装置の製造方法を示す断面図(その12)である。 第2の実施形態による半導体装置の製造方法を示す断面図(その13)である。 第2の実施形態による半導体装置の製造方法を示す断面図(その14)である。 第2の実施形態による半導体装置の製造方法を示す断面図(その15)である。 第2の実施形態による半導体装置の製造方法を示す断面図(その16)である。 第2の実施形態による半導体装置の製造方法を示す断面図(その17)である。 第2の実施形態による半導体装置の製造方法を示す断面図(その18)である。 第2の実施形態による半導体装置の製造方法を示す断面図(その19)である。 図5FにおけるSiGe混晶層の形成工程をより詳細に示す図(その1)である。 図5FにおけるSiGe混晶層の形成工程をより詳細に示す図(その2)である。 第3の実施形態による半導体装置の製造方法を示す断面図(その1)である。 第3の実施形態による半導体装置の製造方法を示す断面図(その2)である。 第3の実施形態による半導体装置の製造方法を示す断面図(その3)である。 第3の実施形態の一変形例による半導体装置の製造方法を示す断面図(その1)である。 第3の実施形態の一変形例による半導体装置の製造方法を示す断面図(その2)である。 第3の実施形態の一変形例による半導体装置の製造方法を示す断面図(その3)である。 第4の実施形態による半導体装置の製造方法を示す断面図(その1)である。 第4の実施形態による半導体装置の製造方法を示す断面図(その2)である。 第4の実施形態による半導体装置の製造方法を示す断面図(その3)である。 第4の実施形態による半導体装置の製造方法を示す断面図(その4)である。 第4の実施形態による半導体装置の製造方法を示す断面図(その5)である。 第5の実施形態の原理を説明する図である。 第5の実施形態による半導体装置の製造方法を示す断面図(その1)である。 第5の実施形態による半導体装置の製造方法を示す断面図(その2)である。 第5の実施形態による半導体装置の製造方法を示す断面図(その3)である。 第5の実施形態による半導体装置の製造方法を示す断面図(その4)である。 第6の実施形態による半導体装置の構成を示す断面図である。 第6の実施形態による図12の半導体装置の製造方法を示す断面図(その1)である。 第6の実施形態による図12の半導体装置の製造方法を示す断面図(その2)である。 第6の実施形態による図12の半導体装置の製造方法を示す断面図(その3)である。 第6の実施形態による図12の半導体装置の製造方法を示す断面図(その4)である。 第6の実施形態による図12の半導体装置の製造方法を示す断面図(その5)である。 第6の実施形態による図12の半導体装置の製造方法を示す断面図(その6)である。 第6の実施形態による図12の半導体装置の製造方法を示す断面図(その7)である。 第6の実施形態による図12の半導体装置の製造方法を示す断面図(その8)である。 第6の実施形態による図12の半導体装置の製造方法を示す断面図(その9)である。 第6の実施形態の一変形例による半導体装置の製造方法を示す断面図(その1)である。 第6の実施形態の一変形例による半導体装置の製造方法を示す断面図(その2)である。 第6の実施形態の一変形例による半導体装置の製造方法を示す断面図(その3)である。 第6の実施形態の一変形例による半導体装置の製造方法を示す断面図(その4)である。 第6の実施形態の一変形例による半導体装置の製造方法を示す断面図(その5)である。 第6の実施形態の他の変形例を示す断面図である。 第7の実施形態の一変形例による半導体装置の製造方法を示す断面図(その1)である。 第7の実施形態の一変形例による半導体装置の製造方法を示す断面図(その2)である。 第7の実施形態の一変形例による半導体装置の製造方法を示す断面図(その3)である。 第7の実施形態の一変形例による半導体装置の製造方法を示す断面図(その4)である。
[第1の実施形態]
以下、図1A〜図1Pを参照しながら、第1の実施形態によるMOSトランジスタの製造方法を説明する。
図1Aを参照するに、単結晶バルクシリコンよりなるシリコン基板11の例えば(100)面よりなる平坦な基板表面のうち、pチャネルMOSトランジスタが形成される素子領域11Aをフォトレジストパタ―ン(図示せず)で覆い、前記シリコン基板11表面のうちnチャネルMOSトランジスタが形成される素子領域11Bに、例えばB(ボロン)を加速エネルギー300keV以下、ドーズ量5×1013以下の範囲で、好ましくは加速エネルギー150KeV、ドーズ量3×1013の条件で注入することでp型ウェル11PWを形成する。イオン注入時の傾斜角(Tilt)は任意で入射方向(Twist)を1方向以上で注入しても良く、注入種としてはBの他にもBFやInなどを1種類以上用いても良い。
またその際、前記シリコン基板11の素子領域11Bに、例えばB(ボロン)を加速エネルギ150keV以下、ドーズ量1×1013cm-2以下の範囲で、好ましくは加速エネルギー30KeV、ドーズ量5×1012cm-2の条件でイオン注入しチャネルストップ注入を行ってもよい。本チャネルストップ注入時のTiltは任意で、Twistを1方向以上で注入しても良く、イオン種としてBのほかにもBFやInなどを1種類以上用いても良い。
次に前記nチャネルMOSトランジスタの閾値調整のためのイオン注入を行なう。例えば、Bを加速エネルギー40KeV以下、ドーズ量3×1013cm-2の範囲で、好ましくは加速エネルギー20KeV,ドーズ量1×1013cm-2の条件で注入する。この際、イオン注入時のTiltは任意で、Twistを1方向以上で注入しても良い。またB以外にもInやBF、B10HxなどそのほかのB分子イオンを1種類以上使用しても良い。
次にアッシング処理又はSPM(sulfuric acid hydrogen peroxide mixture)等を用いたウェット処理により、前記シリコン基板11の素子領域11A上のレジストパタ―ンを除去し、今度は前記素子領域11Bをレジストパターンで覆った状態で、前記素子領域11Aにn型ウェル11NWを、同様にして形成する。
より具体的には、前記シリコン基板11上の前記素子領域11Bをレジストパタ―ン(図示せず)で覆い、前記素子領域11Aに、例えば不純物元素としてP(リン)を加速エネルギ600keV以下、ドーズ量5×1013cm-2以下の範囲で、好ましくは加速エネルギー350KeV、ドーズ量3×1013cm-2の条件でイオン注入し、前記n型ウェル11NWを形成する。イオン注入時のTiltは任意でTwistを1方向以上の条件で注入しても良く、イオン種としてPのほかにもAsやSbなどを1種類以上用いても良い。
またその際、前記シリコン基板11の素子領域11Aに、例えば、As(ヒ素)を加速エネルギ300keV以下、ドーズ量1×1013cm-2以下の範囲で、好ましくは加速エネルギー100KeV、ドーズ量5×1012cm-2の条件下でイオン注入しチャネルストップ注入をおこなってもよい。本イオン注入時のTiltは任意でTwistを1方向以上の条件で注入しても良く、イオン種としてPのほかにもAsやSbなどを1種類以上用いても良い。
次に前記pチャネルMOSトランジスタの閾値調整のためのイオン注入を行なう。例えばAsを加速エネルギー200KeV以下、ドーズ量3×1013cm-2以下の範囲で、好ましくは加速エネルギー130KeV、ドーズ量3×1013cm-2の条件でイオン注入を行なう。この際Tiltは任意でTwistを1方向以上で注入しても良く、イオン種としてP、As、Sbなどを1種類以上使用しても良い。
次に、アッシング処理又はSPM等を用いたウェット処理により、前記素子領域11Bに形成したレジストパタ―ンを除去し、その後、前記シリコン基板11に対し例えば1000℃の温度で、約10秒間、スパイクアニールを行い、前記シリコン基板11中に注入されたB、P及びAs等の不純物元素を活性化する。
なお以上の説明では、前記図1Aの工程においてウェル注入およびチャネル注入を最初に行なったが、これは後述するSTI構造形成後に実施しても良い。
次に図1Bを参照するに、前記シリコン基板11上にCVD法により酸化膜を成膜し、さらに前記酸化膜をパターニングすることにより、前記シリコン基板11のうち、前記pチャネルMOSトランジスタのチャネル領域となる部分を含む基板部分11CHおよび前記nチャネルMOSトランジスタのチャネル領域となる部分を含む基板部分11CHに、酸化膜パタ―ン11Ox,11Oxをそれぞれ形成する。さらに前記酸化膜パタ―ン11Ox,11Oxをマスクに前記シリコン基板11を、例えばClやHClをエッチングガスとして使ったドライエッチングすることにより、前記シリコン基板11中、前記基板部分11CHの両側、および基板部分11CHの両側に、深さが40nm〜150nmのトレンチTA〜TAを形成する。図示の例では、前記基板部分11CH,11CHは、前記図1B中において、例えば30nm〜100nm程度の幅を有する。
前記トレンチTA〜TAの形成の結果、前記酸化膜パタ―ン11Oxおよび11Oxが形成されている前記基板部分11CHおよび基板部分11CHは、前記シリコン基板11から、前記シリコン基板11の一部として上方へ延在するメサ構造を形成する。
次に図1Cに示すように前記酸化膜パタ―ン11Ox,11Oxを再びマスクに使い、前記シリコン基板11の露出表面、すなわち前記トレンチTA〜TAの底に、SiGe混晶層11SG〜11SGを、例えばシラン(SiH4)あるいはジクロロシラン(SiHCl),モノゲルマン(GeH4),塩化水素(HCl),および水素(H2)の混合ガスを原料に用いたCVD法により、例えば20nm〜80nmの厚さで選択的にエピタキシャル成長させる。尚、本明細におけるSiGe混晶層という記載は、SiとGe以外に更に他の元素を有する混晶層も含むものを意味する。SiC混晶層という記載も、SiとC以外に更に元素を有する混晶層も含むものを意味する。
例えば前記SiGe混晶層11SG〜11SGのエピタキシャル成長は、1330〜13300Pa(10〜100Torr)の圧力下、好ましくは5320Pa(40Torr)の圧力下、650〜750℃の基板温度、好ましくは700℃の基板温度にて、水素ガス分圧を4000Pa〜6000Pa、好ましくは5300Paに設定し、ジクロロシラン分圧を20Pa〜30Pa、好ましくは26Paに設定し、モノゲルマンの分圧を10Pa〜15Pa、好ましくは12Paに設定し、塩化水素分圧を10Pa〜15Pa、好ましくは12Paに設定し、45nm/分の成長速度で行うことができる。
前記SiGe混晶層11SG〜11SGとしては、例えばGeを原子分率で20%程度含むものが使われるが、シリコン基板11に対してエピタキシャルに成長できる範囲でGeの組成はより増大させることができる。例えばGeを原子分率で40%程度含むSiGe混晶を、前記SiGe混晶層11SG〜11SGとして使うことも可能である。また前記SiGe混晶層11SG〜11SGとして、Cをさらに含むSiGeC混晶層を使うことも可能である。
さらに前記図1Cの工程では、前記SiGe混晶層11SG〜11SGの選択エピタキシャル成長の後、シランガスあるいはジシラン,塩化水素および水素の混合ガスを原料に用い、前記SiGe混晶層11SG〜11SG上にシリコンエピタキシャル層11ES〜11ESを、それぞれエピタキシャル成長させ、前記トレンチTA〜TAを実質的に充填する。
例えば前記シリコンエピタキシャル層11ES〜11ESの成長は、1330〜13300Pa(10〜100Torr)の圧力下、好ましくは5320Pa(40Torr)の圧力下、650〜750℃の基板温度、好ましくは700℃の基板温度にて、水素ガス分圧を4000Pa〜6000Pa、好ましくは5300Paに設定し、ジクロロシラン分圧を15Pa〜25Pa、好ましくは21Paに設定し、塩化水素分圧を3Pa〜10Pa、好ましくは5Paに設定し、0.7nm/分の成長速度で行うことができる。
その結果、前記メサ構造を形成する基板部分11CHおよび11CHの両側が前記SiGe混晶層11SG〜11SGおよび11ES〜11ESの積層構造により埋め込まれる。
次に図1Dを参照するに、前記酸化膜パタ―ン11Ox,11Oxが除去され、さらに所定の素子分離領域に素子分離溝11TI〜11TIを、ドライエッチングにより、前記SiGe混晶層11SG〜11SGの底面よりも深く、その下のシリコン基板11に到達するように形成する。その結果、前記素子分離溝11TI〜11TIの側壁面には、前記SiGe混晶層11SG〜11SGが露出する。また図1Dでは形成したトレンチはエッチングテーパ角度が数度持つように描写しているが、このテーパ角度はエッチング条件に起因するものであり、角度ゼロのストレートに加工することも可能である。
そこで次に図1Eの工程において、前記SiGe混晶層11SG〜11SGを例えばCl2とH2の混合ガスを使ったドライエッチングにより、その上のシリコンエピタキシャル層11ES〜11ES、あるいはその下のシリコン基板11に対して選択的に除去する。このSiGe混晶層11SG〜11SGの選択エッチングは、HClガスを使って実施することも可能である。また前記SiGe混晶層11SG〜11SGの選択エッチングは、ウェットエッチングにより実施することも可能である。
前記SiGe混晶層11SG〜11SGの選択エッチングの結果、前記シリコン基板11中には前記SiGe混晶層11SG〜11SGにそれぞれ対応して、ボイド11V〜11Vが形成される。ただし図1Eの例では、前記ボイド11Vは素子分離溝11TIにより2つの部分に分断されている。
ここで図2A〜図2Dおよび図3,図4を参照して、SiGe混晶層上にシリコンエピタキシャル層を形成した積層構造におけるSiGe混晶層の選択エッチングについて、より詳細に説明する。
図2Aは、このような選択エッチングの効果を検証するために作製したブランケット試料を示す。
図2Aを参照するに、シリコン基板1上にはSiGe混晶層2が約30nmの膜厚でエピタキシャルに形成されており、前記SiGe混晶層2上にはシリコン層3が約20nmの膜厚でエピタキシャルに形成されている。
次に図2Bを参照するに、前記シリコン層3上に所定の試料形状のレジストパターンR1が形成され、図2Cの工程において前記シリコン層3を前記レジストパタ―ンR1をマスクにドライエッチングすることにより、前記シリコン層3を所定の試料形状にパタ―ニングする。
さらに図2Dの工程において前記SiGe混晶層2を、例えばHFとHとCHCHOOHを体積比で1:16:24の割合で含むエッチング液によりウェットエッチングする。
図3は、このような実験で得られた試料の断面を示す写真である。
図3を参照するに、前記シリコン層3の下のSiGe混晶層2が選択的にエッチングされているのがわかる。
図4は、前記SiGe混晶層としてSiとGeを原子分率で80:20の割合で含むSiGe混晶を使った場合の、SiGe混晶層2およびシリコン層3のエッチング時間と膜厚減の関係を示すグラフである。
図4を参照するに、シリコン層3は5分間エッチングを行ってもほとんどエッチングされないのに対し、SiGe混晶層2では時間とともに膜のエッチング量が直線的に増大しており、5分後には7nmに達する膜厚が失われているのがわかる。
先にも述べたように前記SiGe混晶層11SG〜11SG中のGeの組成は、原子分率で20%に限定されるものではなく、より大きなエッチング選択性を得るため、前記混晶層11SG〜11SGがエピタキシャル成長できる限度内で、例えば40%まで、さらに増大させることができる。
このように、図1Eの工程において上記のウェットエッチングを行うことにより、SiGe混晶層11SG〜11SGを、その下のシリコン基板11やその上のシリコンエピタキシャル層11ES〜11ESに対して選択的に除去することができる。また前記SiGe混晶層11SG〜11SGは、塩素(Cl)と水素の混合ガス、あるいは塩化水素ガスを使ったドライエッチングにより、同様に選択的に除去することもできる。
なお図1Dの工程において、前記素子分離溝11TI,11TI,11TIは、必ずシリコン基板11に到達する必要はなく、前記SiGe混晶層11SG〜11SGが部分的に露出するように形成されていればよい。
次に図1Fに示すように、前記図1Eの構造上に埋込絶縁膜11Iの堆積を行ない、前記ボイド11V〜11Vを前記埋込絶縁膜11Iで充填する。この埋込絶縁膜11Iはシリコン酸化膜やシリコン窒化膜を主成分とする膜であり、その堆積にはAtomic layered deposition(ALD)法やCVD法、SOD(spin-on-dielectric)法など、ステップカバレッジに優れた成膜方法を使うのが好ましい。図示の例ではALD法によりシリコン酸化膜を主成分とする絶縁膜を前記埋込絶縁膜11Iとして堆積している。このときの成膜は、例えばテトラジメチルアミノシラン(TDMAS)やオゾン(O)を原料ガスとして温度300〜600℃で実施した。原料ガスとしては他にBTBBASや酸素(O)を用いても良い。ALD法により成膜された埋込絶縁膜11Iは、図1Eの構造の全面にコンフォーマルに堆積され、前記ボイド11V〜11Vを実質的に完全に充填する。ただし前記埋込絶縁膜11Iは前記ボイド11V〜11Vを完全に充填する必要はなく、未充填部が残されていても問題はない。前記埋込絶縁膜11I中に空隙が残留した場合には、埋込絶縁膜11I全体の比誘電率を低減できる好ましい効果が得られる。
また図1Fの工程において前記埋込絶縁膜11Iの成膜は、ALD法とCVD法、あるいはALD法とSOD法を組み合わせて行うことも可能である。前記ボイド11V〜11Vを充填する埋込絶縁膜11I中に空隙が残ってもよい、あるいは残すのが望ましい場合には、前記埋込絶縁膜11Iの成膜はCVD法やSOD法により行うことができる。
次に図1Gに示すように、前記図1Fの工程で堆積された埋込絶縁膜11Iが、前記シリコン基板11の表面から、例えばフッ酸を使うウェット処理などにより除去され、さらに図1Hの工程において、前記素子分離溝11TI〜11TIにPECVD(Plasma Enhanced Chemical Vapor Deposition)法によりシリコン酸化膜を埋め込む。さらにCMP(Chemical Mechanical Polishing)法により、前記シリコン基板11上に堆積したシリコン酸化膜を除去し、前記素子分離溝11IT〜11TIを素子分離絶縁膜11I〜11Iにより充填したSTI構造の素子分離領域を形成する。
このように前記素子分離領域に対応して素子分離絶縁膜11I〜11Iを形成することにより、前記シリコン基板11上においてpチャネルMOSトランジスタの素子領域11AおよびnチャネルMOSトランジスタの素子領域11B、前記素子分離絶縁膜11I〜11Iにより画定される。
なお図1Aで説明したように、前記n型ウェル11NWやp型ウェル11PWなどを形成するウェル注入およびチャネル注入は、前記素子分離絶縁膜11I〜11Iの形成後に実施しても良い。
図1Hの工程においてはさらに、前記シリコン基板11上に、pチャネルMOSトランジスタおよびnチャネルMOSトランジスタのゲート絶縁膜となる薄い絶縁膜12が形成される。かかるゲート絶縁膜12の形成は、例えば前記シリコン基板11の表面を約900℃でドライ酸化して膜厚が約1nmの下地酸化膜を形成し、その後NO雰囲気中においてプラズマ窒化を行ってこれを酸窒化膜に変換することにより実行してもよい。この場合、前記プラズマ窒化は、NO雰囲気中のみならず、N2O雰囲気中又はNH3雰囲気中において実行してもよい。また、ゲート酸化膜12は酸窒化膜に限らず、HfO膜やHfSiO膜などの高誘電率(High-K)絶縁膜であってもよい。また各素子領域毎に異なるレジストプロセスを用いることにより、素子領域11A,11Bで膜厚や膜種などの異なるゲート酸化膜を形成することも可能である。
次に図1Iの工程において、図示はしないが、まず前記図1Hに示す構造上、すなわち前記ゲート絶縁膜12上に、LPCVD(Low Pleasure Chemical Vapor Deposition)法などにより、約600℃の温度でポリシリコン膜(図示せず)を、例えば約100nmの膜厚に堆積する。さらに図1Iの工程では、前記素子領域11Aにおいて前記ポリシリコン膜をレジストパタ―ンで覆い、前記素子領域11Bにおいて前記ポリシリコン膜中にn型不純物をイオン注入し、これをn型にドープする。例えば、P(リン)を、加速エネルギ30keV以下、ドーズ量2×1015cm-2〜2×1016cm-2の範囲で、好ましくは加速エネルギー20KeV,ドーズ量5×1015cm-2の条件下でイオン注入する。本イオン注入時のTiltは任意でTwistを1方向以上で注入しても良く、イオン注入種としてPやAsなど1種類以上使用しても良い。なおプレアモルファス化のためにGeやSiを先に注入しても良い。さらにアッシング処理又はSPM等を用いたウェット処理により、前記レジストパタ―ンを除去する。
さらに今度は前記素子領域11Bにおいて前記ポリシリコン膜をレジストパタ―ンで覆い、前記素子領域11Aにおいて前記ポリシリコン膜中にp型不純物をイオン注入し、これをp型にドープする。例えば、B(ボロン)を、加速エネルギ7keV以下、ドーズ量2×1015cm-2〜2×1016cm-2の範囲で、好ましくは加速エネルギー5KeV、ドーズ量5×1015cm-2の条件下でイオン注入を行うことができる。本イオン注入時のTiltは任意でTwistを1方向以上で注入しても良い。またイオン注入種としてBやBF、B10Hxなどのその他のB分子イオンを1種類以上使用しても良い。なおプレアモルファス化のためにGeやSiを先に注入しても良い。この後、アッシング処理又はSPM等を用いたウェット処理により、前記レジストパタ―ンを除去する。
また図1Iの工程では、必要に応じて前記ポリシリコン膜に注入されたn型不純物及びp型不純物の拡散を促進させるため、例えば、熱処理温度1000℃、処理時間約5秒の条件で、半導体基板に対してスパイクアニールを行う。
次に前記図1Iの工程では、前記ポリシリコン膜をパターニングし、前記素子領域11Aにp型ポリシリコンよりなるゲート電極パターン13Gを、また前記素子領域11Bにn型ポリシリコンよりなるゲート電極パターン13Gを形成する。
なお図1Iの工程において、前記ゲート電極13G,13Gはポリシリコンに限定されるものではなく、アモルファスシリコン膜であってもよい。この場合には、図1Iの工程の初めに、前記ポリシリコン膜の代わりにアモルファスシリコン膜を形成すればよい。
次に図1Jの工程において前記シリコン基板11上に、前記シリコン基板11およびゲート電極パターン13G,13Gをその形状に整合して覆う絶縁膜(図示せず)を、例えばCVD法により形成し、これを前記シリコン基板11の主面に対して略垂直方向に作用するRIE法によりエッチバックを行い、前記ゲート電極パターン13G,13Gの両側壁面上に、前記絶縁膜よりなるサイドウォールスペーサ13GW,13GWを形成する。かかる絶縁膜は、例えば、TEOS(Tetra Ethyl Ortho Silicate)を原料としたLPCVD法により、約600℃の基板温度で約10nmの膜厚に形成した酸化膜をエッチバックすることで形成することができる。また前記サイドウォールスペーサ13GW,13GWは、ジクロルシラン(SiH2Cl2)を原料としたLPCVD法により、約650℃の基板温度で形成された約10nmの膜厚のSiN膜をエッチバックすることで形成することもできる。
なお本実施形態において前記サイドウォールスペーサ13GW,13GWは必須ではなく、サイドウォール膜の形成工程及びサイドウォールスペーサの形成工程は省略することが可能である。
さらに図1Jの工程では、前記サイドウォールスペーサ13GW,13GWの形成に引き続き、前記シリコン基板11上の素子領域11Aをフォトレジストで保護し、前記素子領域11Bにおいてゲート電極パターン13Gおよびサイドウォールスペーサ13GWをマスクとして、ポケット注入及びエクステンション注入を行う。その際、前記サイドウォールスペーサ13GWは、前記素子領域11Bへのポケット注入及びエクステンション注入のためのオフセットとして機能する。その結果、図1Jに示すように前記チャネル形成が形成される基板部分11CHには、前記ポリシリコンゲート電極13Gの両側に、p型のポケット注入領域(図示せず)とn型のソース/ドレインエクステンション領域11c,11dが形成される。
前記素子領域11Bへのポケット注入は、例えばBを20KeV以下、ドーズ量を5×1013cm−2以下の範囲で好ましくは加速エネルギー10KeV、ドーズ量3×1013cm−2の条件でイオン注入を行なう。この際、Tiltは任意でTwistを1方向以上で注入しても良く、注入イオン種として、BやIn、BF、B10Hxなどのその他のB分子イオンを1種類以上使用しても良い。
前記素子領域11Bにおける前記ソース/ドレインエクステンション領域11c,11dの形成は、例えばAs(ヒ素)を加速エネルギー5KeV以下、ドーズ量2×1013〜2×1015の範囲で、好ましくは加速エネルギー3KeV、ドーズ量5×1014cm−2の条件でイオン注入を行なう。この際、Tiltは任意でTwistを1方向以上で注入しても良く、注入イオン種としてAs,P、Sbなどを1種類以上注入しても良い。またプレアモルファス化のためにGeやSiを先に注入しても良い。
図1Jの工程において前記サイドウォールスペーサ13GW,13GWの形成工程を省略している場合には、前記ポケット注入領域を形成するためのイオン注入、および前記ソース/ドレインエクステンション領域11c,11dを形成するためのイオン注入は、前記ゲート電極パターン13Gをマスクとして行われる。
また前記図1Jの工程では、前記シリコン基板11上の素子領域11Aに、同様にしてn型ポケット注入領域およびp型のソース/ドレインエクステンション領域11a,11bの形成を行う。
より具体的には、前記素子領域11Bをレジストパタ―ンで保護し、前記素子領域11Aにおいてゲート電極パターン13Gおよび前記ゲ―ト電極パターン13Gに形成されたサイドウォールスペーサ13GWをマスクとして前記素子領域11Aにポケット注入及びエクステンション注入を行う。その際、前記サイドウォールスペーサ13GWは、前記シリコン基板11の素子領域11Aにポケット注入及びエクステンション注入を行うためのオフセットとして機能する。
前記素子領域11Aに対するポケット注入は、例えばAsを加速エネルギー100KeV以下、ドーズ量5×1013cm−2以下の範囲で、好ましくは加速エネルギー70KeV、ドーズ量3×1013cm−2の条件でイオン注入を行なう。この際、Tiltは任意でTwistは1方向以上の条件で注入しても良く、PやAs、Sbを1種類以上注入しても良い。
また前記素子領域11Aにおいて前記ソース/ドレインエクステンション領域11a,11bを形成するエクステンション注入は、前記素子領域11Aに、例えばBを加速エネルギー2KeV以下、ドーズ量2×1013〜2×1015の範囲で、好ましくは加速エネルギー1KeV、ドーズ量5×1014cm−2の条件でイオン注入を行なう。この際、Tiltは任意でTwistを1方向以上で注入しても良く、注入イオン種としてB,BF2、B10Hxなどを1種類以上注入しても良い。またプレアモルファス化のためにGeやSiを先に注入しても良い。
前記素子領域11Aにおいてもポケット不純物元素の注入は前記p型ソース/ドレインエクステンション領域11a,11bより深い位置まで形成される。
なお、前記シリコン基板11上にpチャネルMOSトランジスタおよびnチャネルMOSトランジスタのための素子領域が複数存在する場合には、前記ポケット注入及びソース/ドレインエクステンション領域の形成のためのイオン注入の条件を、素子領域毎に変えることも可能である。この場合には、前記レジストパタ―ンの形成工程、前記素子領域に対するポケット注入工程、および前記素子領域に対するソース/ドレインエクステンション形成のためのイオン注入工程、及びレジストパタ―ンの除去工程を、素子領域毎に、必要な数だけ繰り返し行うことになる。
前記サイドウォールスペーサ13GWの形成を省略している場合は、前記素子領域11Aへのポケット注入及びエクステンション注入を、前記ゲート電極パターン13Gをマスクとして行うことができる。
次に図1Kの工程において前記シリコン基板11の全面に、前記サイドウォールスペーサ13GWを担持したゲート電極パターン13G、さらに前記サイドウォールスペーサ13GWを担持したゲート電極パターン13Gを覆うように、例えばSiON膜やSiN膜など、好ましくはHF耐性を有する絶縁膜を、LPCVD法になどにより、約600℃以下の低温で、20〜40nmの膜厚に形成する。さらにこのようにして形成した絶縁膜をRIE法によりエッチバックし、前記ゲート電極13Gの両側壁面上に、前記サイドウォールスペーサ13GWを介して側壁絶縁膜13SWを、また前記ゲート電極13Gの両側壁面上に、前記サイドウォールスペーサ13GWを介して側壁絶縁膜13SWを形成する。
次に図1Lの工程において、例えばAsを40keV以下の加速エネルギ下、5×1014cm−2〜2×1016cm−2の範囲のドーズ量で、好ましくは加速エネルギー30KeV,ドーズ量2×1015cm−2の条件でイオン注入する。この際、Tiltは任意でTwistは1方向以上の条件で注入しても良く、注入種としてはAsやPを1種類以上注入しても良い。これにより前記素子領域11B中、前記ゲート電極13Gに対し前記側壁絶縁膜13SWよりも外側の領域にn+型のソース領域11gおよびドレイン領域11hが形成される。
前記図1Lの工程では次に前記レジストパターンを除去し、今度は前記素子領域11Bをレジストパターンで保護し、前記素子領域11Aに例えばBを7keV以下の加速エネルギー、5×1014cm−2〜2×1016cm−2のドーズ量の範囲で、好ましくは加速エネルギー5keV、ドーズ量2×1015cm−2の条件でイオン注入する。この際、Tiltは任意でTwistを1方向以上で注入しても良い。またイオン種としてB、BF、B10Hxなどその他のB分子イオンを1種類以上使用しても良い。これにより、前記素子領域11A中、前記ゲート電極13Gに対し前記側壁絶縁膜13SWよりも外側の領域にp+型のソース領域11eおよびドレイン領域11fが形成される。
さらに図1Mの工程において前記図1Lの構造上に例えばNiやCoなどの金属膜を堆積し、これを急速熱処理するサリサイドプロセスにより、前記ソース領域11eの表面にNiSiなどのシリサイド層14Sを、前記ドレイン領域11fの表面に同様なシリサイド層14Dを、前記ソース領域11gの表面に同様なシリサイド層14Sを、前記ドレイン領域11hの表面に同様なシリサイド層14Dを、さらに前記ポリシリコンゲート電極13Gおよび13Gの表面に同様なシリサイド層14Gおよび14Gを、それぞれ形成する。
さらに図1Nの工程において前記図1Mの構造上に前記素子領域11Aにおいては前記ゲート電極13G1および側壁絶縁膜13SWを覆うように、また素子領域11Bにおいては前記ゲート電極13Gおよび側壁絶縁膜13SWを覆うように、層間絶縁膜15を、例えばTEOSを原料とするプラズマCVD法により形成され、前記層間絶縁膜15中には図1Oの工程において、それぞれ前記シリサイド層14S,14D,14Sおよび14Dを露出するビアホール15A〜15Dが形成される。
さらに図1Pの工程において前記ビアホール15A〜15DにW(タングステン)やCu(銅)よりなるビアプラグ16A〜16Dを、図示は省略するが、必要に応じてTaNやTiNなどのバリアメタル膜とともに形成する。次に、タングステン、バリアメタルを層間絶縁膜表面が露出するところまでCMP法により研磨し、その後配線層を形成することで本実施形態による半導体装置が製造される。
本実施形態によれば、ソース領域11eあるいは11g、およびドレイン領域11fあるいは11hの下に局所的に形成された埋込絶縁膜11Iを有するpチャネルあるいはnチャネルMOSトランジスタにおいて、エッチングなどの加工を施さないバルクシリコン基板11の平坦な表面にゲート絶縁膜12およびゲート電極13Gあるいは13Gを形成するため、ゲート電極直下のチャネル領域は平坦であり、またチャネル領域に欠陥が導入されることがない。すなわち好ましい実施形態によれば、当初の良好な結晶品質を有するバルクシリコン基板11をチャネル領域として使うことができ、MOSトランジスタの動作特性を向上させ、また特性のばらつきを低減させることができる。
なお本実施形態において、後で図10を参照して説明するように、前記SiGe混晶層11SG1〜11SG3の代わりに、Bで1×1018cm-3以上の濃度にドープされたシリコンエピタキシャル層を使うことも可能である。
また本実施形態において、前記酸化膜パタ―ン11Ox,11Oxの代わりにシリコン窒化膜(SiN)パタ―ンあるいは酸窒化膜(SiON)パタ―ンを使うことも可能である。
[第2の実施形態]
次に第2の実施形態によるMOSトランジスタの製造工程を、図5A〜図5Sを参照しながら説明する。
図5Aを参照するに、本実施形態ではまず単結晶バルクシリコンよりなるシリコン基板31上に、形成しようとしているpチャネルMOSトランジスタの素子領域31AおよびnチャネルMOSトランジスタの素子領域31Bを画定するようにSTI構造の素子分離領域31I〜31Iを形成する。
次に図5Bの工程において前記素子領域31Aをレジストパタ―ンにより保護し、素子領域31Bに、例えばB(ボロン)を加速エネルギー300keV以下、ドーズ量5×1013以下の範囲で、好ましくは加速エネルギー150KeV、ドーズ量3×1013の条件で注入することでp型ウェル11PWを形成する。イオン注入時のTiltは任意でTwistを1方向以上で注入しても良く、注入種としてはBの他にもBFやInなどを1種類以上用いても良い。
またその際、前記シリコン基板11の素子領域11Bに、例えばB(ボロン)を加速エネルギ150keV以下、ドーズ量1×1013cm-2以下の範囲で、好ましくは加速エネルギー30KeV、ドーズ量5×1012cm-2の条件でイオン注入しチャネルストップ注入を行ってもよい。本チャネルストップ注入時のTiltは任意で、Twistを1方向以上で注入しても良く、イオン種としてBのほかにもBFやInなどを1種類以上用いても良い。
次に前記nチャネルMOSトランジスタの閾値調整のためのイオン注入を行なう。例えば、Bを加速エネルギー40KeV以下、ドーズ量3×1013cm-2の範囲で、好ましくは加速エネルギー20KeV,ドーズ量1×1013cm-2の条件で注入する。この際、イオン注入時のTiltは任意で、Twistを1方向以上で注入しても良い。またB以外にもInやBF、B10HxなどそのほかのB分子イオンを1種類以上使用しても良い。
次に図5Bの工程では前記素子領域31Aのレジストパターンを除去し、素子領域31Bをレジストパターンで保護した後、今度は前記素子領域31Aに例えば、不純物元素としてP(リン)を加速エネルギ600keV以下、ドーズ量5×1013cm-2以下の範囲で、好ましくは加速エネルギー350KeV、ドーズ量3×1013cm-2の条件でイオン注入し、前記n型ウェル11NWを形成する。イオン注入時のTiltは任意でTwistを1方向以上の条件で注入しても良く、イオン種としてPのほかにもAsやSbなどを1種類以上用いても良い。
またその際、前記シリコン基板11の素子領域11Aに、例えば、As(ヒ素)を加速エネルギ300keV以下、ドーズ量1×1013cm-2以下の範囲で、好ましくは加速エネルギー100KeV、ドーズ量5×1012cm-2の条件下でイオン注入しチャネルストップ注入をおこなってもよい。本イオン注入時のTiltは任意でTwistを1方向以上の条件で注入しても良く、イオン種としてPのほかにもAsやSbなどを1種類以上用いても良い。
次に前記pチャネルMOSトランジスタの閾値調整のためのイオン注入を行なう。例えばAsを加速エネルギー200KeV以下、ドーズ量3×1013cm-2以下の範囲で、好ましくは加速エネルギー130KeV、ドーズ量3×1013cm-2の条件でイオン注入を行なう。この際Tiltは任意でTwistを1方向以上で注入しても良く、イオン種としてP、As、Sbなどを1種類以上使用しても良い。
さらに図5Bの工程においては、前記素子領域31Bを覆うレジストパターンをアッシング処理又はSPM等を用いたWET処理により除去し、前記シリコン基板31に対し、例えば熱処理温度1000℃、処理時間約10秒の条件でスパイクアニールを行い、前記シリコン基板31に注入されたB,P及びAs等の不純物を活性化する。
次に図5Cの工程において、前記シリコン基板31上にまず酸化膜を、例えば約900℃の基板温度でドライ酸化を行うことにより、約1nmの膜厚に形成し、これを引き続きNO雰囲気中においてプラズマ窒化する。これにより、前記シリコン基板31の表面には、前記pチャネルMOSトランジスタおよびnチャネルMOSトランジスタのゲート絶縁膜となる酸窒化膜32が形成される。なお前記酸窒化膜32の形成は、N2O雰囲気中又はNH3雰囲気中においてプラズマ窒化を行うことにより形成することもできる。
また本実施形態において、前記pチャネルMOSトランジスタおよびnチャネルMOSトランジスタのゲート酸化膜は酸窒化膜に限定されるものではなく、HfO膜やHfSiO膜などのいわゆる高誘電率(High-K)絶縁膜であってもよい。また素子領域31Aおよび31Bで異なるレジストプロセスを使うことにより、素子領域31Aと素子領域31Bで異なる膜厚あるいは膜種のゲート絶縁膜を形成することも可能である。
次に前記図5Cの工程では、LPCVD(Low Pleasure Chemical Vapor Deposition)法により、このようにして形成されたpチャネルMOSトランジスタおよびnチャネルMOSトランジスタのゲート絶縁膜となる絶縁膜上に、約600℃の基板温度で膜厚約100nmのポリシリコン膜(図示せず)を堆積する。さらに前記ポリシリコン膜上に素子領域31Aを覆うレジストパタ―ンを形成し、前記ポリシリコン膜のうち、素子領域31Bに対応する部分にn型不純物をイオン注入し、これをn型にドープする。例えば、P(リン)を、加速エネルギ30keV以下、ドーズ量2×1015cm-2〜2×1016cm-2の範囲で、好ましくは加速エネルギー20KeV,ドーズ量5×1015cm-2の条件下でイオン注入する。本イオン注入時のTiltは任意でTwistを1方向以上で注入しても良く、イオン注入種としてPやAsなど1種類以上使用しても良い。なおプレアモルファス化のためにGeやSiを先に注入しても良い。この後、前記ポリシリコン膜のうち、前記素子領域31Aに対応する部分を覆うレジストパタ―ンを除去する。
さらに図5Cの工程では、前記ポリシリコン膜上に素子領域31Bを覆うレジストパターンを形成し、前記ポリシリコン膜のうち素子領域31Aに対応する部分にp型不純物をイオン注入し、これをp型にドープする。このイオン注入は、例えば、B(ボロン)を、加速エネルギ7keV以下、ドーズ量2×1015cm-2〜2×1016cm-2の範囲で、好ましくは加速エネルギー5KeV、ドーズ量5×1015cm-2の条件下でイオン注入を行うことができる。本イオン注入時のTiltは任意でTwistを1方向以上で注入しても良い。またイオン注入種としてBやBF、B10Hxなどのその他のB分子イオンを1種類以上使用しても良い。なおプレアモルファス化のためにGeやSiを先に注入しても良い。さらにアッシング処理又はSPM等を用いたウェット処理により、前記レジストパタ―ンを前記ポリシリコン膜のうち、前記素子領域31Bに対応する部分から除去する。
更に、必要に応じて、このように前記ポリシリコン膜に注入されたn型不純物及びp型不純物の拡散を促進させるため、前記シリコン基板31に対し、例えば熱処理温度1000℃、処理時間約5秒の条件で、スパイクアニールを行ってもよい。
さらに図5Cの工程において、前記ポリシリコン膜を異方性エッチングによりパターニングし、前記素子領域31Aにゲート電極パターン33Gを、また素子領域31Bにゲート電極パターン33Gを、それぞれ前記ゲート絶縁膜32を介して形成する。
なお図5Cの工程において前記ポリシリコン膜のかわりにアモルファスシリコン膜を形成することも可能である。この場合には、前記ゲート電極31G,31Gはアモルファスパタ―ンにより構成される。
次に図5Dの工程において前記ゲート電極パターン33G,33Gの両側壁面上にサイドウォールスペーサ33GW,33GWを、先の実施形態のサイドウォールスペーサ13GW,13GWと同様にして形成し、さらに前記シリコン基板31上の素子領域31Aをフォトレジストで保護し、前記素子領域31Bにおいてゲート電極パターン13Gおよびサイドウォールスペーサ13GWをマスクとして、ポケット注入及びエクステンション注入を行い、p型のポケット注入領域(図示せず)とn型のソース/ドレインエクステンション領域31c,31dを、先の実施形態のポケット注入領域およびソース/ドレインエクステンション領域11c,11dと同様に形成する。
また前記図5Dの工程では、前記シリコン基板31上の素子領域31Aに、同様にしてn型ポケット注入領域およびp型のソース/ドレインエクステンション領域31a,31bを、先の実施形態のn型ポケット注入領域およびp型のソース/ドレインエクステンション領域11a,11bと同様にして形成する。
次に図5Eの工程において、前記図5Dのゲート電極パターン33G,33G上にそれぞれのサイドウォールスペーサ33GW,33GWを介して側壁絶縁膜33SW,33SWを、前記側壁絶縁膜13SWおよび13SWと同様にして形成する。
さらに図5Eの工程では、前記側壁絶縁膜33SW〜33SWをマスクとしたドライエッチングを、先の実施形態の図1Bの工程と同様にしてClやHClをエッチングガスとして行い、その結果、前記シリコン基板31中、前記素子領域31Aにおいては前記ゲート電極パターン33Gおよび側壁絶縁膜33GWで覆われ、形成しようとしているpチャネルトランジスタのチャネル領域を含む基板部分33CHの外側に、トレンチ31TA,31TAが40nm〜150nmの深さ形成される。また図5Eの工程では前記シリコン基板31中前記素子領域31Bにおいて、前記ゲート電極パターン33Gおよび側壁絶縁膜33GWで覆われ形成しようとしているnチャネルトランジスタのチャネル領域を含む基板部分33CHの外側に、トレンチ31TA,31TAが40nm〜150nmの深さに形成される。
なお図5Eの工程では、前記ゲート電極パターン33Gおよび33G上に、図示はしないが、前記側壁絶縁膜33SW,33SWと同様なマスクパターンが形成されており、前記トレンチ31TA,31TAの形成の際にゲート電極パターン33G,33Gがエッチングされるのを防いでいる。このマスクパタ―ンは、例えばあとで説明する図5Iの工程において除去される。
次に図5Fの工程において、選択エピタキシャル成長技術を用いて、前記図5Eの構造上のトレンチ31TA〜31TA中に、SiGe混晶層31SG,31SG,31SGおよび31SGを、先の実施形態の場合と同様にエピタキシャルに形成し、さらにその上にシリコンエピタキシャル層31ES,31ES,31ESおよび31ESをエピタキシャル形成することで前記トレンチ31TA〜31TAを充填する。
例えば、ジクロロシランとモノゲルマンと塩化水素と水素の混合ガスを用いたCVD法により、先の実施形態と同様な条件下で、前記SiGe混晶層31SG,31SG,31SGおよび31SGを20nm〜80nmの厚さに形成し、次に前記SiGe混晶層31SG,31SG,31SGおよび31SG上にそれぞれ前記シリコンエピタキシャル層31ES,31ES,31ESおよび31ESを、ジクロロシランと塩化水素と水素の混合ガスを用いたCVD法により、やはり先の実施形態と同様な条件下で20nm〜70nmの厚さに形成する。
なお図5Eの工程から図5Fの工程に移行する際には、SiGe混晶層31SG,31SG,31SGおよび31SGの形成は、例えば図6Aに示すように前記トレンチ31TA〜31TAの底面のみならず、前記基板部分31CH,31CHを画成する側壁面においても発生することがあるが、このような側壁面に成長するSiGe混晶層の膜厚は底面に成長するSiGe混晶層の膜厚よりもはるかに小さいため、SiGe混晶層がトレンチ31TA〜31TAの側壁面に成長しても、図6Bに示すように等方的に作用する、あるいは前記側壁面に対して斜めに作用するドライあるいはウェットエッチングを行うことにより、前記側壁面に成長したSiGe混晶層を除去することにより、前記SiGe混晶層31SG,31SG,31SGおよび31SG上に形成されるシリコンエピタキシャル層31ES,31ES,31ESおよび31ESについては、前記トレンチ31TA〜31TAの側壁面において前記基板領域31CHおよび31CHを構成するシリコン基板31と格子整合させることが可能である。
なお図5Eにおけるトレンチ31TA〜31TAの形成の際、ドライエッチング工程の後にウェットエッチング工程を行い、前記基板部分31CH,31CHの側壁面に例えば(100)などの結晶面を露出させることも可能である。
図5Fの工程では、さらに例えばフッ酸を使ったウェットエッチングやシリコン酸化膜のドライエッチングなどにより、前記素子分離構造31I〜31Iを構成する素子分離絶縁膜を後退させ、前記SiGe混晶層31SG,31SG,31SGおよび31SGの側壁面を露出させる。
なお図5Fの工程において、先に前記素子分離構造31I〜31Iを構成する素子分離絶縁膜を後退させておき、その後で前記SiGe混晶層31SG,31SG,31SGおよび31SGのエピタキシャル成長および前記シリコンエピタキシャル層31ES,31ES,31ESおよび31ESのエピタキシャル成長を行うことも可能である。
前記SiGe混晶層31SG,31SG,31SGおよび31SGやシリコンエピタキシャル層31ES,31ES,31ESおよび31ESは、前記シリコン基板31を構成するシリコン結晶面が露出した箇所にのみ、(100)面よりも面指数の大きい、例えば(111)面や(311)面などの結晶面よりなるファセットを形成して成長するため、このように先に素子分離構造31I〜31Iを構成する素子分離絶縁膜を後退させておいても、SiGe混晶層31SG,31SG,31SGおよび31SGやシリコンエピタキシャル層31ES,31ES,31ESおよび31ESが素子分離領域31I1〜31I3上にせり出すように成長することはない。
なお図5Fで得られた構造において、前記SiGe混晶層31SG〜31SGの側壁面、あるいは前記シリコンエピタキシャル層31ES〜31ESの側壁面は、単一の結晶面より形成される場合もあれば、複数の結晶面を含む場合もある。
次に図5Gの工程において、先の実施形態と同様に、例えば塩素(Cl2)と水素の混合ガス、あるいは塩化水素ガスを使ったドライエッチングにより、あるいはフッ酸や硝酸や酢酸などの混合液を使ったウェットエッチングにより、前記SiGe混晶層31SG,31SG,31SGおよび31SGを前記シリコン基板31およびシリコンエピタキシャル層31ES,31ES,31ESおよび31ESに対して選択的にエッチングし、前記シリコン基板31中に前記SiGe混晶層31SG,31SG,31SGおよび31SGの形成領域にそれぞれ対応して、ボイド31V〜31Vを形成する。
なお図5Gの工程では、前記シリコンエピタキシャル層31ES,31ESは前記基板領域31CHに、またシリコンエピタキシャル層31ESおよび31ESは前記基板領域31CHにエピタキシャルに格子整合しており、前記SiGe混晶層31SG,31SG,31SGおよび31SGを選択的に除去しても前記基板領域31CHあるいは基板領域31CHから脱離することはない。
また図5Gのエッチング工程をドライエッチングにより行う場合、図5Fにおける素子分離絶縁膜31I〜31Iを後退させるエッチングを前記SiGe混晶層31SG1〜31SGの成膜およびシリコンエピタキシャル層31ES〜31ESの成膜前に行っておけば、素子分離絶縁膜31I〜31Iを後退させるエッチングをウェットエッチングで行う場合であっても、前記SiGe混晶層31SG1〜31SGの成膜およびシリコンエピタキシャル層31ES〜31ESの成膜、および図5Gの選択エッチング工程を、同一の処理装置内において連続して、すなわち途中で被処理基板を取り出すことなく実行することが可能である。
本実施形態においても前記SiGe混晶層31SG,31SG,31SGおよび31SGとしてはGeを原子分率で20%程度含むものが使われるが、エピタキシャル成長可能な範囲ないでより多量のGeを、例えば原子分率で40%程度含むものを使うことも可能である。先の図5の関係から、このように高濃度でGeを含むSiGe混晶を前記SiGe混晶層31SG,31SG,31SGおよび31SGとして使うことにより、図5Gのエッチング工程におけるエッチングの選択性を向上させることができる。またSiGe混晶層31SG,31SG,31SGおよび31SGとして、必要に応じてSiGeC混晶を使うことも可能である。
次に図5Hの工程において前記図5Gの構造上にシリコン酸化膜やシリコン窒化膜を主成分とする埋込絶縁膜31Iを、ステップカバレッジに優れた成膜方法、例えばALD法やCVD法、SOG法などにより堆積し、前記ボイド31V〜31Vを前記埋込絶縁膜31Iにより充填する。図示の例では、前記埋込絶縁膜31IをALD法により形成している。このときの成膜条件としては、例えばテトラジメチルアミノシラン(TDMAS)やオゾン(O)を原料ガスとして温度300〜600℃で成膜した。原料ガスとしては他にBTBBASや酸素(O)を用いても良い。なお本実施形態でも、先の実施形態と同様に前記ボイド31V〜31Vが前記埋込絶縁膜31Iにより完全に充填される必要はなく、未充填部が一部に残留してもよい。
次に図5Iの工程において前記図5Hの構造に対してウェットあるいはドライエッチングを行い、前記埋込絶縁膜31Iを、前記シリコンエピタキシャル膜31ES〜31ESの表面、前記側壁絶縁膜33SW,33SWの表面および前記ゲート電極33G,33Gの露出表面から除去する。図5Iの工程では、前記6Gのエッチング工程の結果、前記シリコンエピタキシャル膜31ES〜31ESの側壁面には、素子分離構造31I〜31Iに対応して、当初の素子分離溝に対応する溝部31TI〜31TIが形成されている。
さらに図5Iの段階では前記側壁絶縁膜33SW,33SWは前記図5Gのエッチング工程などにより後退しているため、図5Jの工程において、いったん前記側壁絶縁膜33SW,33SWを除去し、新たな側壁絶縁膜を前記ゲート電極33Gおよび33Gの側壁面上に形成する。
より具体的には、図5Kの工程において図5Jの構造上にシリコン酸化膜あるいはシリコン窒化膜、さらにはシリコン酸化膜とシリコン窒化膜の積層膜などの絶縁膜34をCVD法により、下地構造に整合する形状で堆積し、図5Lの工程において前記絶縁膜34をエッチバックする。これにより前記ゲート電極33Gの両側壁面に新たな側壁絶縁膜33SWが、また前記ゲート電極33Gの両側壁面に新たな側壁絶縁膜33SWが形成される。なお図5Lの状態では、前記溝部31I〜31Iの側壁面に前記絶縁膜34が残留しているのがわかる。
次に図5Mの工程において前記図5Lの素子領域31AをレジストパターンR31により保護し、素子領域31BにAsなどのn型不純物元素を、前記ゲート電極33Gおよび側壁絶縁膜33SWをマスクに、例えばAsを40keV以下の加速エネルギ下、5×1014cm−2〜2×1016cm−2の範囲のドーズ量で、好ましくは加速エネルギー30KeV,ドーズ量2×1015cm−2の条件でイオン注入する。この際、Tiltは任意でTwistは1方向以上の条件で注入しても良く、注入種としてはAsやPを1種類以上注入しても良い。これにより、前記シリコンエピタキシャル層31ESおよび31ESに、n型のソース領域31gおよびドレイン領域31hを、先に前記基板部分31CHに形成したソースエクステンション領域31cおよびドレインエクステンション領域31dよりも深く形成する。
次に図5Nの工程において前記レジストパターンR31を除去し、新たに図5Lの素子領域31BをレジストパターンR32により保護する。さらに素子領域31Aにp型不純物元素を、前記ゲート電極33Gおよび側壁絶縁膜33SWをマスクに、例えばBを7keV以下の加速エネルギー、5×1014cm−2〜2×1016cm−2のドーズ量の範囲で、好ましくは加速エネルギー5keV、ドーズ量2×1015cm−2の条件でイオン注入する。この際、Tiltは任意でTwistを1方向以上で注入しても良い。またイオン種としてB、BF、B10Hxなどその他のB分子イオンを1種類以上使用しても良い。これにより、前記シリコンエピタキシャル層31ESおよび31ESに、p型のソース領域31eおよびドレイン領域31fを、先に前記基板部分31CHに形成したソースエクステンション領域31aおよびドレインエクステンション領域31bよりも深く形成する。
さらに図5Oの工程において露出シリコン面上に、すなわち前記シリコンエピタキシャル層31ES,31ES,31ESおよび31ESの露出表面および前記ポリシリコンゲート電極31Gおよび31Gの露出表面に、NiSiなどの低抵抗シリサイド層36a,36b,36c,36d,36e,36fを、それぞれサリサイド法などにより形成する。
あるいは前記図5Lの工程の後、前記溝部31TI〜31TIから残留している絶縁膜34を、例えばHFを使ったウェットエッチングなどにより除去し、得られた構造に対してシリサイド形成を行うことにより、図5Pに示す構造を得ることも可能である。図5Pの構造では、前記シリサイド層36a〜36dは、それぞれ前記溝部31TI〜31TIの側壁面を覆って形成される。
さらに図5Qの工程において、前記1Nの工程と同様にして層間絶縁膜37が前記溝部31TI〜31TIを充填して形成され、前記図5Rの工程において前記層間絶縁膜37中に、前記n型ソース領域31e,n型ドレイン領域31f,p型ソース領域31gおよびp型ドレイン領域31hを覆うシリサイド膜36a〜36dをそれぞれ露出するビアホール37A〜37Dがそれぞれ形成される。
さらに図5Sの工程において前記ビアホール37A〜37Dに、それぞれ前記シリサイド膜36a〜36dとコンタクトするビアプラグ38A〜38Dを形成する。
本実施形態においても、ソース領域31eあるいは31g、およびドレイン領域31fあるいは31hの下に局所的に形成された絶縁構造31Iを有するpチャネルあるいはnチャネルMOSトランジスタにおいて、エッチングなどの加工を施さないバルクシリコン基板31の表面にゲート絶縁膜32およびゲート電極33Gあるいは33Gを形成するため、ゲート電極直下のチャネル領域は平坦であり、またチャネル領域に欠陥が導入されることがない。すなわち好ましい実施形態によれば、当初の良好な結晶品質を有するバルクシリコン基板11をチャネル領域として使うことができ、MOSトランジスタの動作特性を向上させ、また特性のばらつきを低減させることができる。
[第3の実施形態]
図7A〜図7Cは、前記図5Iの工程に引き続き、前記第2の実施形態の一変形例として実行される第3の実施形態による半導体装置の製造工程を示す図である。ただし図8A〜8C中、先に説明した部分に対応する部分には同一の参照符号を付し、説明を省略する。また図7Aは図5Iと同じであり説明を省略する。
本実施形態では図7Aの工程の後、先の図5Jの工程と異なり、前記側壁絶縁膜33SW,33SWを除去することなく、その後の工程を実行する。
すなわち図7Bの工程において前記図5Mの工程に対応するイオン注入工程を、前記ゲート電極33Gおよびその側壁絶縁膜33SWをマスクに、また前記図5Nの工程に対応するイオン注入工程を、前記ゲート電極33Gおよびその側壁絶縁膜33SWをマスクに実行する。その結果、前記シリコンエピタキシャル層31ESおよび31ESにn型のソース領域31gおよびドレイン領域31hが形成され、また前記シリコンエピタキシャル層31ESおよび31ESにp型のソース領域31eおよびドレイン領域31fが形成される。なお図7Bの工程においては先の図5Gの選択エッチングの結果、前記側壁絶縁膜33SWおよび33SWが後退しており、その結果前記ソース領域31eおよびドレイン領域31fは前記基板領域31CH中、側壁絶縁膜33SWの外壁面に対応する位置まで延在する。同様に図7Bの工程において前記ソース領域31gおよびドレイン領域31hは前記基板領域31CH中、前記側壁絶縁膜33SWの外壁面に対応する位置まで延在する。
さらに図7Cの工程において前記図7Bの露出シリコン面にサリサイド法によりシリサイド層を形成することにより、先に図5Pで説明したように前記p型ソース領域31eにシリサイド層36aが、p型ドレイン領域31fにシリサイド層36bが、前記p型ソース領域31gにシリサイド層36cが、p型ドレイン領域31hにシリサイド層36dが、また前記ポリシリコンゲート電極33Gの上面にシリサイド層36eが、ポリシリコンゲート電極33Gの上面にシリサイド層36fが形成される。
図7Cの工程の後、前記図5Q〜図5Sの工程を実行することにより、前記シリコン基板11上に前記図5Sと同様な構造を有する半導体装置が形成される。ただし本実施形態では、先にも説明したように、前記ソース領域31eおよびドレイン領域31fは前記基板領域31CH中、側壁絶縁膜33SWの外壁面に対応する位置まで延在する。同様に図7Bの工程において前記ソース領域31gおよびドレイン領域31hは前記基板領域31CH中、前記側壁絶縁膜33SWの外壁面に対応する位置まで延在している。
本実施形態でも、先の実施形態と同様に、ソース領域31eあるいは31g、およびドレイン領域31fあるいは31hの下に局所的に形成された絶縁構造31Iを有するpチャネルあるいはnチャネルMOSトランジスタにおいて、エッチングなどの加工を施さないバルクシリコン基板31の平坦な表面にゲート絶縁膜32およびゲート電極33Gあるいは33Gを形成するため、ゲート電極直下のチャネル領域は平坦であり、またチャネル領域に欠陥が導入されることがない。すなわち好ましい実施形態によれば、当初の良好な結晶品質を有するバルクシリコン基板11をチャネル領域として使うことができ、MOSトランジスタの動作特性を向上させ、また特性のばらつきを低減させることができる。
またその際、本実施形態では側壁絶縁膜33SW,33SWを図5Gの選択エッチング工程の後も引き続き側壁絶縁膜として使うため、先の実施形態における図5J〜図5Lの工程、および溝部31TI〜31TIに残る絶縁膜34の除去プロセスが不要となり、半導体装置の製造工程が簡素化される。
なお上記の各実施形態において、例えば図1Kの工程に引き続き、図8Aに示すように前記シリコンエピタキシャル層11ES〜11ESをその下の埋込絶縁膜11Iに対して選択的に、ウェットエッチングあるいはドライエッチングにより除去し、図8Bの工程において、形成された溝部に素子領域11AにおいてはSiGe混晶層11SGVおよび11SGVを、また素子領域11BにおいてはSiC混晶層11SCVおよび11SCVを、前記基板部分11CH,11CHを構成するシリコン単結晶に対してエピタキシャルに成長させることにより埋め込む。これにより、前記素子領域31Aに形成されるpチャネルMOSトランジスタのチャネル領域に一軸性圧縮応力を、また前記素子領域31Bに形成されるnチャネルMOSトランジスタのチャネル領域に一軸性引張応力が誘起され、前記pチャネルMOSトランジスタおよびnチャネルMOSトランジスタの動作速度を向上する。
またその際、図8Cに示すように、前記pチャネルMOSトランジスタのソース領域11eおよびドレイン領域11f、前記nチャネルMOSトランジスタのソース領域11gおよび11hの形成、およびシリサイド層14S,14D,14S,14D,14G,14Gの形成の後、前記pチャネルMOSトランジスタ上に前記ゲート電極13Gおよび側壁絶縁膜13SWを覆ってSiNなどの圧縮応力膜17Aを形成し、前記nチャネルMOSトランジスタ上に前記ゲート電極13Gおよび側壁絶縁膜13SWを覆ってSiNなどの圧縮応力膜17Bを形成することにより、前記pチャネルMOSトランジスタの一軸性圧縮応力を、また前記nチャネルMOSトランジスタの一軸性引張応力を増大させることも可能である。
なお図8A〜図8C中、先に説明した部分に対応する部分には同一の参照符号を付し、さらなる説明は省略する。
[第4の実施形態]
図9A〜図9Dは、第4の実施形態による半導体装置の製造方法を示す図である。以下の例ではnチャネルMOSトランジスタの製造方法について説明するが、pチャネルMOSトランジスタも同様にして製造することができる。
図9Aを参照するに、シリコン基板51上にはSTI構造の素子分離領域51Iにより素子領域51Aが画定されているが、前記素子分離領域51Iを形成する素子分離絶縁膜は、HFなどによるウェットエッチング処理により後退させられている。その結果、前記素子分離領域51Iとの境には、シリコン基板51の角部が露出されるが、本実施形態では、このようなシリコン基板51に対して非酸化性雰囲気、例えば水素雰囲気中における、例えば1000℃の温度で10秒間の熱処理を行うことにより、前記角部を図9A中に破線で示すように、丸めている。
また前記図9Aの構造では、前記素子領域51A中のチャネル領域に対応して、前記シリコン基板51上にゲート絶縁膜52を介してポリシリコンゲート電極53Gが形成され、前記ポリシリコンゲート電極53Gの両側壁面上にシリコン酸化膜よりなるサイドウォールスペーサ53GWとシリコン窒化膜やシリコン酸窒化膜などよりなる側壁絶縁膜53SWが順次形成されている。
また図9Aの工程では、前記ゲート電極53Gの形成後、前記側壁絶縁膜53SWの形成前に、前記シリコン基板51中に、前記ゲート絶縁膜53Gおよびサイドウォールスペーサ53GWをマスクにAsあるいはPをイオン注入することにより、ソースエクステンション領域51aおよびドレインエクステンション領域51bが形成されている。
さらにこのように処理したシリコン基板51に対し、図9Aの工程では、前記ポリシリコンゲート電極53Gおよびサイドウォールスペーサ53GW、さらに側壁絶縁膜53SWをマスクにドライエッチングおよびTMAHをエッチャントとしてウェットエッチングを行い、前記素子領域51A中に前記ポリシリコンゲート電極53Gおよびサイドウォールスペーサ53GW、さらに側壁絶縁膜53SWにより覆われた基板部分51CHの両側に、ファセット51taおよび51tbにより側壁面が楔形に画成されたトレンチ51TAおよび51TBを形成する。
次に図9Bの工程において、前記図9Aの構造上にSiGe混晶層51SGおよび51SGを、先の実施形態におけるSiGe混晶層31SG,31SG,31SG,31SGの場合と同様な条件で、20nm〜80nm、好ましくは約50nmの膜厚にエピタキシャル成長させる。このようにしてエピタキシャル成長したSiGe混晶層51SGおよび51SGは、先の実施形態におけるSiGe混晶層31SG,31SG,31SG,31SGなどと同様に(100)面よりも面指数の大きい、例えば(111)面や(311)面などの結晶面よりなるファセットにより画定される側壁面51tc,51tdを形成して成長する。
さらに図9Bの工程では、前記SiGe混晶層51SGおよび51SGのエピタキシャル成長に引き続き、シリコンエピタキシャル層51ES,51ESを、先の実施形態におけるシリコンエピタキシャル層31ES,31ES,31ES,31ESの場合と同様な条件で、70nm〜20nm、好ましくは50nmの膜厚にエピタキシャル成長させる。
このようにしてエピタキシャル成長したシリコンエピタキシャル層51ESおよび51ESは、先の実施形態におけるシリコンエピタキシャル層31ES,31ES,31ES,31ESなどと同様に(100)面よりも面指数の大きい、例えば(111)面や(311)面などの結晶面よりなるファセットにより画定される側壁面51te、51tfを形成して成長する。
なお本実施形態においても、前記SiGe混晶層51SGおよび51SGの側壁面51tc,51td、あるいは前記シリコンエピタキシャル層51ESおよび51ESの側壁面51te,51tfは、単一の結晶面より形成される場合もあれば、複数の結晶面を含む場合もある。
なお図9Bの工程では、前記SiGe混晶層51SGおよび51SG、また前記シリコンエピタキシャル層51ESおよび51ESの成長に伴い、前記ポリシリコンゲート電極53G上には多結晶SiGeパタ―ン53pSGおよびポリシリコンパタ―ン53pSが形成されているのがわかる。
また本実施形態においても、先に図6Aおよび図6Bで説明したように、前記SiGe混晶層51SG,51SGの形成後、短時間等方性エッチングを行い、前記基板部分51CHの側壁面t1tb,51taに這い上がった形で成長したSiGe混晶層を除去するのが好ましい。
このようにして得られた図9Bの構造では、前記側壁面51tcと51te、また前記側壁面51tdと51tfとは、互いに平行、もしくは厳密に平行ではなくても平行に近い関係にあり、その結果、前記シリコンエピタキシャル層51ES,51ESが70nm〜20nm程度の膜厚を有していたとしても、前記SiGe混晶層51SG,51SGをそれぞれの側壁面51tcおよび51tdにおいて覆う部分においては、前記シリコンエピタキシャル層51ES,51ESの膜厚は、せいぜい5nm程度と薄いことに注意すべきである。
このため本実施形態では図9Cに工程において図9Bの構造に対し、前記シリコン基板51の主面に対し略垂直方向に作用する異方性エッチングを、例えばHClをエッチングガスとして、例えば1〜30Torr、好ましくは15Torrの全圧下で、600〜750℃の温度で、好ましくは700℃の温度で、水素ガス分圧を1500Pa〜2500Pa、好ましくは2000Paに設定し、塩化水素ガス分圧を40Pa〜60Pa、好ましくは49Paに設定しの条件下で実行することで、ファセット上の薄いSi層をエッチングし、その下のSiGe混晶層51SG,51SGを、その側壁面51tc,51td全面にわたり、簡単に露出させることができる。また図9Cの工程では、前記シリコン基板51への異方性エッチングの結果、前記ゲート電極53G上において前記多結晶SiGeパタ―ン53pSG上に形成されていたポリシリコンパタ―ン53pSが消失している。
そこで、図9Dの工程において、露出したSiGe混晶層51SGおよび51SGを、つづけて同じガス雰囲気のもとに曝し、前記シリコンエピタキシャル層51ES,51ESに対し、例えば1.4nm/分の速度で選択的にエッチングする。前記選択的エッチングの結果、図9Dに示すように、前記シリコンエピタキシャル層51ES1,51ES2の下には、ボイド51V,51Vがそれぞれ形成される。
選択エッチングを上記の条件を行った場合、前記SiGe混晶層51SG,51SGとシリコンエピタキシャル層51ES,51ESとの間に100:1程度の選択比が実現される。なお図9Dの工程では、前記SiGe混晶層51SG,51SGの選択的エッチングの結果、前記ゲート電極53G上の多結晶SiGeパタ―ン53pSGが消失している。
次に先の図5H〜図5Sと同様な工程を行うことにより、図9Eに示すように、前記ボイド51V,51Vを埋込絶縁膜51Iで充填し、前記シリコンエピタキシャル層51ES1にn型のソース拡散領域51cを、前記シリコンエピタキシャル層51ES2にn型のドレイン拡散領域51dを形成し、さらに前記ゲート電極53G上にシリサイド層54Gを形成した後、前記シリコン基板51上に前記ゲート電極53Gおよび側壁絶縁膜53SWを覆うように層間絶縁膜54を形成し、前記層間絶縁膜54中に、前記ソース拡散領域51cとドレイン拡散領域51dにそれぞれシリサイド層54Sおよび54Dを介してコンタクトをするビアプラグ54Aおよび54Bを形成することで、所期のnチャネルMOSトランジスタが得られる。
pチャネルMOSトランジスタの場合も同様であり、さらなる説明は省略する。
本実施形態によれば、前記図9Cの工程において前記SiGe混晶層51SG,51SGを露出する工程およびボイド51V,51Vの形成が、単純なエッチング工程により実行可能となり、半導体装置の製造工程を簡素化することができる。特に本実施形態によれば、前記図9BのSiGe混晶層51SG,51SGの形成から図9Dのボイド51V,51Vの形成までを、同一の基板処理装置中において、シリコン基板51を大気中に取り出すことなく、連続して実行することができる。
[第5の実施形態]
以上の実施形態では、最初のシリコン基板中にトレンチを形成し、前記トレンチにSiGe混晶層とシリコンエピタキシャル層とをエピタキシャル成長させた後、前記SiGe混晶層を選択エッチングすることにより、半導体装置のソース領域およびドレイン領域の下に絶縁領域を形成していた。
一方、シリコン層のエッチング速度はどのドーピング濃度によっても変化することが従来知られている。
図10は、Bでドープしたシリコン層をフッ酸(HF)と硝酸(HNO)と酢酸(CHCOOH)を1:3:8の割合で含むエッチャントによりウェットエッチングした場合のエッチング速度と、膜比抵抗の関係を示すグラフである(非特許文献2)。膜比抵抗は、膜中の不純物元素の濃度が増大すると減少する。
図10を参照するに、膜の比抵抗が1×10-2Ωcmを切ったあたりで急減を初めており、この比抵抗の値は、1×1018cm-2の膜中B濃度に対応することから、前記SiGe混晶層15SG,15SGの代わりに、Bを1×1018cm-2以上の濃度で含むシリコンエピタキシャル層を使っても、図9Eの構造を得ることができるのがわかる。
なお図10において1×10−3Ωcmの比抵抗が、1×1020cm-2のB濃度に相当する。
このようなBを高濃度で含むシリコンエピタキシャル層は、例えば図9Dの工程において、133〜2660Pa(1〜20Torr)、好ましくは1330Pa(10Torr)の全圧下、500〜650℃、好ましくは550℃の基板温度で水素ガス分圧を1600Pa〜1500Pa、好ましくは1300Paに設定し、モノシランガス分圧を4Pa〜10Pa、好ましくは6Paに設定し、ドーパントガスとしてジボランガスを、1×10-3Pa〜2×10-3Pa、好ましくは1.3×10-3Paの分圧に設定することで形成することができる。
本実施形態によればシリコン基板51、あるいはその上のシリコンエピタキシャル層51ES,51ESに対して格子整合組成が限られるSiGe混晶層51GS,51GSの代わりに、格子整合が補償されるシリコンエピタキシャル層を形成し、かつ、かかるシリコンエピタキシャル層を選択的にエッチング除去することが可能であるため、半導体装置の製造が容易になる。
同様に、先の第1〜第4の実施形態においても、それぞれのSiGe混晶層を、このようなBを高濃度で含むシリコンエピタキシャル層に置き換えることが可能である。
図11A〜図11Cは、第5の実施形態による半導体装置の製造方法を示す図である。図中、先に説明した部分に対応する部分には同一の参照符号を付し、説明を省略する。
以下の例ではnチャネルMOSトランジスタの製造方法について説明するが、pチャネルMOSトランジスタも同様にして製造することができる。
図11Aを参照するに、図11Aの工程は、先の実施形態における図9Aの工程に引き続き実行される工程であり、前記図9Aの構造上に、Bにより、1×1018cm-3以上の濃度でドープされたp型シリコンエピタキシャル層61DSおよび61DSを、上に説明した条件下で、20nm〜80nm、好ましくは約50nmの膜厚にエピタキシャル成長させる。このようにしてエピタキシャル成長したp型シリコンエピタキシャル層61DSおよび61DSは、先の実施形態におけるSiGe混晶層31SG,31SG,31SG,31SGなどと同様に(100)面よりも面指数の大きい、例えば(111)面や(311)面などの結晶面よりなるファセットにより画定される側壁面61tc、61tdを形成して成長する。
さらに図11Bの工程では、前記p型シリコンエピタキシャル層61DSおよび61DSのエピタキシャル成長に引き続き、シリコンエピタキシャル層51ES,51ESを、先の実施形態におけるシリコンエピタキシャル層31ES,31ES,31ES,31ESの場合と同様な条件で、70nm〜20nm、好ましくは50nmの膜厚にエピタキシャル成長させる。
このようにしてエピタキシャル成長したシリコンエピタキシャル層51ESおよび51ESは、先の実施形態におけるシリコンエピタキシャル層31ES,31ES,31ES,31ESなどと同様に(100)面よりも面指数の大きい、例えば(111)面や(311)面などの結晶面よりなるファセットにより画定される側壁面51te、51tfを形成して成長する。
なお本実施形態においても、前記p型シリコンエピタキシャル61DSおよび61DSの側壁面61tc,61td、あるいは前記シリコンエピタキシャル層51ESおよび51ESの側壁面51te,51tfは、単一の結晶面より形成される場合もあれば、複数の結晶面を含む場合もある。
図11Aの工程では、前記p型シリコンエピタキシャル層61DSおよび61DS、また前記シリコンエピタキシャル層51ESおよび51ESの成長に伴い、前記ポリシリコンゲート電極53G上には、Bによりドープされたp型ポリシリコンパタ―ン63pDSおよびポリシリコンパタ―ン53pSが形成されているのがわかる。
また本実施形態においても、先に図6Aおよび図6Bで説明したように、前記p型エピタキシャルシリコン層61DS,61DSの形成後、短時間等方性エッチングを行い、前記基板部分51CHの側壁面t1tb,51taに這い上がった形で成長したp型シリコンエピタキシャル層を除去するのが好ましい。
このようにして得られた図11Aの構造では、前記側壁面61tcと61te、また前記側壁面51tdと51tfとは、互いに平行、もしくは厳密に平行ではなくても平行に近い関係にあり、その結果、前記シリコンエピタキシャル層51ES,51ESが80nm〜20nm程度の膜厚を有していたとしても、前記p型シリコンエピタキシャル層61DS,61DSをそれぞれの側壁面61tcおよび61tdにおいて覆う部分においては、前記シリコンエピタキシャル層51ES,51ESの膜厚は、せいぜい5nm程度と薄い。
そこで、図11Cの工程において、露出したp型シリコンエピタキシャル層61DSおよび61DSを、フッ酸(HF)と硝酸(HNO)と酢酸(CHCOOH)を1:3:8の割合で含むエッチャントによりウェットエッチングする。これにより、ファセット上の薄くなっているSi層はエッチングされ、BドープされたSiが露出されると、BドープされたSiのエッチレイトがノンドープSiよりも速いため、選択的なエッチングが生じる。
前記選択的エッチングの結果、図11Cに示すように、前記シリコンエピタキシャル層51ES,51ESの下には、前記p型シリコンエピタキシャル層61DS,61DSに対応してボイド51V,51Vがそれぞれ形成される。なお図11Cの工程では、前記p型シリコンエピタキシャル層61ES,61ESの選択的エッチングの結果、前記ゲート電極53G上のp型ポリシリコンパタ―ン63pDSが消失している。
次に先の図5H〜図5Sと同様な工程を行うことにより、図11Dに示すように、前記ボイド51V,51Vを埋込絶縁膜51Iで充填し、前記シリコンエピタキシャル層51ES1にn型のソース拡散領域51cを、前記シリコンエピタキシャル層51ES2にn型のドレイン拡散領域51dを形成し、さらに前記シリコン基板51上に前記ゲート電極53Gおよび側壁絶縁膜53SWを覆うように層間絶縁膜54を形成し、前記層間絶縁膜54中に、前記ソース拡散領域51cとドレイン拡散領域51dにそれぞれコンタクトをするビアプラグ54Aおよび54Bを形成することで、所期のnチャネルMOSトランジスタが得られる。
pチャネルMOSトランジスタの場合も同様であり、さらなる説明は省略する。
本実施形態においても、前記図11Bの工程において前記p型シリコンエピタキシャル層61DS,61DSを露出する工程が、単純なエッチング工程により実行可能となり、半導体装置の製造工程を簡素化することができる。
[第6の実施形態]
図12は第6の実施形態によるダイナミックスレッショルドMOS(Dt−MOS)トランジスタ70の例を示す。
図12を参照するに、シリコン基板71中にはSTI型の素子分離領域71Iにより素子領域71Aが画定されており、前記素子領域71Aにはn型ウェル71NW中に、2つのnチャネルMOSトランジスタ70Aおよび70Bが、トランジスタ70Aのドレイン拡散領域とトランジスタ70Bのソース拡散領域を共用して形成されている。
より詳細には、前記素子領域71Aにおいては前記シリコン基板71上に前記トランジスタ70Aのn型ポリシリコンゲート電極73GAと前記トランジスタ70Bのポリシリコンゲート電極73GBとが、それぞれのゲート絶縁膜72Aおよび72Bを介して形成されており、前記シリコン基板71中には前記ゲート電極73GAの第1の側、および反対の第2の側に、それぞれn型のソースエクステンション領域71aおよびドレインエクステンション領域71bが形成されている。同様に前記シリコン基板71中には前記ゲート電極73GBの第1の側、および反対の第2の側に、それぞれn型のソースエクステンション領域71cおよびドレインエクステンション領域71dが形成されている。
また前記シリコン基板71中には、前記ゲート電極73A直下のトランジスタ70Aのチャネル領域から見て前記ソースエクステンション領域71aの外側にn+型のソース領域71eが形成されており、また前記トランジスタ70Aのチャネル領域から見て前記ドレインエクステンション領域71bの外側にn+型のドレイン領域71fが形成されている。
同様に前記シリコン基板71中には、前記ゲート電極73B直下のトランジスタ70Bのチャネル領域から見て前記ソースエクステンション領域71cの外側にn+型のソース領域71gが、前記トランジスタ70Aのドレイン領域71fと共通に形成されており、前記トランジスタ70Bのチャネル領域から見て前記ドレインエクステンション領域71dの外側にはn+型のドレイン領域71hが形成されている。
また前記トランジスタ70Aのチャネル領域にはp型のボディ領域71BAが形成され、前記トランジスタ70AがDt−MOSトランジスタであることから、前記ボディ領域71BAは前記ゲート電極73Aに、図12紙面の手前側あるいは向こう側に形成される図示しないコンタクトホールにおいて電気的に接続される。
同様に前記トランジスタ70Bのチャネル領域にはp型のボディ領域71BBが形成され、前記トランジスタ70BがDt−MOSトランジスタである場合、前記ボディ領域71BBは前記ゲート電極73Bに、図12紙面の手前側あるいは向こう側に形成される図示しないコンタクトホールにおいて電気的に接続される。
また図12のトランジスタ70Aにおいては、前記ソースエクステンション領域71aおよびソース領域71eの直下に、前記素子分離領域71Iまで連続して延在するシリコン酸化膜などよりなる絶縁膜71Iaが形成され、前記ソースエクステンション領域71aおよびソース領域71eの寄生容量を低減している。同様に前記トランジスタ70Aのドレインエクステンション領域71bおよびドレイン領域71fの直下には、前記トランジスタ70Bのソースエクステンション領域71cおよびソース領域71fの直下まで連続して延在するシリコン酸化膜などよりなる絶縁膜71Ibが形成され、前記ドレインエクステンション領域71bおよびドレイン領域71fの寄生容量、および前記ソースエクステンション領域71cおよびソース領域71gの寄生容量を低減している。
さらに前記トランジスタ70Bにおいては、前記ドレインエクステンション領域71dおよびドレイン領域71hの直下に、前記素子分離領域71Iまで連続して延在するシリコン酸化膜などよりなる絶縁膜71Icが形成され、前記ドレインエクステンション領域71dおよびドレイン領域71hの寄生容量を低減している。
その際、図12の構成では、前記ソース領域71e,ドレイン領域71f(ソース領域71g)およびドレイン領域71hが基板71中に形成された深いトレンチを充填するように形成されており、このためこれらの領域は十分な膜厚を有し、トランジスタ70A,70Bのソース抵抗,ドレイン抵抗が低減される。
また図12の構成では、前記素子領域71Aにおいて前記n型ウェル71NWを深く形成することにより、前記n型ウェル71NWによりショートチャネル効果を抑制しつつ、前記トランジスタ70Aのp型ボディ領域71BAおよび前記トランジスタ70Bのp型ボディ領域71BBを十分な膜厚で形成することができる。その結果、それぞれのトランジスタ70A,70Bにおいてボディ抵抗を低減させることができ、高速なDt−MOS動作を実現することができる。
また図12の構成では、先に述べたように前記ソース領域71eおよびドレイン領域71f、またソース領域71gおよびドレイン領域71hが深いトレンチを充填して形成されるため、これらの直下に形成される絶縁膜71Ia,71Ib,71Icは前記シリコン基板71中のさらに深い位置に形成され、その結果、前記ボディ領域71BAと71BBとが電気的に分離され、トランジスタ70A,70Bは独立に動作することが可能となる。
以下、図13A〜図13Iを参照しながら、図12のDt−MOSトランジスタの製造方法を説明する。ただし、以下に説明する方法により製造されるDt−MOSトランジスタの構造は、図12のものとはやや異なっている。以下の説明では、トランジスタ70Aの製造についてのみ説明するが、トランジスタ70Bも同様にして製造することができる。
図13Aを参照するに、p型シリコン基板71上に、前記素子領域71Aにおいて前記ポリシリコンゲート電極73GAを、ゲート絶縁膜72Aを介して形成し、図13Bに示すように、前記ポリシリコンゲート電極73GAをマスクに前記シリコン基板71をドライエッチングし、トレンチ71TA,71TBを前記ゲート電極73GAの第1の側、および反対の第2の側に形成する。ただし図13A,図13Bにおいて前記ポリシリコンシリコンゲート電極73GA上にはシリコン酸化膜やSiN膜などよりなるマスクパターン73Gaが形成されており、またその両側壁面には、例えば先の実施形態で説明したCVD法およびエッチバック法などにより、サイドウォールスペーサ73GWが形成されている。
前記シリコン基板71中にはp型ウェル71PWが上部に、またn型ウェル71NWが前記p型ウェル71PWの下部に形成されており、前記トレンチ71TA,71TBは、前記n型ウェル71NWに届かないような深さに形成される。
図13Bの工程では、前記トレンチ71TA,71TBの形成の結果、前記ゲート電極71GAの直下には、当初のシリコン基板71Aの平坦な表面を含むp型のメサ領域71Mが形成される。
次に図13Cに示すように前記トレンチ71TA,71TBをSiGe混晶層71SGおよびシリコンエピタキシャル層71ESにより順次充填し、次に図13Dの工程において前記ポリシリコンゲート電極73GA上に、前記サイドウォールスペーサ73GAを介して、SiNやSiONなどのHFによるウェットエッチングに対して耐性を有する絶縁膜により側壁絶縁膜73SWを形成する。
さらに図13Dの工程では、前記ポリシリコンゲート電極73GAおよびその上のマスクパタ―ン73Ga、さらに前記側壁絶縁膜73SWをマスクに、前記シリコン基板71を再びドライエッチングし、前記シリコン基板71中、前記メサ領域71Mから見て前記側壁絶縁膜73SWの外側にトレンチ71TCおよび71TDを、前記n型ウェル71NWの上端よりも深い位置まで形成する。図13Dの工程の結果、前記n型ウェル71NW上に、前記メサ構造71Mを含んで、p型のボディ領域71BAが形成される。
さらに図13Eの工程において、前記トレンチ71TC,71TDを充填して、SiGe混晶層71SGおよびシリコンエピタキシャル層71ESのエピタキシャル成長を順次行い、図12の構造において前記絶縁膜71Ia,71IbがSiGe混晶層71SGにより置き換えられた構造を得る。
さらに図13Fの工程において、前記STI型素子分離領域71Iにおいて素子分離絶縁膜を構成するシリコン酸化膜をHFを使ったウェットエッチングにより後退させ、素子分離溝の側壁面において前記SiGe混晶層71SGを露出する。
さらに図13Gの工程において、前記SiGe混晶層71SGを、先の実施形態における例えば図1Eの工程、あるいは図5Gの工程、さらには図9Dの工程、図11Cの工程と同様にして選択的にエッチングし、前記SiGe混晶層71SGに対応してボイド71Vを形成する。
さらに図13Hの工程において、図13Gの構造上に絶縁膜74をALD法とCVD法により、あるいはSOD法により、前記ボイド71Vを充填、あるいは部分的に充填するように形成し、図13Iの工程において前記絶縁膜71を前記シリコンエピタキシャル層71ESが露出するまでエッチバックする。
さらに図13Iの工程では、前記側壁絶縁膜73SWを除去し、先に図1J〜図1Pで説明したプロセスを実行し、前記ゲート電極73GAを図示しないコンタクトホールにおいて前記ボディ71BAに電気的に接続することにより、図12のnチャネルDt−MOSトランジスタ70Aを製造することができる。図12中のnチャネルDt−MOSトランジスタ70Bについても同様である。また説明は省略するが、以上の説明において導電型を反転させることにより、pチャネルDt−MOSトランジスタも同様に製造することができる。
なお本実施形態において図13Eの工程の後、図14Aに示すように前記側壁絶縁膜73SWを除去し、n型ソースエクステンション領域71aおよびドレインエクステンション領域71bを形成した後、改めて前記側壁絶縁膜73SWに対応して74SWを形成した後、n+型ソース領域71cおよび71dを形成する。
その後、図14Cに示すように前記素子分離絶縁膜71Iを後退させ、SiGe混晶層71SGを露出させ、図14Dに示すように前記SiGe混晶層71SGを選択的にエッチング除去し、前記SiGe混晶層71SGに対応してボイド71Vを形成する。
さらに図14Eに示すように前記ボイド71Vを充填するように、層間絶縁膜74を形成する。
本実施形態では、図14Bの段階で既にソースエクステンション領域71aおよびドレインエクステンション領域71b、ソース領域71cおよびドレイン領域71dが形成されているため、図14Eの段階で改めてソースエクステンション領域71aおよびドレインエクステンション領域71b、ソース領域71cおよびドレイン領域71dを形成する必要がなく、このため前記ボイド71Vを充填する絶縁膜を兼ねて、層間絶縁膜74を形成することが可能で、半導体装置の製造工程を簡素化することができる。
なお、先の図13Hや図14Eの工程において絶縁膜74を形成する際に、スパッタや高密度プラズマCVDなど、異方性の強い堆積方法を使うことにより、図15に示すように前記ボイド71Vを前記絶縁膜74が充填しないようにすることも可能である。
[第7の実施形態]
図16A〜図16Cは、前記図12のDt−MOSトランジスタ70Aを製造する第7の実施形態による製造方法を示す断面図である。ただし図中、先に説明した部分に対応する部分には同一の参照符号を付し、説明を省略する。
本実施形態では、前記図13Bの工程に対応する工程において前記トレンチ71TA,71TBを、前記n型ウェル71NWを超える深さまで形成し、図13Cに対応する図16Aの工程において、前記トレンチ71TA,71TBを、前記n型ウェル71NWを超える高さまでSiGe混晶層71SGにより充填し、さらに図16Bの工程において、前記ポリシリコンゲート電極73GAの両側壁面上に、前記サイドウォールスペーサ73GWを介して側壁絶縁膜73SWを形成する。さらに図16Bの工程では、前記ポリシリコンゲート電極73GAおよびその上のマスクパターン73Ga、さらにサイドウォールスペーサ73GWおよび側壁絶縁膜73SWをマスクに前記シリコン基板71を、前記n型ウェル71NWの上端を超える深さまでドライエッチングし、前記メサ領域71Mの両側に、底部および側壁面に前記SiGe混晶層71Sを残して、トレンチ71A,71Bを形成する。
さらに図16Cの工程で前記トレンチ71TAをシリコンエピタキシャル層71ESにより充填し、その後、前記図5Gの工程と同様にして前記SiGe混晶層71SGを選択的に除去し、その結果形成されたボイドを絶縁膜71Ia,71Ibにより充填し、さらにゲート電極73GAを前記ボディ71BAに電気的に接続することにより、前記図12に示したのと類似した、図16Dに示す構造のnチャネルDt−MOSトランジスタが得られる。
また以上の説明では、シリコン基板の(100)面上への半導体装置の製造を例に説明を行ったが、各実施形態において半導体装置の製造はシリコン基板の(100)面上に限定されるものではなく、他の平坦な結晶面、例えば(111)面や(110)面上への製造においても有効である。
以上、本発明を好ましい実施例について説明したが、本発明はかかる特定の実施例に限定されるものではなく、特許請求の範囲に記載した要旨内において様々な変形・変更が可能である。
1,11,31,51,71 シリコン基板
2,11SG〜11SG,31SG〜31SG,31SGV,31SGV,51SG,51SG SiGe混晶層
3,11ES〜11ES,31ES〜31ES、51ES,51ES シリコンエピタキシャル層
11A,11B,31A,31B,51A,71A素子領域
11CH,11CH,31CH,31CH,51CH 基板部分
11I〜11I,31I〜31I,51I,71I STI型素子分離領域
11I,31I,51I,71Ia〜71Ic 埋込絶縁膜
11NW,31NW,71NW n型ウェル
11PW,31PW,71PW p型ウェル
11TA〜11TA,31TA〜31TA,51TA,51TB,71TA,71TB トレンチ
11TI〜11TI 素子分離溝
11V〜11V,31V〜31V,51V,51V,61V,61V,71V ボイド
11a〜11h,31a〜31h,51a〜51d,71a〜71h 拡散領域
12,32,52,72A,72B ゲート絶縁膜
13G,13G,33G,33G,53G,73A,73B ゲート電極
13GW,13GW,33GW,33GW,33GW,33GW,53GW,73GW サイドウォールスペーサ
13SW,13SW,33SW,33SW,73GA,74SW 側壁絶縁膜
14S,14D,14S,14D,14G,14G シリサイド層
15,37,54,74 層間絶縁膜
15A〜15D,37A〜37D ビアホール
16A〜16D,38A〜38D,54A,54B ビアプラグ
17A 圧縮応力膜
17B 引張応力膜
31SCV,31SCV, SiC混晶層
34 絶縁膜
51tb,51tc,51td,51te ファセット
53pS,63pS ポリシリコンパタ―ン
53pSG 多結晶SiGeパタ―ン
61DS,61DS Bドープシリコンエピタキシャル層
70A,70B Dt−MOSトランジスタ
71BA p型ボディ領域
73Ga マスクパターン

Claims (10)

  1. シリコン基板を、チャネル領域が形成されるシリコン基板部分を残してエッチングすることにより、前記シリコン基板部分の第1および第2の側に第1および第2のトレンチをそれぞれ形成する工程と、
    前記第1および第2のトレンチ内に、シリコンに対しエッチング選択性を有する半導体層とシリコン層とを順次エピタキシャルに成長することにより、それぞれ形成する工程と、
    前記半導体層を、前記シリコン層および前記シリコン基板に対し選択的エッチングにより除去し、前記シリコン基板部分の前記第1および第2の側において、前記シリコン層の下にボイドを形成する工程と、
    前記ボイドの少なくとも一部、埋込絶縁膜を形成する工程と、
    前記シリコン基板部分上にゲート絶縁膜およびゲート電極を形成する工程と、
    前記シリコン基板部分の前記第1の側において前記シリコン層中にソース領域を、前記シリコン基板部分の前記第2の側において前記シリコン層中にドレイン領域を形成する工程と、を含むことを特徴とする半導体装置の製造方法。
  2. シリコン基板上に、前記シリコン基板上の素子領域に含まれチャネル領域が形成されるシリコン基板部分をマスクパターンにより覆う工程と、
    前記シリコン基板を、前記マスクパターンをマスクとしてエッチングし、前記シリコン基板部分の第1の側および前記第1の側とは反対の第2の側に、それぞれ第1および第2のトレンチを形成する工程と、
    前記シリコン基板上に、前記マスクパターンをマスクとして使い、シリコンに対しエッチング選択性を有する半導体層とシリコン層を順次エピタキシャルに成長することにより、前記第1および第2のトレンチの各々の中に、前記シリコンに対しエッチング選択性を有する半導体層と前記シリコン層とを順次積層した積層構造を形成する工程と、
    前記マスクパターンを除去した後、前記シリコン層中に、前記素子領域を画定するように、STI構造の素子分離領域を構成する素子分離溝を、前記素子分離溝が前記シリコンに対しエッチング選択性を有する半導体層を露出するように形成する工程と、
    前記シリコンに対しエッチング選択性を有する半導体層を、前記素子分離溝を介して選択的に除去して、前記シリコン基板と前記シリコン層との間にボイドを形成する工程と、
    前記ボイド内の少なくとも一部に、前記素子分離溝を介して、埋込絶縁膜を形成する工程と、
    前記シリコン基板部分上にゲート絶縁膜を介してゲート電極を形成する工程と、
    前記シリコン基板部分中に前記ゲート電極をマスクに、第1の導電型の不純物元素のイオン注入を行い、前記ゲート電極の第1の側に前記第1の導電型のソースエクステンション領域を、前記ゲート電極の、前記第1の側とは反対の第2の側に、前記第1の導電型のドレインエクステンション領域を形成する工程と、
    前記ゲート電極の前記第1の側の側壁面と前記第2の側の側壁面にそれぞれの側壁絶縁膜を形成し、前記側壁絶縁膜をマスクに前記第1の導電型の不純物元素のイオン注入を行い、前記第1の側にエピタキシャルに形成された前記シリコン層に前記第1の導電型のソース領域を、また前記第2の側にエピタキシャルに形成された前記シリコン層に前記第1の導電型のドレイン領域を、それぞれ形成する工程と、を含むことを特徴とする半導体装置の製造方法。
  3. 前記素子分離溝の形成は、前記素子分離溝が、前記シリコンに対しエッチング選択性を有する半導体層の下のシリコン基板に到達するように実行されることを特徴とする請求項2記載の半導体装置の製造方法。
  4. STI型の素子分離領域により素子領域を画成されたシリコン基板上に、前記素子領域に含まれるシリコン基板部分においてゲート絶縁膜を介してゲート電極を形成する工程と、
    前記シリコン基板部分中、前記ゲート電極の第1の側および前記第1の側と反対の第2の側に、第1の導電型の不純物元素を導入することにより、それぞれソースエクステンション領域およびドレインエクステンション領域を形成する工程と、
    前記ゲート電極の前記第1の側および前記第2の側の側壁面に、それぞれ側壁絶縁膜を形成する工程と、
    前記側壁絶縁膜をマスクに前記シリコン基板をエッチングし、前記ゲート電極の前記第1の側および前記第2の側に、前記ゲート電極から見てそれぞれの側壁絶縁膜の外側において、第1および第2のトレンチをそれぞれ形成する工程と、
    前記第1および第2のトレンチの各々の中に、シリコンに対しエッチング選択性を有する半導体層とシリコン層を順次エピタキシャルに成長することにより、前記シリコンに対しエッチング選択性を有する半導体層と前記シリコン層を順次積層した積層構造を形成する工程と、
    前記積層構造を形成する工程の後、前記STI型の素子分離領域を構成する素子分離絶縁膜を後退させ、前記シリコンに対しエッチング選択性を有する半導体層を、前記STI型の素子分離領域を構成する素子分離溝において露出する工程と、
    前記シリコンに対しエッチング選択性を有する半導体層を、前記素子分離溝を介して選択的に除去して、前記シリコン基板と前記シリコン層との間にボイドを形成する工程と、
    前記ボイド内の少なくとも一部に、前記素子分離溝を介して、埋込絶縁膜を形成する工程と、
    前記ゲート電極の前記第1の側および第2の側において、前記積層構造を構成する前記シリコン層中に前記第1の導電型の不純物元素を導入することにより、それぞれソース領域およびドレイン領域を形成する工程と、を含むことを特徴とする半導体装置の製造方法。
  5. STI型の素子分離領域により素子領域を画成されたシリコン基板上に、前記素子領域
    に含まれるシリコン基板部分においてゲート絶縁膜を介してゲート電極を形成する工程と、
    前記シリコン基板部分中、前記ゲート電極の第1の側および前記第1の側と反対の第2の側に、第1の導電型の不純物元素を導入することにより、それぞれソースエクステンション領域およびドレインエクステンション領域を形成する工程と、
    前記ゲート電極の前記第1の側および前記第2の側の側壁面に、それぞれ側壁絶縁膜を形成する工程と、
    前記側壁絶縁膜をマスクに前記シリコン基板をエッチングし、前記ゲート電極の前記第1の側および前記第2の側に、前記ゲート電極から見てそれぞれの側壁絶縁膜の外側において、第1および第2のトレンチをそれぞれ形成する工程と、
    前記STI型の素子分離領域を構成する素子分離絶縁膜の膜厚を減らす工程と、
    前記素子分離絶縁膜の膜厚を減らす工程の後、前記第1および第2のトレンチの各々の中に、シリコンに対しエッチング選択性を有する半導体層とシリコン層を順次エピタキシャルに成長することにより、前記シリコンに対しエッチング選択性を有する半導体層と前記シリコン層を順次積層した積層構造により、前記シリコンに対しエッチング選択性を有する半導体層が、前記素子分離領域を構成する素子分離溝において露出するように形成する工程と、
    前記シリコンに対しエッチング選択性を有する半導体層を、前記素子分離溝を介して選択的に除去して、前記シリコン基板と前記シリコン層との間にボイドを形成する工程と、
    前記ボイド内の少なくとも一部に、前記素子分離溝を介して、埋込絶縁膜を形成する工程と、
    前記ゲート電極の前記第1の側および第2の側において、前記積層構造を構成する前記シリコン層中に前記第1の導電型の不純物元素を導入することにより、それぞれソース領域およびドレイン領域を形成する工程と、を含むことを特徴とする半導体装置の製造方法。
  6. STI型の素子分離領域により素子領域を画成されたシリコン基板上に、前記素子領域に含まれるシリコン基板部分においてゲート絶縁膜を介してゲート電極を形成する工程と、
    前記シリコン基板部分中、前記ゲート電極の第1の側および前記第1の側と反対の第2の側に、第1の導電型の不純物元素を導入することにより、それぞれソースエクステンション領域およびドレインエクステンション領域を形成する工程と、
    前記ゲート電極の前記第1の側および前記第2の側の側壁面に、それぞれ側壁絶縁膜を形成する工程と、
    前記側壁絶縁膜をマスクに前記シリコン基板をエッチングし、前記ゲート電極の前記第1の側および前記第2の側に、前記ゲート電極から見てそれぞれの側壁絶縁膜の外側において、第1および第2のトレンチをそれぞれ形成する工程と、
    前記第1および第2のトレンチの各々の中に、シリコンに対しエッチング選択性を有する半導体層とシリコン層を順次エピタキシャルに成長することにより、前記シリコンに対しエッチング選択性を有する半導体層と前記シリコン層を順次積層した積層構造を形成する工程と、
    前記シリコンに対しエッチング選択性を有する半導体層を露出する工程と、
    前記シリコンに対しエッチング選択性を有する半導体層を選択的に除去して、前記シリコン基板と前記シリコン層との間にボイドを形成する工程と、
    前記ボイド内の少なくとも一部に、前記素子分離溝を介して、埋込絶縁膜を形成する工程と、
    前記ゲート電極の前記第1の側および第2の側において、前記シリコン層中に前記第1の導電型の不純物元素を導入することにより、それぞれソース領域およびドレイン領域を形成する工程と、を含み、
    前記シリコンに対しエッチング選択性を有する半導体層とシリコン層を順次エピタキシ
    ャルに成長する工程では、前記シリコンに対しエッチング選択性を有する半導体層とシリコン層とが、それぞれ結晶面よりなるファセットにより画成される斜面を形成し、
    前記シリコンに対しエッチング選択性を有する半導体層を露出する工程は、前記シリコン層に対し、前記シリコン基板の主面に垂直方向に作用する異方性エッチングを行い、前記シリコン層のうち、前記ファセットを形成している部分を除去することにより実行されることを特徴とする半導体装置の製造方法。
  7. 前記シリコンに対しエッチング選択性を有する半導体層はSiGe混晶層であり、前記SiGe混晶層の選択的な除去は、フッ酸と過酸化水素と酢酸を含むエッチャントを使ったウェットエッチング、または塩素を含むエッチングガスを使ったドライエッチングにより実行されることを特徴とする請求項1〜6のうち、いずれか一項記載の半導体装置の製造方法。
  8. 前記シリコンに対しエッチング選択性を有する半導体層は、ボロンを1×1018cm-3以上の濃度で含むシリコン層であり、前記ボロンを1×1018cm-3以上の濃度で含むシリコン層の選択的な除去は、フッ酸と硝酸と酢酸を含むエッチャントを使ったウェットエッチングにより実行されることを特徴とする請求項1〜6のうち、いずれか一項記載の半導体装置の製造方法。
  9. 記埋込絶縁膜を形成する工程の後、前記シリコン層を、前記埋込絶縁膜に対して選択的に除去する工程と、前記埋込絶縁膜上に、シリコンとは異なる格子定数を有する半導体層を、前記シリコン基板部分に対してエピタキシャルに成長させ、歪み領域を形成する工程を含む特徴とする請求項4〜6のうち、いずれか一項記載の半導体装置の製造方法。
  10. STI型の素子分離領域により素子領域を画成され、前記素子領域の下に第1の導電型の第1ウェルを形成され、前記第1の導電型の前記第1のウェルの上に、前記素子領域に対応して前記第1の導電型とは逆の第2の導電型の第2のウェルを形成されたシリコン基板上への、ダイナミックスレッショルドMOSトランジスタの製造方法であって、
    前記素子領域に含まれるシリコン基板部分においてゲート絶縁膜を介してゲート電極を形成する工程と、
    前記ゲート電極をマスクに前記シリコン基板をエッチングし、前記ゲート電極の第1の側および第2の側に第1および第2のトレンチを、前記第1および第2のトレンチが前記第2のウェルに到達するようにそれぞれ形成する工程と、
    前記第1および第2のトレンチの各々を、シリコンに対しエッチング選択性を有する半導体層とシリコン層を順次エピタキシャルに成長することにより、前記シリコンに対しエッチング選択性を有する半導体層と前記シリコン層を順次積層した積層構造により形成する工程と、
    前記積層構造により形成する工程の後、前記STI型の素子分離領域を構成する素子分離絶縁膜の膜厚を減らし、前記シリコンに対しエッチング選択性を有する半導体層を、前記STI型の素子分離領域を構成する素子分離溝において露出する工程と、
    前記シリコンに対しエッチング選択性を有する半導体層を、前記素子分離溝を介して選択的に除去して、前記シリコン基板と前記シリコン層との間にボイドを形成する工程と、
    前記ボイド内の少なくとも一部に、前記素子分離溝を介して、埋込絶縁膜を形成する工程と、
    前記ゲート電極の前記第1の側および第2の側において、前記積層構造を構成する前記シリコン層中に前記第1の導電型の不純物元素を導入することにより、それぞれソース領域およびドレイン領域を形成する工程と、
    前記ゲート電極を前記第2のウェルに電気的に接続する工程と、を含み、
    前記第1および第2のトレンチを前記積層構造により充填する工程は、前記シリコンに対しエッチング選択性を有する半導体層を、前記第2のウェルと前記第1のウェルとの接合面を超えて成長させることを特徴とするダイナミックスレッショルドMOSトランジスタの製造方法。
JP2011543065A 2009-11-30 2009-11-30 半導体装置の製造方法、ダイナミックスレッショルドトランジスタの製造方法 Expired - Fee Related JP5422669B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2009/070132 WO2011064891A1 (ja) 2009-11-30 2009-11-30 半導体装置の製造方法、ダイナミックスレッショルドトランジスタの製造方法

Publications (2)

Publication Number Publication Date
JPWO2011064891A1 JPWO2011064891A1 (ja) 2013-04-11
JP5422669B2 true JP5422669B2 (ja) 2014-02-19

Family

ID=44066010

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011543065A Expired - Fee Related JP5422669B2 (ja) 2009-11-30 2009-11-30 半導体装置の製造方法、ダイナミックスレッショルドトランジスタの製造方法

Country Status (3)

Country Link
US (2) US8709898B2 (ja)
JP (1) JP5422669B2 (ja)
WO (1) WO2011064891A1 (ja)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5720244B2 (ja) * 2010-12-28 2015-05-20 富士通セミコンダクター株式会社 半導体基板の製造方法及び半導体装置の製造方法
CN102820320B (zh) * 2011-06-09 2015-03-04 中芯国际集成电路制造(北京)有限公司 半绝缘体上硅半导体器件及其制造方法
JP5742631B2 (ja) * 2011-09-28 2015-07-01 富士通セミコンダクター株式会社 半導体装置の製造方法
US8884370B2 (en) * 2012-04-27 2014-11-11 International Business Machines Corporation Narrow body field-effect transistor structures with free-standing extension regions
US8916443B2 (en) * 2012-06-27 2014-12-23 International Business Machines Corporation Semiconductor device with epitaxial source/drain facetting provided at the gate edge
JP6003389B2 (ja) * 2012-08-17 2016-10-05 株式会社ソシオネクスト 半導体装置及び半導体装置の製造方法
KR20140039544A (ko) * 2012-09-24 2014-04-02 삼성전자주식회사 반도체 소자 및 그 제조 방법
CN103779223B (zh) * 2012-10-23 2016-07-06 中国科学院微电子研究所 Mosfet的制造方法
CN104576377A (zh) * 2013-10-13 2015-04-29 中国科学院微电子研究所 一种mosfet结构及其制造方法
KR20150102314A (ko) * 2014-02-28 2015-09-07 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
US10461152B2 (en) * 2017-07-10 2019-10-29 Globalfoundries Inc. Radio frequency switches with air gap structures
US11036129B2 (en) * 2018-07-31 2021-06-15 Taiwan Semiconductor Manufacturing Company Ltd. Photomask and method for forming the same
US11869972B2 (en) * 2018-11-26 2024-01-09 Etron Technology, Inc. Reduced-form-factor transistor with self-aligned terminals and adjustable on/off-currents and manufacture method thereof
CN111554680B (zh) 2018-12-10 2023-09-05 钰创科技股份有限公司 统一集成电路系统
US11616128B2 (en) 2019-04-19 2023-03-28 Etron Technology, Inc. Transistor structure with reduced leakage current and adjustable on/off current
US11289574B2 (en) * 2019-12-26 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming epitaxial source/drain features in semiconductor devices
US11881481B2 (en) * 2021-04-06 2024-01-23 Invention And Collaboration Laboratory Pte. Ltd. Complementary MOSFET structure with localized isolations in silicon substrate to reduce leakages and prevent latch-up
US20220384659A1 (en) * 2021-05-26 2022-12-01 Globalfoundries U.S. Inc. Field effect transistor
US11764225B2 (en) 2021-06-10 2023-09-19 Globalfoundries U.S. Inc. Field effect transistor with shallow trench isolation features within source/drain regions

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH118397A (ja) * 1997-06-18 1999-01-12 Tokin Corp 静電誘導型トランジスタ
JP2000294781A (ja) * 1999-03-19 2000-10-20 France Telecom 漏れ電流の低い半導体素子及びその製造方法
JP2004327961A (ja) * 2003-04-23 2004-11-18 Samsung Electronics Co Ltd Mosトランジスタ及びその製造方法
JP2006093268A (ja) * 2004-09-22 2006-04-06 Seiko Epson Corp 半導体基板、半導体装置、半導体基板の製造方法および半導体装置の製造方法
JP2007027199A (ja) * 2005-07-12 2007-02-01 Toshiba Corp 半導体装置およびその製造方法
JP2007027232A (ja) * 2005-07-13 2007-02-01 Seiko Epson Corp 半導体装置及びその製造方法
US7247896B2 (en) * 2004-04-09 2007-07-24 Samsung Electronics Co., Ltd. Semiconductor devices having a field effect transistor and methods of fabricating the same
JP2009519599A (ja) * 2005-12-13 2009-05-14 エヌエックスピー ビー ヴィ 接合部に絶縁体層を有する電界効果トランジスタ構造

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5440084A (en) 1977-09-05 1979-03-28 Fujitsu Ltd Semiconductor device and manufacture thereof
JPS5893343A (ja) * 1981-11-30 1983-06-03 Toshiba Corp 半導体集積回路の分離領域形成方法
JPH02205339A (ja) * 1989-02-03 1990-08-15 Fujitsu Ltd 半導体装置の製造方法
US5908313A (en) * 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
JPH118379A (ja) * 1997-06-16 1999-01-12 Toshiba Corp 半導体装置及びその製造方法
US6599789B1 (en) * 2000-11-15 2003-07-29 Micron Technology, Inc. Method of forming a field effect transistor
KR100513310B1 (ko) 2003-12-19 2005-09-07 삼성전자주식회사 비대칭 매몰절연막을 채택하여 두 개의 다른 동작모드들을갖는 반도체소자 및 그것을 제조하는 방법
US7923782B2 (en) * 2004-02-27 2011-04-12 International Business Machines Corporation Hybrid SOI/bulk semiconductor transistors
KR100532509B1 (ko) * 2004-03-26 2005-11-30 삼성전자주식회사 SiGe를 이용한 트렌치 커패시터 및 그 형성방법
US6864540B1 (en) * 2004-05-21 2005-03-08 International Business Machines Corp. High performance FET with elevated source/drain region
KR101155097B1 (ko) 2005-08-24 2012-06-11 삼성전자주식회사 반도체 장치의 제조 방법 및 그에 의해 제조된 반도체 장치
JP2007059910A (ja) * 2005-08-24 2007-03-08 Samsung Electronics Co Ltd ストレス物質を含むソース/ドレーンを有するnmos/pmosトランジスターの形成方法及びそれによって形成された装置
US7326601B2 (en) * 2005-09-26 2008-02-05 Advanced Micro Devices, Inc. Methods for fabrication of a stressed MOS device
US7410859B1 (en) * 2005-11-07 2008-08-12 Advanced Micro Devices, Inc. Stressed MOS device and method for its fabrication
TW200809980A (en) * 2006-03-10 2008-02-16 Koninkl Philips Electronics Nv Method of manufacturing a bipolar transistor
US7666721B2 (en) 2006-03-15 2010-02-23 International Business Machines Corporation SOI substrates and SOI devices, and methods for forming the same
JP2008112900A (ja) 2006-10-31 2008-05-15 Seiko Epson Corp 半導体装置およびその製造方法
US7750338B2 (en) * 2006-12-05 2010-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-SiGe epitaxy for MOS devices
JP4455618B2 (ja) * 2007-06-26 2010-04-21 株式会社東芝 半導体装置の製造方法
KR101425619B1 (ko) * 2008-01-16 2014-08-04 삼성전자주식회사 기판 표면 처리 방법, 이를 이용한 이미지 센서의 제조방법 및 이에 따라 제조된 이미지 센서
JP5915181B2 (ja) * 2011-04-05 2016-05-11 富士通セミコンダクター株式会社 半導体装置およびその製造方法
US20130069172A1 (en) * 2011-09-16 2013-03-21 United Microelectronics Corp. Semiconductor device and method for fabricating the same

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH118397A (ja) * 1997-06-18 1999-01-12 Tokin Corp 静電誘導型トランジスタ
JP2000294781A (ja) * 1999-03-19 2000-10-20 France Telecom 漏れ電流の低い半導体素子及びその製造方法
JP2004327961A (ja) * 2003-04-23 2004-11-18 Samsung Electronics Co Ltd Mosトランジスタ及びその製造方法
US7247896B2 (en) * 2004-04-09 2007-07-24 Samsung Electronics Co., Ltd. Semiconductor devices having a field effect transistor and methods of fabricating the same
JP2006093268A (ja) * 2004-09-22 2006-04-06 Seiko Epson Corp 半導体基板、半導体装置、半導体基板の製造方法および半導体装置の製造方法
JP2007027199A (ja) * 2005-07-12 2007-02-01 Toshiba Corp 半導体装置およびその製造方法
JP2007027232A (ja) * 2005-07-13 2007-02-01 Seiko Epson Corp 半導体装置及びその製造方法
JP2009519599A (ja) * 2005-12-13 2009-05-14 エヌエックスピー ビー ヴィ 接合部に絶縁体層を有する電界効果トランジスタ構造

Also Published As

Publication number Publication date
US20140193960A1 (en) 2014-07-10
US20130210207A1 (en) 2013-08-15
US8709898B2 (en) 2014-04-29
US9178034B2 (en) 2015-11-03
WO2011064891A1 (ja) 2011-06-03
JPWO2011064891A1 (ja) 2013-04-11

Similar Documents

Publication Publication Date Title
JP5422669B2 (ja) 半導体装置の製造方法、ダイナミックスレッショルドトランジスタの製造方法
US7592214B2 (en) Method of manufacturing a semiconductor device including epitaxially growing semiconductor epitaxial layers on a surface of semiconductor substrate
US8912068B2 (en) Semiconductor device with strained channel and method of fabricating the same
US7553717B2 (en) Recess etch for epitaxial SiGe
KR101776926B1 (ko) 반도체 소자 및 그 제조 방법
US8283226B2 (en) Method for manufacturing semiconductor device
US8114727B2 (en) Disposable spacer integration with stress memorization technique and silicon-germanium
US8835267B2 (en) Semiconductor device and fabrication method thereof
JP4847152B2 (ja) 半導体装置とその製造方法
JP5915181B2 (ja) 半導体装置およびその製造方法
CN102931222B (zh) 半导体器件及其制造方法
KR101600553B1 (ko) 에피택셜 성장된 스트레스-유도 소오스 및 드레인 영역들을 가지는 mos 디바이스들의 제조 방법
US8138523B2 (en) Semiconductor device having silicon on stressed liner (SOL)
US7670914B2 (en) Methods for fabricating multiple finger transistors
KR101591564B1 (ko) 반도체 소자 및 그 제조 방법
KR20070029711A (ko) 트랜지스터 형성 방법
US20100327329A1 (en) Semiconductor device and method of fabricating the same
US20140264442A1 (en) Method for Fabricating a Semiconductor Device
JP2011238780A (ja) 半導体装置及びその製造方法
US8951873B2 (en) Semiconductor devices having encapsulated stressor regions and related fabrication methods
CN107919393B (zh) 一种半导体器件及其制造方法
JP2010003990A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131015

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131024

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131119

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131125

R150 Certificate of patent or registration of utility model

Ref document number: 5422669

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees