JP5057555B2 - 照明及びレチクルの最適化により、印刷ラインの形状歪みを最小化するシステム及び方法 - Google Patents

照明及びレチクルの最適化により、印刷ラインの形状歪みを最小化するシステム及び方法 Download PDF

Info

Publication number
JP5057555B2
JP5057555B2 JP2006221244A JP2006221244A JP5057555B2 JP 5057555 B2 JP5057555 B2 JP 5057555B2 JP 2006221244 A JP2006221244 A JP 2006221244A JP 2006221244 A JP2006221244 A JP 2006221244A JP 5057555 B2 JP5057555 B2 JP 5057555B2
Authority
JP
Japan
Prior art keywords
reticle
image
amplitude
diffraction
intensity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006221244A
Other languages
English (en)
Other versions
JP2006303549A (ja
Inventor
アラン・イー・ローゼンブルス
スコット・ジョセフ・バコフスキ
アルフレッド・ケイ・ケイ・ウォン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Inc
Original Assignee
Canon Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Inc filed Critical Canon Inc
Publication of JP2006303549A publication Critical patent/JP2006303549A/ja
Application granted granted Critical
Publication of JP5057555B2 publication Critical patent/JP5057555B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/34Phase-edge PSM, e.g. chromeless PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

本発明は一般に、半導体チップ上に集積回路(IC)パターンを形成するための、フィーチャのリソグラフィ印刷に関する。
半導体チップ上に素子及び集積回路(IC)を形成するために使用される光学リソグラフィ・システムが、所望のリソグラフィ・パターンの臨界寸法(CD:critical dimension)に近づくときに発生するイメージ劣化を補償するために、多くの方法が開発されてきた。臨界寸法は、設計仕様により要求されるフィーチャ・サイズ及び、繰り返しフィーチャ間の間隔(ピッチ)を指し示し、これらはチップ上の素子が適切に機能するために重要である。所望のICパターンの臨界寸法が、リソグラフィ・システムの分解能に近づくとき(システムにより確実に印刷される最小寸法として定義される)、イメージ歪みは大きな問題となる。今日、リソグラフィ・ツールの限界分解能が、IC形成において主要な技術的課題を提起しており、この問題は将来臨界寸法が益々小さくなるにつれ、より重大となるであろう。将来のIC製品の形成を実現可能にするために、リソグラフィ・ツールは、リソグラフィ・システムの分解能に対する最小臨界寸法の比率が非常に小さくなっても、十分なイメージ忠実度を達成することが要求される。
リソグラフィ・システムの分解能ρは、次式により表される。すなわち、
[数1]
ρ=kλ/NA (1)
ここで、ρはリソグラフィにより印刷可能な最小フィーチャ・サイズで、NA(numerical aperture:開口数)は、レンズにより収集される光量の測量、λは光源の波長である。この式は、印刷可能な最小フィーチャ・サイズが光源の波長に比例し、回折光がより広範囲の方向に渡って、レンズにより収集されるとき、イメージ忠実度が改善される概念を表す。より大きなNAは、より小さなフィーチャの印刷を可能にするが、実際にはNAは焦点深度要求、偏光及び薄膜効果や、レンズ設計の難易度などにより制限される。いわゆるkファクタは、例えばレジスト特性または改良マスクの使用などの波長や、開口数以外のリソグラフィ・プロセスの態様を表す。従来技術における典型的なkファクタ値は、約0.7乃至約0.4の範囲である。波長λを減少させる上での制限や、開口数NAを増加させる上での制限により、非常に小さな臨界寸法を有する将来のIC製品の形成では、リソグラフィ・プロセスの解像度を改善するために、kファクタの低減、例えば、0.3乃至0.4またはそれ以下を要求するであろう。
投写リソグラフィ・システムの基本コンポーネントが図1に示される。光源110は、レチクルとしても知られるマスク120を照射する放射線を放つ。用語”マスク”及び”レチクル”は、交換式に使用される。レチクル120は、レンズ140を通じて照射放射線を回折する作用をするフィーチャを含む。レンズ140はイメージを、例えば半導体ウエハ150などのイメージ・プレーン上に投影する。レチクル120からレンズ140に透過される放射線の総量は、瞳孔130により制御される。光源110は、方向及び強度などの様々な光源パラメータを制御することができる。ウエハ150は一般に、光活性材料(レジストとして知られる)を含む。レジストが投影イメージに晒されると、現像フィーチャが所望のIC回路及び素子のために要求されるフィーチャの所望のパターンにほぼ一致する。
レチクル120上のフィーチャのパターンは、回折格子に類似する回折構造として作用し、建設的にまたは破壊的に干渉する放射線パターンを透過する。この建設的及び破壊的な干渉パターンは、回折格子(すなわちレチクル120)のフィーチャの間隔にもとづき、フーリエ変換の観点から空間的に記述される。回折構造の空間周波数に関連付けられる回折エネルギのフーリエ成分は、回折次数として知られる。例えば、0番目の次数はDC成分に関連付けられるが、高次の次数は照射放射線の波長に関連付けられ、繰り返し回折フィーチャ間の間隔(ピッチとして知られる)に逆に関連付けられる。フィーチャのピッチがより小さいと、回折の角度はより大きくなり、より高次の回折次数がレンズの開口数よりも大きな角度で回折される。
所望のパターンの繰り返し寸法にもとづくリソグラフィ・システムにより収集される回折次数を示すために、方向空間における図が作成される。例えば、図5に示されるパターンは、単位セルにより図3のように表される。このパターンは水平方向の繰り返し寸法203と、対角方向の繰り返し寸法205により示される千、鳥状ピッチ(或いは、垂直方向のピッチ201により示されるピッチ)とを有する。この単位セルが回折格子内で繰り返され、軸上ビームにより照射される場合、回折次数は図4に示されるように方向空間内で表される。回折次数の位置(ポイント300乃至326)が、軸上ビームから角度θで回折されるビームの投影としてプロットされている。方向空間図の中心300(0次の位置を表し、軸上ビームの方向でもある)からの非0次数の距離は、θの正弦としてすなわち、照明の波長を繰り返し距離で割った比率としてプロットされる。例えば、水平繰り返し距離203により表される+2次は、ポイント301により表され、−2次はポイント310により表される。同様に、ポイント305及び319は、垂直繰り返し距離201にもとづく+2次及び−2次をそれぞれ表す。他の次数は水平及び垂直方向の両方に回折され、例えばポイント308は{−1、+1}次として表される。参考として、レンズの開口数(NA)350もプロットされて示されている。レンズにより収集される次数は、300、301、310、303、308、313及び312だけである。レチクルにより回折される波面の振幅は、照明振幅及びマスクの回折特性の両方に依存する。
軸外照明(off-axis illumination)は、分解能を改善するために使用される技術として従来知られている。軸外照明は投影イメージに非対称性を生じるが、軸外照明により生じる非対称性は、鏡映方向からの照射により補正することができる。この技術は従来しばしば使用されており、例えば環状光源構成などが使用されたりする。
レンズにより投影される光の強度等高線(intensity contour)は、入力マスク・パターンのそれから著しく逸脱する。2次元(2D)パターンは、満足されなければならない複数の臨界寸法を有し、イメージ忠実度を達成する問題を悪化させる。更に、最も単純な形状を除く全てにおいて、印刷パターンを構成する異なる臨界寸法の誤差が等しくなく、露光調整により誤差を補正することを不可能にする。しばしばこうした不等な寸法歪みは、”ライン短縮(line-shortening)”の広いカテゴリに分類される。例えば、図6(例えばダイナミック・ランダム・アクセス・メモリ(DRAM)設計における分離レベル)、または図18(例えばDRAM設計におけるキャパシタ・レベル)に示されるようなパターンは、ライン短縮を起こしやすい。図6に示されるパターンでは、矩形フィーチャがセルFの基本寸法単位に等しい幅401を有する。矩形フィーチャは、印刷パターンが現像された後に、光活性材料(レジスト)が保持されるべき領域を表す。垂直間隔402もFに等しく、長さ405は6.5Fに等しい。矩形の先端間の間隔に相当する所望の水平間隔408は1.5Fである。しかしながら、kファクタが小さく、先端部を横断するコントラストが小さい場合には、矩形の先端部の十分に解像するために、矩形を所望の6.5Fよりも短い長さで印刷する必要がある。
更に、小さなkファクタでは投影イメージの低コストラストが、パターン化プロセスにおけるランダムな変化に起因する寸法誤差を拡大する。これは不均一な基板反射率、マスク寸法誤差、照明の不均一性、デフォーカス、迷光、及び残余レンズ収差に対して法外な感度を引き起こす。
これらの問題を低減するための多くの方法が開発されてきた。これらの従来方法の概要を以下に簡単に記すことにする。
多くの改良技術が、小さなkファクタにおいて生じる歪みを補正するために、マスク・フィーチャの形状を調整する。こうした例には、例えばL. W. Liebmannらによる”Optical proximity correction:a first look at manufacturability”、SPIE Proceedings、Vol. 2322 - 14th Annual Symposium on Photomask Technology and Management、(Society of Photo-Optical Instrumentation Engineers、1994)、pages 229-238が挙げられる。(例えば、ライン・フィーチャの先端部において、マスク形状を広げることにより、或いはフィーチャを延長することにより)交互のレチクル・マスク形状を使用する技法はバイアシングと呼ばれる。例えば、図7はハンマ頭部形状420によりバイアスされたマスクを示し、図6に示されるパターンのライン短縮を補正する。しかしながら、一部のケースではこれはコントラスト低下の問題を解決し損なうだけでなく、実際にそれを悪化させてしまう。すなわち、マスク・フィーチャのバイアスが、実際には逆効果となるレベルまでコントラストを低下させる。図6に示されるようなパターンの場合、たとえ矩形が非常に短縮されて印刷されても、先端部を横断するコントラストは低下する。すなわち、たとえ短縮により先端部が遠ざかったとしても、相当な光がぼやけたイメージ内の隣接先端部間に漏れてしまう。ライン短縮を補正するために、マスク矩形が図7に示されるようなハンマ頭部形状420によりバイアスされる場合、イメージのギャップ内のコントラストは更に低下する。なぜなら、分解能が低下したハンマ頭部420からの光が、ギャップ409内に漏れるからである。印刷パターンにおけるライン短縮を補正しようとして、マスク矩形が延長される場合、ギャップ409内のコントラストが同様に低下する。なぜなら、分離ギャップがより狭くなるようにバイアスされると、ぼやけの程度が悪化するからである。
こうした相矛盾する効果の妥協案を見いだすために、マスク形状の適切な調整を提供するコンピュータ・アルゴリズムが知られている(例えば、O. W. Ottoらによる”Automated optical proximity correction - a rules-based approach”、SPIE Proceedings、Vol. 2197 - Optical Microlithography VII(Society of Photo-Optical Instrumentation Engineers、1994)、pages 278-293を参照)。しかしながら、これらのアルゴリズムはイメージ品質の異なる態様が、ライン短縮のように、形状が反対方向に摂動することを要求する場合には、非常に限られた利点を提供できるに過ぎない。一般にイメージ改良技法は、所望の回路パターンに固有の幾何学的制約が、マスク上のこれらのパターンの形状及び位置を最適化するために、矛盾する要求をもたらす場合は不完全に作用する。例えば、図6や図18に示されるようなパターンを密集させると、所望のイメージの所望の臨界寸法を達成するために、マスク・フィーチャがバイアスされるとき、コントラストの本質的な損失を生じる。
別のクラスの改良技術は、マスクから投影される光の位相をシフトすることにより、イメージのコントラストを改善する。これは前述の本質的な幾何学的矛盾を直接的に解決するのではなく、イメージのぼやけを低減することにより、それらの重大性を軽減するものである。イメージのぼやけの1原因はリソグラフィ・レンズの限られた分解能に起因し、これはマスク・フィーチャ間の透過率の鋭い遷移をえぐり取り、レンズ分解能により定義される距離に渡り、それをぼやけさせる。
1改良技術(”位相シフト・クロム(phase-shifting chrome)”または”繊維化位相シフト(attenuated phase mask)”として知られる)は、マスク・フィーチャの縁部を横切る照明振幅の変化率を増大させることにより、イメージの鋭さを改善する。これはパターンの暗領域に対して、透過率0の従来の材料ではなく、僅かに負の透過率の位相シフト材料を使用することにより達成される。これについては、例えばT. Terasawaらによる”Imaging characteristics of multi-phase-shifting and halftone phase-shifting masks”、Japanese J. Appl. Phys. Part 1、Vol. 30、no. 11B(1991)、pages 2991-2997で述べられている。位相シフトは、イメージ・フィーチャの縁部における照明強度の勾配を増加させる。なぜなら透過される電界が、1から0より小さい値(例えば図2に示される暗領域199での電界振幅160)へ遷移するからである(例えば図2に示される電界振幅160を参照)。従って、イメージ内のフィーチャの縁部を横切るイメージ強度の勾配が増大する。しかしながら、イメージ・フィーチャの縁部を横切る勾配の鋭さは、次の要件により制限される。すなわち、暗領域199に相当するイメージ領域に透過される負の電界振幅160は、暗領域199(図2)を印刷する必要性により、それらがあたかも明領域190であるかのような十分な強度170を有してはならない。(説明の都合上、以下ではフォトレジストは、最も一般に使用されるポジティブ・レジストであるものとする。)従って、位相シフトはコントラストを改善するが、特定のケースではこの改善ではまだ不十分である。前述のように、特定のパターンは本質的な幾何学的制約により制限され、そこでは寸法誤差の補正が、コントラストの劣化の犠牲の下で行われるだけである。位相シフトはこれらのパターン矛盾の影響を軽減するが、完全に排除するものではない。同様の結論が、負の電界振幅が提供される場合にも当てはまる。こうした負の電界は、透明な位相シフト材料の薄いリム(rim)により提供される(例えば、Nitayamaらによる”New phase-shifting mask with self-aligned phase shifters for quarter micron photolithography”、1989 International Electron Devices Meeting - Technical Digest(Cat. 89CH2637-7)(Washington、DC:IEEE、1989)、pages 57-60を参照)。
いわゆる交互位相シフト技法(例えばM. D. Levenson、N. S. Viswanathan、及びR. A. Simpsonによる”Improving Resolution in Photolithography with a Phase-Shifting Mask”、IEEE Transactions on Electron Devices、Vol. ED-29、no. 12(1982)、pages 1828-1836を参照)は、隣接する明るいフィーチャの位相を0°と180°との間で連続的にシフトすることにより、更にコントラスト改善を達成する。このように、イメージ・フィーチャの縁部を横切る照明強度のコントラストは、従来のマスクまたは位相シフト・クロムに比較して更に向上される。しかしながら、位相シフト・クロム同様、交互位相シフト技法は、一般的な2Dパターンの、前述の本質的な幾何学的制約を直接解決するものではなく、それらの重大性を軽減するに過ぎない。更に2D回路レイアウトでは、あらゆるマスク・フィーチャを、全ての隣接フィーチャの位相と反対の位相により構成することは不可能であり、このことはリソグラフィ性能が、同一位相を有するフィーチャを分離する未改善の遷移によりゲートされることを意味する。
更に交互位相シフト技法は、しばしば不要なフィーチャをイメージ・パターンに追加する。これは位相の所望の交番が、不要なパターンとして印刷される人工的な0°から180°のマスク遷移を導入することによってのみ達成されるように、回路形状がレイアウトされる場合に発生する。例えば、マスク上のあるポイントにおいて、互いに接近して通過する明領域に反対の位相が印加されるとき、明領域がマスク・パターン内のどこかで一緒に結合されるならば、位相はこうした不要な遷移を生成しなければならない。こうした不要な遷移は、名目上明るい結合領域内に暗フリンジとして印刷され、第2の露光によりトリミングされて、除去されなければならない。中間位相領域の使用により、不要なマスク遷移を印刷適性のしきい値以下に鈍らせ、結合領域に沿って遷移を0°から180°の段階にランク付けすることも提案されている。しかしながら、これはマスクに沿って位相の傾きを引き起こし、フォーカスが変動するとき、イメージの非常に強いシフトを引き起こすことになる。この理由から、中間位相がしばしば使用される。
連続的に変化する位相の利点は、ときにマスクを照射する光ビームを傾けることにより達成される(例えば、N. Shiraishiらによる”New imaging technique for 64M DRAM”、SPIE Proceedings、Vol. 1674 - Optical Microlithography V(Society of Photo-Optical Instrumentation Engineers、1992)、pages 741-752;M. Noguchiらによる”Sub-half-micron lithography system with phase-shifting effect”、SPIE Proceedings、Vol. 1674 - Optical Microlithography V(Society of Photo-Optical Instrumentation Engineers、1992)、pages 92-104を参照)。多くのパターンの使用によりマスクに沿って傾斜する位相の変化が、連続臨界フィーチャにおける照明を正位相と負位相との間で交番させるように傾きが調整される。更に、連続フィーチャが直交形状により結合される場合、位相はこれらの結合形状に沿って、0°から180°の滑らかな遷移を生成する。こうした位相傾きが引き起こす前述のフォーカス感度は、マスクを鏡映方向から対称に照射することにより回避される。最も低い次数では、異なる方向からのフォーカス感度が相殺される。
イメージ・フィーチャの勾配を最大化すると同時に、複数の照明方向の重畳を通じて、異なるフィーチャ間での臨界寸法の不均一性を最小化するように、所与のマスクへ入射する照明方向を選択する方法が知られている(例えば、A. E. Rosenbluth及びJ. Gortychによる米国特許第5680588号”Method and system for optimizing illumination in an optical photolithography projection imaging system”(1997年10月21日発行)を参照)。これは”光源最適化(source optimization)”と呼ばれる。しかしながら、前述のイメージ改良技法と同様、このようにして照明を最適化することによる利点は限られる。最適化された光源は、複数の照明方向の異なるバイアス効果のバランスを保つことにより、臨界寸法の均一化を達成する。しかしながら、例えばパターンの幾何学的制約がライン短縮を生じる場合のように、バイアス効果が厳格な場合には、こうしたバランス手法は通常、低コントラストを有する特定の照明方向により生成されるイメージ成分からの寄与を要求する。
従って、パターン・レイアウトの本質的な幾何学的制約によりあまり厳格に制限されずに、イメージ品質を向上させる技術が待望される。
本発明の目的は、半導体ウエハ上にイメージを形成するのに適したレチクル・マスク・フィーチャ(レチクルから生じる各回折次数の回折光の波面の振幅)求める方法を提供することである。
本発明の第1の側面は、半導体ウエハ上にイメージを形成するための、レチクルから生じる各回折次数の回折光の波面の振幅、を求める方法に関し、前記方法は、前記ウエハ上に形成されるべきイメージに対応したパターンの情報を提供するステップと、前記パターンの繰り返し寸法の情報と前記レチクルに入射するビームの波長とにより決まる回折方向に対応させて、前記各回折次数の回折光の波面の振幅を表す変数を選択するステップと、前記回折方向に基づいて、前記レチクルからの光を前記ウエハ上に投影する光学系の瞳内に前記各回折次数の回折光のうち回折次数の同一セットを導く前記レチクルに入射する軸外ビームの方向の範囲毎に前記レチクルに入射する軸外ビームの方向を区分し、前記レチクルに入射する各方向の軸外ビームの強度を表す変数を前記各区分に対応させて選択するステップと、前記各方向の軸外ビームの強度を表す変数と、前記各回折次数の回折光の波面の振幅を表す変数と、前記各方向の軸外ビームに対して前記各回折次数の回折光の波面により生成される前記ウエハ上でのイメージ振幅とを用い、前記各方向の軸外ビームの強度を表す変数に、それに対応する前記イメージ振幅と前記各回折次数の回折光の波面の振幅を表す変数との内積の2乗を掛けて得られる積を前記各方向にわたって加算して求められる、前記ウエハ上の各点において得られるイメージの強度を表す関数を提供するステップと、前記関数により表されるイメージの特性として、当該イメージの、サンプルポイントにおける対数勾配の値を示すメリット関数を提供するステップと、前記メリット関数の値が最大となるように、前記各回折次数の回折光の波面の振幅の解を求めるステップと、を含む。
本発明の第2の側面は、イメージを半導体ウエハ上に形成するためのイメージング・システムに関し、前記イメージング・システムは、レチクルを照明する光源と、前記光源により照明された前記レチクルからの光を前記ウエハ上に投影する光学系と、を含み、前記システムは、前記ウエハ上にイメージを形成するための、前記レチクルから生じる各回折次数の回折光の波面の振幅、を求める方法にしたがって動作し、前記方法は、前記ウエハ上に形成されるべきイメージに対応したパターンの情報を提供するステップと、前記パターンの繰り返し寸法の情報と前記レチクルに入射するビームの波長とにより決まる回折方向に対応させて、前記各回折次数の回折光の波面の振幅を表す変数を選択するステップと、前記回折方向に基づいて、前記光学系の瞳内に前記各回折次数の回折光のうち回折次数の同一セットを導く前記レチクルに入射する軸外ビームの方向の範囲毎に前記レチクルに入射する軸外ビームの方向を区分し、前記レチクルに入射する各方向の軸外ビームの強度を表す変数を前記各区分に対応させて選択するステップと、前記各方向の軸外ビームの強度を表す変数と、前記各回折次数の回折光の波面の振幅を表す変数と、前記各方向の軸外ビームに対して前記各回折次数の回折光の波面により生成される前記ウエハ上でのイメージ振幅とを用い、前記各方向の軸外ビームの強度を表す変数に、それに対応する前記イメージ振幅と前記各回折次数の回折光の波面の振幅を表す変数との内積の2乗を掛けて得られる積を前記各方向にわたって加算して求められる、前記ウエハ上の各点において得られるイメージの強度を表す関数を提供するステップと、前記関数により表されるイメージの特性として、当該イメージの、サンプルポイントにおける対数勾配の値を示すメリット関数を提供するステップと、前記メリット関数の値が最大となるように、前記各回折次数の回折光の波面の振幅の解を求めるステップと、を含む。
本発明の第3側面は、半導体ウエハ上にイメージを形成するための、レチクルから生じる各回折次数の回折光の波面の振幅、を求める方法をコンピュータに実行させる、コンピュータ可読命令を含むプログラムに関し、前記方法は、前記ウエハ上に形成されるべきイメージに対応したパターンの情報を提供するステップと、前記パターンの繰り返し寸法の情報と前記レチクルに入射するビームの波長とにより決まる回折方向に対応させて、前記各回折次数の回折光の波面の振幅を表す変数を選択するステップと、前記回折方向に基づいて、前記レチクルからの光を前記ウエハ上に投影する光学系の瞳内に前記各回折次数の回折光のうち回折次数の同一セットを導く前記レチクルに入射する軸外ビームの方向の範囲毎に前記レチクルに入射する軸外ビームの方向を区分し、前記レチクルに入射する各方向の軸外ビームの強度を表す変数を前記各区分に対応させて選択するステップと、前記各方向の軸外ビームの強度を表す変数と、前記各回折次数の回折光の波面の振幅を表す変数と、前記各方向の軸外ビームに対して前記各回折次数の回折光の波面により生成される前記ウエハ上でのイメージ振幅とを用い、前記各方向の軸外ビームの強度を表す変数に、それに対応する前記イメージ振幅と前記各回折次数の回折光の波面の振幅を表す変数との内積の2乗を掛けて得られる積を前記各方向にわたって加算して求められる、前記ウエハ上の各点において得られるイメージの強度を表す関数を提供するステップと、
前記関数により表されるイメージの特性として、当該イメージの、サンプルポイントにおける対数勾配の値を示すメリット関数を提供するステップと、前記メリット関数の値が最大となるように、前記各回折次数の回折光の波面の振幅の解を求めるステップと、を含む。
本発明の第4側面は、半導体ウエハ上にイメージを形成するための、レチクルに入射する各方向の軸外ビームの強度と、該レチクルから生じる各回折次数の回折光の波面の振幅と、を求める方法に関し、前記方法は、前記ウエハ上に形成されるべきイメージに対応したパターンの情報を提供するステップと、前記パターンの繰り返し寸法の情報と前記軸外ビームの波長の情報とにより決まる回折方向に対応させて、前記各回折次数の回折光の波面の振幅を表す変数を選択するステップと、前記回折方向に基づいて、前記レチクルからの光を前記ウエハ上に投影する光学系の瞳内に前記各回折次数の回折光のうち回折次数の同一セットを導く前記レチクルに入射する軸外ビームの方向の範囲毎に前記レチクルに入射する軸外ビームの方向を区分し、前記各方向の軸外ビームの強度を表す変数を前記各区分に対応させて選択するステップと、前記各方向の軸外ビームの強度を表す変数と、前記各回折次数の回折光の波面の振幅を表す変数と、前記各方向の軸外ビームに対して前記各回折次数の回折光の波面により生成される前記ウエハ上でのイメージ振幅とを用い、前記各方向の軸外ビームの強度を表す変数に、それに対応する前記イメージ振幅と前記各回折次数の回折光の波面の振幅を表す変数との内積の2乗を掛けて得られる積を前記各方向にわたって加算して求められる、前記ウエハ上の各点において得られるイメージの強度を表す関数を提供するステップと、前記関数により表されるイメージの特性として、当該イメージの、サンプルポイントにおける対数勾配の値を示すメリット関数を提供するステップと、前記メリット関数の値が最大となるように、前記各方向の軸外ビームの強度と前記各回折次数の回折光の波面の振幅とのそれぞれの解を求めるステップと、を含む。
本発明の第5の側面は、イメージを半導体ウエハ上に形成するためのイメージング・システムに関し、前記イメージング・システムは、レチクルを照明する光源と、前記光源により照明された前記レチクルからの光を前記ウエハ上に投影する光学系と、を含み、前記システムは、前記ウエハ上にイメージを形成するための、前記レチクルに入射する各方向の軸外ビームの強度と、該レチクルから生じる各回折次数の回折光の波面の振幅と、を求める方法にしたがって動作し、前記方法は、前記ウエハ上に形成されるべきイメージに対応したパターンの情報を提供するステップと、前記パターンの繰り返し寸法の情報と前記軸外ビームの波長の情報とにより決まる回折方向に対応させて、前記各回折次数の回折光の波面の振幅を表す変数を選択するステップと、前記回折方向に基づいて、前記光学系の瞳内に前記各回折次数の回折光のうち回折次数の同一セットを導く前記レチクルに入射する軸外ビームの方向の範囲毎に前記レチクルに入射する軸外ビームの方向を区分し、前記各方向の軸外ビームの強度を表す変数を前記各区分に対応させて選択するステップと、前記各方向の軸外ビームの強度を表す変数と、前記各回折次数の回折光の波面の振幅を表す変数と、前記各方向の軸外ビームに対して前記各回折次数の回折光の波面により生成される前記ウエハ上でのイメージ振幅とを用い、前記各方向の軸外ビームの強度を表す変数に、それに対応する前記イメージ振幅と前記各回折次数の回折光の波面の振幅を表す変数との内積の2乗を掛けて得られる積を前記各方向にわたって加算して求められる、前記ウエハ上の各点において得られるイメージの強度を表す関数を提供するステップと、前記関数により表されるイメージの特性として、当該イメージの、サンプルポイントにおける対数勾配の値を示すメリット関数を提供するステップと、前記メリット関数の値が最大となるように、前記各方向の軸外ビームの強度と前記各回折次数の回折光の波面の振幅とのそれぞれの解を求めるステップと、を含む。
本発明の第6の側面は、半導体ウエハ上にイメージを形成するための、レチクルに入射する各方向の軸外ビームの強度と、該レチクルから生じる各回折次数の回折光の波面の振幅と、を求める方法をコンピュータに実行させる、コンピュータ可読命令を含むプログラムに関し、前記方法は、前記ウエハ上に形成されるべきイメージに対応したパターンの情報を提供するステップと、前記パターンの繰り返し寸法の情報と前記軸外ビームの波長の情報とにより決まる回折方向に対応させて、前記各回折次数の回折光の波面の振幅を表す変数を選択するステップと、前記回折方向に基づいて、前記レチクルからの光を前記ウエハ上に投影する光学系の瞳内に前記各回折次数の回折光のうち回折次数の同一セットを導く前記レチクルに入射する軸外ビームの方向の範囲毎に前記レチクルに入射する軸外ビームの方向を区分し、前記各方向の軸外ビームの強度を表す変数を前記各区分に対応させて選択するステップと、前記各方向の軸外ビームの強度を表す変数と、前記各回折次数の回折光の波面の振幅を表す変数と、前記各方向の軸外ビームに対して前記各回折次数の回折光の波面により生成される前記ウエハ上でのイメージ振幅とを用い、前記各方向の軸外ビームの強度を表す変数に、それに対応する前記イメージ振幅と前記各回折次数の回折光の波面の振幅を表す変数との内積の2乗を掛けて得られる積を前記各方向にわたって加算して求められる、前記ウエハ上の各点において得られるイメージの強度を表す関数を提供するステップと、前記関数により表されるイメージの特性として、当該イメージの、サンプルポイントにおける対数勾配の値を示すメリット関数を提供するステップと、前記メリット関数の値が最大となるように、前記各方向の軸外ビームの強度と前記各回折次数の回折光の波面の振幅とのそれぞれの解を求めるステップと、を含む。
本発明の特徴と思われる新規のフィーチャが、本願の特許請求の範囲で述べられる。しかしながら、本発明そのもの、並びにその他の目的及び利点が、添付の図面と関連して後述する好適な実施例の説明を参照することにより、理解されよう。
は、本発明書では”ベクトルX”と記載する。
本発明によれば、光投影システムにおいて使用されるレチクル及び光源を最適に選択する方法が提供される。なお、光投影システムには、例えば光学リソグラフィで使用されるタイプのイメージング・システムや、こうした方法に従い動作する投影イメージング・システムが含まれる。
本発明は、レチクルにより回折される波面の振幅が、照明振幅とマスクの回折特性の両方に依存する事実を利用する。
再度、例えば図6に示される所望のフィーチャ・パターンについて考慮すると、これは図3の単位セルと、水平繰り返し寸法203、及び対角方向の繰り返し寸法205により示される千鳥状ピッチ(或いは、垂直方向のピッチ201により示されるピッチ)とにより表される。前述のように、この単位セルを回折格子内の繰り返しパターンとして使用し、軸上ビームにより照射されるようにすると、図4に示されるような方向空間内にプロットされる回折次数が生じる。例えば、水平繰り返し距離203により表される+2次は、ポイント301により表され、−2次はポイント310により表される。同様に、ポイント305及び319は、垂直繰り返し距離201にもとづく+2次及び−2次をそれぞれ表す。他の次数は水平方向及び垂直方向の両方に回折され、例えばポイント308は{−1、+1}次として表される。参考として、レンズの開口数(NA)350も図示されている。レンズにより収集される次数は、300、301、310、303、308、313及び312だけである。
レンズ口径により収集される異なる次数の回折波面が、独立に調整可能な振幅を有するように、照明振幅とマスク・フィーチャとの組み合わせを有する投影システムを設計することが可能である。例えば収集次数301の振幅は、次数303とは独立に調整される。
しかしながら、繰り返し寸法の対称性により収集される全ての次数が独立に調整可能な訳ではない。この例では、次数301及び310は同一の振幅を有するように制約される。この例では、多くのリソグラフィ・パターンの場合のように、パターンが横軸及び縦軸に対して左右対称であり、この場合、回折パターンの1象限が、他の3つの象限を本質的に決定する。従って、303、308、312及び313は、パターンの対称性により同一の振幅を有さねばならない。従って、図4に示される例では、実際には収集される3つの独立な次数が存在するだけである。更に、強いフォーカス感度を回避するために、レチクル透過率の位相を0°または180°に制限することが必要であり、マスク振幅透過率を純粋な実数量に、すなわち非複素量にする。従って、マスク回折パターンの実数部分は、半径方向に偶対称性を有し、虚数部分は奇対称性を有する。これは例えば、マスク・パターンが左右対称であるか否かに拘わらず、次数303が次数313に独立に調整不能であることを意味する。半径方向に等距離で対向する他の次数対、例えば308と312または301と310についても、同様に制約を受ける。
他方、照明が301方向から到来する場合、収集される次数は図5に示されるようにシフトされる。この場合、収集される5つの独立な次数、すなわち300、301、302、(303及び312)、及び(304及び311)が存在し、従って独立の調整され得る次数の数が増加する。従って、照明が301方向から到来する場合、より多くの次数が独立に調整可能になる。照明方向及び収集される次数を一緒に適切に調整することにより、イメージ内の調整可能な自由度の数が増加し、従来技術に対して性能改善が達成される。
本発明に従う方法の1実施例が、図8に示される。この方法は所望のICパターンに関して、イメージの改良を次のように達成する。すなわち、リソグラフィ・イメージング・システムにおいて、イメージ・フィーチャ及びリソグラフィ・プロセス・パラメータに関わる制約のセットに従い、メリット関数により測定するとき、投影イメージのフィーチャが最適化されるように、光源のパラメータ、及びマスク透過フィーチャを同時に選択する。第1のステップ500では、所望のイメージ・パターン、例えば、臨界寸法がリソグラフィ・システムの分解能の限界に非常に近いパターンを提供する。1例としてこの実施例では、印刷される所望のパターンが、図10に示され(本質的に、図6に示されるパターンと同一)、これはセルの基本寸法単位Fに等しい幅401、及び同様にFに等しい矩形間の垂直間隔402を有する矩形フィーチャを有する。矩形フィーチャの長さは、6.5Fに等しい。矩形の先端間の所望の水平間隔408は、1.5Fである。本発明に従う方法の次のステップ510では、光源及びマスク・パラメータの適切なセットが選択されるときに最適化されるメリット関数を導出する。この実施例において、メリット関数を導出する際の詳細については、次のパラグラフで述べることにする。
前述のように、強いフォーカス感度を回避するために、マスク振幅透過率が純粋な実数であること、すなわち透過率の位相が0°または180°に制限されることが望ましい。これはマスクにより回折される電界の実数部分が半径方向に偶数であり、虚数部分が半径方向に奇数であることを意味する。更に、リソグラフィ・パターンはしばしば、横軸及び縦軸に対して左右対称であり、この場合、回折パターンの1象限が本質的に他の3つの象限を決定する。kファクタが十分に大きいと、この対称の回折パターンは単にレンズにより収集され、次にウエハに伝達され、イメージに適切な対称性を提供する。しかしながら、個々に収集される回折次数それ自体に対称性の制約を課する必要はない。なぜなら、要求される対称性は、適切に選択された対称な光源分布を使用することにより達成されるからである。
イメージ強度にもとづくメリット関数は、光源方向及び強度、並びに回折波面の振幅の未知の値に関して定義され、光学の周知の原理にもとづき、イメージ形成の標準方程式を用いて回折次数振幅として表される。この実施例では選択臨界位置において、フィーチャの縁部を横切るイメージのグラジエントを記述するメリット関数が選択され、全ての選択位置での最小の勾配を最大化するようにする。こうしたメリット関数は、良好なイメージ忠実度を保証する。しかしながら、多くの他のメリット関数も本発明に従い選択され得る。
最適化問題を解決するために、制約のセットが要求される。この例(ブロック530)では投影イメージに対して制約が課せられ、例えば、臨界縁部位置が共通の強度Qを共有することにより、臨界寸法誤差を排除し、ライン短縮を防止する。図10を参照すると、フィーチャの先端部のポイント(610及び612)と併せて、矩形フィーチャの縁部に沿う幾つかのポイント(604、606、及び608)が選択され、これらのポイントにおいてこの制約が満足されなければならない。選択される他の制約は、適切なイメージ・トポロジを保証するために、明領域での最小強度に対する、暗形状において生成される最大強度の比率が、現像しきい値以下であることを要求する。強度比率に関する制約が満足されなければならないポイントとして、位置601、602、603、614、616、及び618などが選択されてもよい。ブロック540では、i)光源領域のサイズに対して、幾何学的制約を課すために、ii)受け入れ可能な最小の瞳孔充填の達成を要求するために、iii)明領域での十分な露光を要求するために、及びiv)暗領域での不要な露光を阻止するために、追加の制約が課せられる。本発明に従う方法は、これらの制約に制限されるものではなく、代わりのまたは追加の制約を含むことができ、例えば、各光源領域を近似することにより、または領域の再分割により、収差を局所的に中心に位置するポイントとして、または均一間隔のポイントの集合として考慮してもよい。
次に、既知の大域最適化のための標準の技術を用いて、未知の光源方向及び強度、並びに回折次数振幅について解くために、メリット関数が最大化される(図8のブロック550)。次に、結果の最適化された光源方向、光源強度、及び回折波面(または回折次数)振幅が、リソグラフィ・システム内で実現される。導出された最適な回折次数振幅に対応するレチクル・マスク・フィーチャは容易に決定される。なぜなら、回折パターンとレチクル透過率との関係は線形であり、フーリエ変換にもとづくからである。ユーザ定義光源形状が、既知の多くの技術を用いて実現され、それらには入射瞳孔内の単純なアパーチャから、カスタマイズされた回折要素まで含まれる。
従来、汎用メリット関数において、パラメータ空間の単純な網羅的グリッド検索よりも好適な、完全に大域的なアルゴリズムは保証されないことが知られている。しかしながら、最適な軸外照明方向及び回折波面を決定する際に、本発明のこの実施例は最適化問題の特殊な構造を利用して、有効な解をより迅速に見いだす。
この問題の困難はメリット関数が凹形でないことであり、これは複数のローカル最大の存在を意味することが知られている。実際、イメージを構成する平面波次数は元来振動的であり、メリット関数において非常に多くのローカル最大をもたらす。前述のように、この問題のメリット関数の最適解は、ターゲット・ウエハ・パターンにもとづく従来の初期解からは、良い成果を容易に獲得することができない。従って、最適化技術が良好な大域性能を達成すること、すなわち堅固なローカル収束が不十分であることが重要である。大域収束を効率的に達成するために(ブロック550)、本発明のこの実施例は、図9に示される2部分ストラテジを用いて、最適波面について解き(瞳孔面内で定義される照明及び回折次数振幅のセットをもたらす)、続いて最適波面にもとづき、レチクル・パターンを決定する(ブロック553)。すなわち、
1)メリット関数(ブロック510)の単純化バージョン及び制約(ブロック530及び540)に対して、大域最適解を計算する(ブロック551)。
2)ローカル最適化技法により、ステップ1の単純化大域解をより完全な基準に対して詳細化する(ブロック552)。
3)ステップ2で決定された最適波面を提供するレチクル・パターンを計算する(ブロック553に含まれる)。
ステップ1(ブロック551)が良好な初期解を提供する限り、広範に使用可能なローカル最適化ルーチンの頑強性(robustness)が、ステップ2で詳細な最適性基準の組み込みを可能にする(ブロック552)。例えば、ステップ2のローカル最適化プログラムと共に使用されるイメージ・モデルは、0.02NAステップで精密に間隔をあけられ、0.3λ/NA2の焦点サンプリングを有する照明グリッドを組み込む。ステップ2の解を獲得するために使用される市販のローカル最適化プログラムは、Wolfram Research社からMethematica(商標)パッケージとして販売されるFindMinimum最適化プログラムであり、これはBrentのアルゴリズムにもとづく。従って、ステップ2の解は非常に直接的である。
ステップ3(ブロック553)に含まれる最適レチクル形状の計算は、以下で詳述する比較的単純なアプローチを用いて実行され、これは回折を規定するフーリエ変換プロセスの線形性を利用する この実施例では、ステップ1(ブロック551)の大域最適化が収差のないイメージだけを考慮する、問題の単純化バージョンを用いて実行される。すなわち、デフォーカス及び固定収差の検討はステップ2に持ち越され、ステップ1では0として近似される。或いは、ステップ1の最適化の間に、収差及びデフォーカスが近似的に考慮されてもよい。ターゲット・パターンが周期的な場合、或いは周期的な境界条件を適用される場合、収差のない近似が、可能な光源方向の連続空間を、非常に少ない数の別個の領域またはゾーンに区分化することを可能にする。なぜなら(収差が0のとき、)2つの方向が回折次数の同一セットを収集瞳孔に導く場合、これらは等価であるからである。図11は、図10に示される所望のパターンを投影するレチクルから、瞳孔に回折して入射する光の方向空間における、図4に類似の図である。或いは、図11に示される図が、レチクルに入射する照明方向を表すと見なされる。所与の次数がレンズ瞳孔により収集される照明方向の範囲が、軸上照明ビームから収集される次数に対応するポイントを中心とする円により表される。例えば、円703'の内部は、次数703の集まりを提供する照明方向の範囲を表す。従って、円の交差により形成される各領域の内部は、収集次数の同一セットを、従って同一の集束イメージを提供する方向の範囲を表す。収差が無視できる場合、照明は一般性を失うことなく、1象限内でオーバラップする、別々の次数からの瞳孔領域を用いて完全に表すことができる。なぜなら、1象限の外側の照明は鏡映により得られるからである。図11において、右上の象限内でオーバラップする、各次数の収集可能な方向範囲を示す円がプロットされて示される。この実施例では、異なる光源方向からの光源強度を表す変数が、NAの約85%を表す小さな円250により囲まれる(ポイント792から700を経由し、791に至る)第1象限により囲まれる領域内の、オーバラップする瞳孔領域から選択される。この第1象限内では、図11に示される41の異なる瞳孔の各々からの照明強度を表す、41個の別個の照明変数が選択される。例えば、次数700、701、702、703、704、711及び712だけを収集する領域777を表す、1つの変数が選択される。これらの未知の光源方向はベクトル変数”ベクトルS”として表される(この例では41個の要素を有する)。”ベクトルS”の各要素は、鏡映方向からマスクに入射する一様に強い1つ、2または4つの照明方向のセットを表す。
通常、照明器が全ての開いた照明方向を1単位立体角当たり、固定パワーで充填する。この場合、解に対する制約の1つ(ブロック540)は、各光源方向jからの光源強度が次式を満足しなければならないことである。すなわち、
[数3]
0≦sj≦SMAX、j
ここで、SMAX、jは、瞳孔内のj番目の照明領域の面積を表す。光源分布が回折光源成分により定義される場合、総強度を制限することがより好ましい。
理想波面のm番目、n番目の回折次数は通常、従来技術に従い振幅am、nとして定義され、これはレチクルからd=(mλ/px、nλ/py)の方向に回折する。ここでpx及びpyは単位セルの周期性を表す。前述のように、個々の収集次数は全てが独立な訳ではない。しかしながら本発明によれば、未知の回折振幅変数が、波面の独立に調整可能な成分を表すことが望ましい。従って本発明によれば、m及びnが負でないものとして扱われ、am、nが単一の非冗長な未知数を表す。従って、所与の光源方向jに対して未知の回折振幅am、nにより生成されるウエハ・イメージ面での振幅bm、n、jが、±m、±n方向からの重畳波間の干渉の結果を含む。換言すると、照明方向jにおいてx、y鏡映方向の関連する負の次数が、瞳孔内で同時に捕獲されるか否かに応じて、イメージ振幅bm、n、jが次式で与えられる。
回折振幅am、n及びウエハ・イメージ振幅bm、n、jをベクトルとして記述することが便利である。言い換えると、未知の回折次数振幅に対応する”ベクトルa”は、任意の実現可能な照明方向から捕獲される全ての次数を表し、”ベクトルc1”及び”ベクトルc2”は、ウエハ・イメージ振幅”ベクトルb”の、それぞれ実数部分及び虚数部分を表す。またこの実施例では、ターゲット・パターンが対称であるので、表記を単純化することが容易である。レチクルがコヒーレントな軸外ビーム(すなわち、鏡映の無い高度に非対称の照明)により照射される場合、ウエ
ハ上のポイント(x、y)において生成される強度は次式で与えられる。
ここで指標h(hは1乃至2の範囲)に関する内側の総和は、実数部分及び虚数部分を分けるために含まれる。この実施例では、JMAXは41に等しく、図11の方向空間の第1象限から選択される照明方向を表す。従って、イメージに適切な対称性を提供することにより、レチクルは鏡映方向から対称に照射されなければならず、対称なオブジェクトの合計ウエハ面強度は次のようになる。
[数6]
I(x,y)=IAsym(x,y)+IAsym(-x,y)+IAsym(x,-y)+IAsym(-x,-y) (4)
式(4)は追加指標q(1乃至4の範囲)を”ベクトルc”に追加し、4つの鏡
映照明方向を区別することにより次のように単純化される。
ここで非対角のレチクル及び照明次数を適切に扱うための配慮が要求される。
この実施例の単純化された大域最適化ステップ1(図8のブロック551)が、一般化部分問題として、次のように表される。
ここで、指標rは、ターゲット・パターンの縁部に沿うサンプル・ポイント(xr、yr)を示し、例えば、図10及び図12のポイント604、606、608、610及び612で示される。また、
はフィーチャの縁部に直角の方向のcの導関数を表す。Ψは、イメージのフィーチャ縁部で生じる最悪の場合の対数勾配を表すメリット関数である。Ψの最適化は、フィーチャの縁部間での最も狭い勾配ができるだけ急峻になるように保証する。必要に応じて、異なる臨界寸法公差を反映するために、各位置において重み係数が対数勾配に適用されてもよい。指標u及びvは、イメージ領域内において、明るくなければならないサンプル・ポイント(例えば、図10に示されるポイント614、616、及び618)、及び暗くなければならないサンプル・ポイント(例えば、図10に示されるポイント601、602、及び603)をそれぞれ示す。
ブロック530及び540において、i)Sj光源領域のサイズに対して、幾何学的制約SMax、jを課すために(ブロック540)、ii)受け入れ可能な最小の瞳孔充填SMinの達成を要求するために(ブロック540)、iii)全ての縁部(例えば、図10、図16及び図12に示されるポイント604、606、608、601、及び612)が、共通の(但し事前に指定されない)強度Q810(図12参照)で印刷されるように強制することにより、印刷パターン内でのライン短縮及び他の臨界寸法誤差を阻止するために(ブロック530)、iv)明領域(例えば、図10のポイント614、616、及び618)での十分な露光(項IBrightQで示される)を要求するために(ブロック530)、及びv)暗領域(例えば、図10のポイント601、602、及び603)での不要な露光(項IDarkQで示される)を阻止するために(ブロック530)、制約が課せられる。近似式(6)は、区別可能な光源変数を収差のないイメージにより定義される瞳孔ゾーンの別個のセットに制限する(図11参照)。しかしながら、各光源領域が局所的な中心点として、または領域内の等間隔のポイントの集合として近似される場合、収差を考慮することが可能である。この代替近似は本発明のこの実施例において使用され、複数の焦点面内のサンプル・ポイントが、複数の収差条件の下で含まれる。
式(6)のような部分最適化問題を解く技法が、従来知られており、一般に、それらを分子と分母との差に関するパラメトリック問題に変形する。式(6)はまた、立方多項式最適化として近似され、例えばホモトピ法により解かれる(次式の場合について後述される)。それにも拘わらず、式(6)は依然非常に難しい非線形問題である。
本発明のこの実施例では、式(6)の単純化された2つの変形がより容易に解ける事実を利用して、式(6)の近似解法が使用される。第1に、以下で詳述するように、回折波面次数を表す”ベクトルa”が固定される場合、光源強度である”ベクトルS”として、大域的な最適解を見いだすことが可能である。第2に、照明光が単一の方向(より正確には、鏡映方向の単一グループ)からだけ入射する場合、式(6)は非凸2次最適化問題に変形され、これは一般的な場合よりも容易に解くことができる(下記参照)。
また本発明の実施例では、更に別の近似が行われる。現在の全てのステッパでは、照明がNA内の方向に制限される。このとき、異なる照明ゾーンの各々に関連付けられる収集次数の様々なセットは、高度にnon-disjoint(すなわち共通部分を有する)であり、実際に各々は、回折次数の同一の限られたセットから引き出される異なる収集から成る。異なる光源方向により生成されるウエハ干渉パターンは、所与の次数の様々な数の鏡映コピーを含み得る。それにも拘わらず、限られた数の独立の振幅次数だけが、完全な照明瞳孔から収集される。このことは、異なる照明ビームにより生成される収集次数のセットが、お互いの干渉を受けないものの、独立に最適化できないことを意味する(各々が”ベクトルS”の対応する成分を調整することにより、独立に重み付け可能であっても)。例えば、この実施例では、インフォーカス(in-focus)イメージに対して、41個の独立の照明領域が存在するが、独立の次数は8個だけ、すなわち700、701、702、703、704、705、706及び716だけである。ここで次数716を示す円は、85%NA円250と僅かに交差するだけであり、その寄与はこの例では、解を大きく変化させることなく無視することができる。ここで特定の方向j1からの干渉パターンが、全ての所望の暗いイメージ・ポイント(xv、yv)(例えば図10の601、602、及び603)において、好適に暗いだけでなく、所望の明るいイメージ・ポイント(xu、yu)のサブセットu1d(例えば図10のポイント614、616、及び618のサブセット)においても暗く、
また同時に、所望の明るいイメージ・ポイント(xu、yu)の残りのサブセットu1b(例えばポイント614、616、及び618の内の残りのポイント)において明るいイメージを、同時に提供すると仮定しよう。異なる収集次数セット間の強いオーバラップが存在する場合、全ての暗ポイント(xv、yv)において暗いことに加え、別の照明領域j2からの干渉次数が、第1の次数セットが暗い所望の明イメージ・ポイント(xu、yu)のサブセットu1dにおいて明るく、同時に、前記第1のセットが暗かった所望の明イメージ・ポイントの一部において暗いということは、起こりにくいとみなすことができる。こうした状況は、同一の限られた次数セットが同時に、単に1つの照明方向の代わりに、2つの照明方向に関わる制約を満足することを要求する(但し、2重の制約は一様に決定されない)。こうした組み合わせは起こりにくいので、この実施例では、最適解がこうしたシステムを含まないことが近似と見なされる。従って、明暗領域の全ての制約を個々に満足する照明方向だけが考慮され、レチクル及び光源の図8の最適化
問題550を解く次の反復方法を導くことができる。
ステップ0)初期特徴付け:
a)JMax光源方向(この実施例では1乃至41)の各々に対して、単純化された基準の下で、大域的に最適な回折マスク振幅、すなわち”ベクトルa”の解を
計算する。例えば、縁部制約及び最小瞳孔充填を無視する(すなわち、SMinを0に初期化する)。
b)回折マスク振幅”ベクトルa”をステップ0.aで獲得された最善値に初期
化する。
ステップ1)単純化メリット関数の大域最適(ブロック551):
a)”ベクトルa”及びSMinの現在値が得られると、大域的に最適な光源分布(”ベクトルS”)を計算する。
b)ローカル・アルゴリズムを用いて、”ベクトルS”及び”ベクトルa”を一緒
に最適化する。複数の焦点面における選択イメージ・ポイントでの制約(例えば、縁部制約、暗ポイントに対する明ポイントの比率など)を含む(ブロック530)。
c)小さな増分(例えば瞳孔領域の5%)によりSMinを増加させ、ステップ1.aに戻り、瞳孔が充填されるまで(ブロック540)、または制約(ブロック530)が満足されなくなるまで、繰り返す。
ステップ2)大域解を詳細化するローカル最適化(ブロック552):
a)SMinを所望の最終レベルに固定し、ステップ1からの”ベクトルS”及び”ベクトルa”の対応する解を選択する。
b)より複雑な制約(例えば高NA、薄膜及びレジスト効果など)を有するローカル最適化技法を用いて、”ベクトルS”及び”ベクトルa”の解を詳細化する。或いは、これらのより複雑な制約基準の一部がステップ1で適用されてもよい。
ステップ3)ステップ2で獲得された回折波面(”ベクトルa”)を提供する最適レチクル・パターンを計算する。
これらのステップについて、詳細に述べることにする。
ステップ0.aで振幅セット”ベクトルaj”を計算する際、等価フィーチャ・バイアス及び最小瞳孔充填に対する制約が、ステップ1に据え置かれる。追加の単純化としてステップ0での最適化が、フィーチャ縁部を横断する隣接する明暗ポイント間の差分に対して実行される(ステップ1まで、真の対数勾配に対する最適化を据え置く)。更に、ステップ3のマスク計算まで、”ベクトルa”の振幅の全体強度スケーリングが任意に実行されうる。この実施例では、ポイント604、606、608、610及び612などの縁部ポイントの強度が、1以上になるように制限される。これは縁部の反対側の暗ポイントの強度が最小化される場合、対数勾配が差分の意味において最大化されることを意味する。次に、j番目の光源方向におけるステップ0.aの最適化問題が、次のようになる。
前記式は行列形式により、次のように書換えることができる。
行列A0、A1、..AuMaxは正値であるが、式(8)は不等制約が下限であるので、非凸問題である。
式(8)などの、非凸の2次の制約を受ける2次計画法問題は、現在活発に研究が行われている分野である。これらは一般的なケースでは、迅速に解くことができない。しかしながら、実際には、解は通常合理的なサイズの問題として得ることができる。更に、式 (8)の特殊な構造を利用して、一般的なケースの場合よりも迅速に、大域解を得ることができる。
式(8)の楕円は、共通中心を共有する。ステップ0.aの本実施例では、最適化プロセスの検索空間が、楕円と隣接する超球の球面三角測量を用いて編成され、これらの超三角形(すなわち単体)の第1のノード・セットが、楕円の主軸に沿う単位ベクトルにより定義される。次に、ノード・セットの他の半分が、これらの超三角形を分割することにより生成される。これは、各々の中点において単位ベクトルを追加することにより行われる。小サイズから中サイズの問題では、ローカル・アルゴリズムを用いて半直線(ray)に沿って可能な解を詳細化することができる。更に、初期検索ベクトルに対する楕円は、大幅に低減された次元の空間内で構成される。なぜなら、式(8)の行列A0の固有値が、非常に小さな振幅と非常に大きな振幅との間に及ばねばならず、式(1)内のパラメータρの可能な値で印刷される、広範囲な強度に対応するからである。行列A0の固有値は、暗いサンプル・ポイントに渡り異なる平均強度に対応する。明暗両サンプル・ポイントの平均強度に関して、A0を同時に対角行列化することが便利である。次に、初期検索超球が、暗ポイントの最小固有値によりカバーされるサブ空間に、次元的に制限される。ここで少なくとも1つの固有ベクトルが明サンプル・ポイントの各々に対して、1よりも大きな強度を提供するように、共同対角行列化からの十分な固有値が含まれなければならない。値1は、明サンプル・ポイントの各々における最小強度の、任意のセット・ポイントである。3つ以上の基底ベクトル・セットに対する同時対角化は、一般に可能でなく、保存される固有値及び固有ベクトルが、個々の明サンプル・ポイント間の干渉を考慮する上で十分でない。しかしながら、固有値選択は一般に、検索超球の次元(従って、その主軸が検索球面三角形を決定する楕円の次元)を約2分の1に低減し、実質的に計算時間を低減する。各半直線に沿うローカル最適化は、完全なベクトル空間内で、または少なくとも暗領域固有ベクトルを大きな割合で含む空間内で実施されるべきである。
ステップ0.aの第2の実施例は、既知の分岐限定アルゴリズムを使用する。ここでは検索空間は反復的に細分化され、解を含まない領域を除去する。しばしば、区分化は区間計算にもとづく。
ステップ0.aの第3の実施例は、既知のホモトピ・アルゴリズムを使用する。そこでは、1つ(すなわちu番目)以外の全ての不等制約の数値境界が1からtに変更され、tは0に初期化される。次に、u番目の制約がアクティブとなる。t=0におけるラグランジアンは従って、次のようになる。
”ベクトルa”の各成分に関連して、導関数を0にセットすると、解のために必
要な次の条件が得られる。
[数13]
|B|=0、但しB=A0−μAU (10)
式(10)の解は最小の固有値を有するA0の固有ベクトルであり、基本的にAUが対角行列化され、単位行列にスケール変更される。次にパラメータtが小ステップで増分され、ローカル最適化プログラムが各ステップで適用される。tが1に達するとき、式(8)は最終的に解かれる。
ホモトピ・アルゴリズムが式(8)原則的に大域最適が保証される。明サンプル・ポイントから制約境界までの距離を(強度単位で)表すスラック変数として、(”ベクトルy”)2を定義すると、式(8)のラグランジアンは次のようになる。
”ベクトルy”、”ベクトルμ”及び”ベクトルa”の変数について微分すると、次の最適条件が得られる。
式(12)は、”ベクトルy”、”ベクトルμ”及び”ベクトルa”を変数とする同時2次方程式のセットを表す。既知のように、同時多項式はホモトピにより解くことができる。解法スピードは暗ポイントの数に敏感でなく、実際、密なサンプリングが有利である。なぜなら、無数の解を含むアンダサンプルされる多様体の形成を阻止するからである(ホモトピの頑強性に影響する)。明ポイントの粗なサンプリングが使用される場合、スピードは改善される。臨界寸法の一様性は、本実施例のステップ1及び2(ブロック551及び552)でのみ強制されるので、ステップ0のラグランジアンのための明サンプリングは、サンプリング定理に準拠するレベルに維持されればよい。
ステップ0の完了に際し、本実施例は本質的に、コヒーレント解だけを提供される。コヒーレント・イメージは対称ではないが、鏡映方向からの4重の照明の下で対称になる。
ステップ1.aでの光源分布の大域最適化において、”ベクトルa”が与えられると、式(6)が”ベクトルS”について解かれる。式(6)は、変数の新たなセットに関する線形問題に変形される。
すなわち、線形問題は次のように表される。
線形計画問題を解くソフトウェアは広く入手可能であり、ここでの例は、Wolfram Research社のMathematica(商標)パッケージを用いて計算した。z0及びzについて式(14)が解かれた後、ステップ1.aでの光源強度が次式で与えられる。
前述のように、イメージ形成プロセスのフォワード・モデルにもとづき、ステップ2のローカル最適化(ブロック552)を実行することは直接的である。
本実施例のステップ3(ブロック553)は、最適化回折振幅、すなわち”ベクトルa”を提供するレチクルの計算である。最初に、”ベクトルa”のステップ2の解に矛盾しない最も明るい可能なイメージを提供する、レチクル・パターンのセットが計算される。一旦レチクル・パターンの確定セットが決定されると、当業者に既知の基準を用いてレイアウトが詳細化されなければならない。例えば、最適化パターンはマスク上に多角形として、好適には矩形のセットとして描写される。フーリエ変換がステップ2の解の相対回折次数振幅を保存する限り、矩形は非常に粗であり、例えば、臨界寸法よりも適度に小さな寸法である。
基本レチクル計算のために、フーリエ回折積分が連続マスク透過関数T(x、y)に関して、例えばマスク書込みツールのグリッド上で定義される離散サンプル・ポイントに渡る加算として近似される。透過サンプル・ポイントの2次元配列が、により指標化される未知数の1次元”ベクトルT”に分解される。すなわち、
式(16)では、記号b'が指数関数の速記として導入されている。また、最後の行では、指標wは、”ベクトルa”内のw番目の捕獲次数に対応するx、y次数指標m、nの特定の値を表す。
ステップ3は線形計画問題となる。すなわち、
ここで、Tgはマスク透過率である。式(17)の制約は、次数合計を正規化因子として使用することにより、マスク・フーリエ次数が、ステップ2からの最適化回折次数、すなわち”ベクトルa”の成分と同じ比率であることを強要する。”ベクトルa”の成分の合計がたまたま0となる場合、次数と正の係数との異なる線形組み合わせが使用されるべきである。
最適な光源としての”ベクトルS”、及びレチクルとしての”ベクトルT”を獲得する本発明は、前述の実施例に限定されるものではない。当業者であれば明らかなように、前記以外の多くの数学的方法がこの最適化問題を扱うことができる。
本発明の方法は、前述のように、コンピュータ・プログラムとして実現される。この実施例のフロー図は、前述のように、ステップ0.aが境界超球の球面三角形を用いて実行される図8及び図9に示されるものと実質的に類似である。図13は、図10の所望のパターンに対応して獲得された、最適化された光源パターン901を示し、これは本発明に従うコンピュータ・プログラムの実施例をにより得られたものである。図14は、図10のパターンを既知のクロムレス・マスク技術により、0.38のkファクタで印刷するために、本実施例において獲得された最適化されたレチクルを示す。図示のように、酸化ケイ素が異なる厚さにエッチングされ、マスク位相が0°の領域(1010)と、マスク位相が180°の他の領域(1020)とが存在する。この例はF=140nm、λ=248nm、及びNA=0.68の場合に対応する。図14の最適化されたレチクル・パターンは、図10のターゲット形状にほとんど似ていないことがわかる。
図14の曲線のレチクル・パターンは、標準の技術を用いて形成するのは困難である。しかしながら、重畳された矩形マスク形状(”マンハッタン”形状)の収集を用いて、最適化された回折パターンを近似することが可能である。但し、この場合、図14の最適化形状よりも多少効率が落ちる。図15は、図14の最適化されたレチクルをマンハッタン形状に変形する様子を示し、非ハッチング領域1110が0°マスク位相を、ハッチング領域1120が180°マスク位相を表す。ウエハ面に投影される結果のイメージが、図16に示される。これを図10の所望のフィーチャ・パターンと比較すると、縁部ポイント604、606、608、610及び612が両方の図で示されている。図16の最大強度等高線1299は、正規化強度がおおよそ0.45であるのに対して、最小等高線1211はおおよそ0.025である。図12は、直線A−A'、B−B'、C−C'、及びD−D'に沿う強度のプロットを示す。線幅の均一性が高いのと同様、コントラストも極めて高い(縁部ポイント604、606、608の強度を比較のこと)。縁部ポイントを通過する強度等高線はまた、先端部610から612に伸び、空間イメージ内でのライン短縮が排除されたことを示している。この等高線は式(6)における強度Qを表す。
図13に示される最適化された光源パターン、及び図10に示される所望のパターンのための対応する最適化回折パターンを使用する代替マスクも実現可能である。図17は、6%のクロム透過率において最適化されたこうしたレチクルを示す。空間イメージは、全体強度が低下する以外は、実質的に図16のイメージと同一である。この場合、最大プロット等高線1299は正規化強度がおおよそ0.067であるのに対して、最小プロット等高線1211はおおよそ0.0033である。
図18は、本発明の別の実施例の所望のフィーチャ・パターンの例を示し、これは例えば、ダイナミック・ランダム・アクセス・メモリ(DRAM)アレイのキャパシタ・レイアウトを示す。このパターンにおける1つの臨界寸法は、明るい矩形1400の幅1401であり、これはこの例では110nmに等しい。フィーチャ間の水平間隔1402も110nmであり、従って水平周期は220nmである。垂直周期(1405及び1408の和)は、330nmである。困難ではあるが、矩形を少なくとも1.9:1のアスペクト比(長さ1405対幅1401の比)で印刷することが望ましい。図19は、図18の所望のパターンに対して、本発明に従う前述の方法を用いて獲得された、最適化された光源パターン1501を示す。尚、λ=193nm、NA=0.6及び臨界寸法(CD)=110nmである。
図20は、クロムレス・マスク技術を用いて最適化されたレチクルを示し、非ハッチング領域1610は0°マスク位相領域に対応し、ハッチング領域1620は180°マスク位相領域に対応する。図21は、図20のレチクルのマンハッタン形状への変形を示し、非ハッチング領域1710は0°マスク位相領域に対応し、ハッチング領域1720は180°マスク位相領域に対応する。結果のイメージが図22に示され、そこでは最大プロット等高線1899は、正規化強度がおおよそ0.65であるのに対して、最小プロット等高線1811はおおよそ0.05である。図22に重畳されて示される、図18からの形状1499により示されるように、明イメージ・フィーチャは最大等高線1899上に中央揃えされる。再度、従来のマスクと異なり、軸外照明に対して最適化されたレチクルは、所望のウエハ・パターンとほとんど似ていない。明イメージ・フィーチャ1499は、実際には、図21のマスクからの曖昧なレンガ状形状1799の間に形成される。言い換えると、レチクル形状1799のターゲット・イメージ・フィーチャ1499との直接的な類似性は、偶然の一致である。等高線1890は、所望の矩形フィーチャ1499のアスペクト比に対応する所望のアスペクト比1.9:1を有する。
図23は、図19及び図21の最適化された光源及びレチクルにより獲得可能なプロセス・ウィンドウをプロットしたものである。本実施例の光源及びレチクルに起因する最適化曲線1903は、複数の焦点位置において空間イメージを計算し、次にプロットされた各集束範囲(横軸座標)を通じて、フィーチャ長及びフィーチャ幅の両方が公差内に保持される共通の露光範囲を計算することにより獲得される。長さ及び幅のそれぞれに対して、公差±30nm及び±15nmが使用される。従来技術との比較のために従来のイメージ改良技術により得られるイメージに対応する類似の曲線1901がプロットされる。そこでは、レチクルが所望のイメージ・フィーチャに対応するレンガ形状を使用し、これはクロムを繊維化して形成され、環状の光源を用いてイメージングされる。
図23のようなプロセス・ウィンドウ曲線に従う積分面積は、リソグラフィ・イメージに関連して知られるように、有用な良度指数として作用する(例えば、R. A. Ferguson、R. M. Marino及びT. A. Brunnerによる”Data analysis methods for evaluating lithographic performance”、J. Vac. Sci. Technol. B 15、no. 6(1997)、p. 2387を参照)。この測定基準(メトリック)では、本発明の最適化された光源及びレチクルのプロセス・ウィンドウは、従来のイメージ改良プロセスよりも4倍大きい。更に、公称フォーカス及び露光において最適化されたプロセスは短縮法無しに、所望のアスペクト比1.9:1を達成するのに対して、従来のプロセスでは、公称フォーカス及び露光におけるアスペクト比が、長さ±30nm及び幅±15nmの公差内に入るに過ぎない。
従来の改良技術によりライン短縮を回避することは困難である。従って、アスペクト比を緩和してもよい場合には、従来技術に従うプロセス・ウィンドウは改善される。1.8:1のアスペクト比で印刷するように調整される繊維化位相シフト・マスク及び環状光源により得られるプロセス・ウィンドウが、曲線1902により示される。公差は基本原則に従い緩和されるが、最適化された光源及びレチクルでのプロセス・ウィンドウは、従来の改良技術によるよりも、約2.5倍大きく維持される。従って、本発明に従うイメージ改良方法を使用すれば、アスペクト比の妥協は必要ない。
本発明に従い得られる最適化レチクル・パターンは、クロムレス材料(例えば図10のパターンに対応する図14または図15、或いは図18のパターンに対応する図20または図21)を使用しようと、位相シフト・クロム(例えば図10のパターンに対応する図17)を使用しようと、対応する所望のフィーチャ・パターンにほとんど似ていない。従来のように、図10または図18などの所望のフィーチャ・パターンが直接使用されてマスク・パターンが形成される場合、結果のマスク・パターンはアスペクト比を改善するために(すなわちライン短縮を防止するために)、引き延ばされる必要がある一方、コントラストを改善するためには短縮される必要がある。こうした矛盾する形状は、本発明に従う方法を用いて得られる最適化レチクル(図14、図15、図17、図20または図21)では見当たらない。(所望のパターンに合致させられる)従来のレチクルには、明フィーチャから暗イメージ領域へ光が漏れ、コントラストを低下させる問題などがある。それに対して、本発明によるレチクルはこれらの問題を回避し、更に続くトリミング露光の必要性を回避する。
図21に示される特殊なレチクルの別の新規態様は、イメージの隣接する明フィーチャ間の暗境界が、位相シフト・マスク穴からの破壊的干渉の結果として印刷されることである。言い換えると、位相相殺が明フィーチャ間の途中でイメージ光を抑制し、暗フィーチャを形成する。こうした位相相殺作用は、決して規定されるものではなく、また本発明の図8に示される方法でも示されていない。すなわち、こうした作用は、本発明により生成される全ての解において発生する訳ではない。しかしながら、こうした作用は、図8に関連して述べた本発明の最適化プロセスを用いて得られるような、一部の最適なレチクル(図21のレチクルなど)において発生することが判明している。従って、高品質リソグラフィ・イメージはときに破壊的干渉により、明パターン間の暗い分離域を印刷するように、位相シフト・マスク穴を形作ることにより獲得される。マスクが位相シフト・クロムを使用する場合、これらの相殺形状はクロム内の穴である。マスクが不透明なクロムを使用する場合、位相相殺穴がクロムの下の基板内にある深さで、すなわち、明フィーチャを生成する他の穴と180°位相が異なるようにエッチングされる。或いは、クロムレス・マスク内の位相シフト穴が使用されてもよい。位相相殺穴は、イメージ内の全ての暗フィーチャを印刷するために使用される必要はなく、代わりに一部の暗フィーチャは、不透明なクロムや位相エッジなどの既知の方法を用いて印刷されてもよい。
本発明に従う図8の方法は、必ずしもこの種のマスクを提供せず、図8のプロセスを用いて、こうしたマスクを設計する必要はない。代わりに、こうしたマスクの位相相殺フィーチャのための特定の寸法が、既知の設計プロセスを用いて獲得できる。例えば、標準のイメージ・シミュレーション方法により、試用位相相殺マスク・フィーチャのセットにより生成される暗イメージ・フィーチャの幅を計算することができる。これらのマスク・フィーチャの寸法が次に調整され、目標値からのイメージ・フィーチャの幅のずれを補正する。こうしたマスク・フィーチャの開始セットの初期寸法を選択する経験則は、それらの面積が、イメージ内の対応する暗領域の面積のほぼ70%であることである。
暗イメージ領域を、互いに180°位相の異なる交互のバーから成る位相格子を用いて印刷する技術が存在する(Smithらによる米国特許第5328785号”High Power Phase Masks for Imaging Systems”(以下スミス特許として引用))。しかしながら、これはフィーチャ間のイメージ光を積極的に抑制するために、位相相殺を利用していない。代わりに、スミス特許の格子は、全ての光をレンズ瞳孔から回折する作用をする。これを達成するために、位相シフト穴が暗領域の約50%を占有しなければならず、穴のピッチが非常に微細でなければならず、例えば、50%のラジアル瞳孔充填を有する照明の場合、0.67λ/NA以下でなければならない。イメージ形成に関する限り、スミスらによる格子により生成される光分布は、普通の透明なクロムにより生成される光分布と同じである。すなわち、両者とも光がレンズに入射するのを阻止する。従来のクロムまたはスミス特許の格子のいずれが使用されても、使用可能なリソグラフィ・レンズの限られた分解能により、隣接する明フィーチャからの光が干渉する暗領域内に漏れて印刷形状を歪め、コントラストを低下させる。(格子は吸収のない利点を有し、このことは特定のアプリケーションにおいて、マスク損傷を防止する。)
パターンの暗領域において位相シフト・クロムを使用するマスクが知られている(例えば、既に引用したT. Terasawaらによる”Imaging characteristics of multi-phase-shifting and halftone phase-shifting masks”、Japanese J. Appl. Phys. Part 1、Vol. 30、no. 11B(1991)、pages 2991-2997)。従来マスクでの位相シフトは、明フィーチャの縁部での遷移を急峻にするために使用される。しかしながら、従来の位相シフト・クロムの透過率はかなり低く、通常、開領域の約10%以下であり、その結果、破壊的干渉によるのではなく単にクロムが普通のクロム同様に光を遮るために、クロムがイメージ内で暗く印刷される。本発明の前述のマスクと、Terasawaらによる従来のマスクとの別の違いは、従来のマスクでは、クロムは暗領域を印刷するために物理的に穴を開けられることはなく、マスク穴は明イメージ領域を印刷するために使用されることである。別の違いは、これらの従来のマスクで使用されるクロムは、マスク内に設計された形状セットを構成せず、単に明イメージ領域として印刷される開形状のための、連続的な周囲(すなわち背景)に過ぎない。
本発明のこの態様の図21に示されるマスクは、設計された形状の位相シフト穴を使用することにより、破壊的干渉により、明イメージ・フィーチャ間に暗い分離域を形成するもので、従来のクロム・マスクと比較して、またはスミス特許の格子マスクと比較して、優れた分解能を達成する。分解能の改善は、位相シフト光がスミス特許のように外側に回折されるのではなく、位相相殺フィーチャにより故意にレンズ瞳孔内に回折されることにもとづく。この位相シフト光は、前述の漏れ光の一部を相殺し、分解能及びコントラストを改善する。位相シフト・マスク穴は、所望のイメージ・フィーチャが周期的な場合に限り、周期的にレイアウトされる。図21の例では、イメージ・ピッチy(660nm)が約2.05λ/NAであり、これは位相シフト穴からの光がリソグラフィ・レンズによりに収集されるのに十分大きく、暗フィーチャを破壊的干渉によりイメージ内に生成することを可能にする。
以上、本発明は特定の実施例について述べてきたが、前述の説明から、当業者であれば多くの代替実施例、変更及び変形が明らかとなろう。従って、本発明はこうした全ての代替実施例、変更及び変形をその趣旨及び範囲に含むものである。
まとめとして、本発明の構成に関して以下の事項を開示する。
(1)光活性材料を有する半導体ウエハ上に集積回路パターンを印刷する方法であって、
少なくとも1つのウエハ・フィーチャ要素を有する所望のウエハ・フィーチャ・パターンを提供するステップと、
少なくとも1つの光源パラメータを有する光源と、少なくとも1つの回折フィーチャを有するレチクルと、少なくとも1つのイメージ強度を有するイメージとの間の関係を示すメリット関数を導出するステップと、
前記所望のウエハ・フィーチャ・パターンに関連して、前記少なくとも1つのイメージ強度が満足しなければならない少なくとも1つの制約を選択するステップと、
前記メリット関数が前記少なくとも1つの制約に従い最適化されるように、前記少なくとも1つの光源パラメータ及び前記少なくとも1つの回折フィーチャの組み合わせを選択するステップと、
前記レチクルを前記光源からの照明エネルギにより照射することにより、前記照明エネルギが前記レチクルにより回折され、レンズ口径を通じて投影されて、前記少なくとも1つのイメージ強度を前記ウエハ上に形成するステップと、
前記光活性材料を前記少なくとも1つのイメージ強度に露光するステップと、
露光された前記光活性材料を現像して、印刷フィーチャを形成するステップと
を含み、前記印刷フィーチャが前記制約に従い、前記所望のウエハ・フィーチャ・パターンの前記少なくとも1つのウエハ・フィーチャ要素に合致する方法。
(2)前記少なくとも1つの光源パラメータが光源方向及び光源強度を含む、前記(1)記載の方法。
(3)前記照明エネルギが前記レチクルにより回折されるとき、前記少なくとも1つの回折フィーチャが少なくとも1つの回折振幅を生成し、
前記メリット関数が前記少なくとも1つの回折振幅を含む関係を示し、
前記光源パラメータと前記回折フィーチャとの組み合わせを選択するステップが、
前記メリット関数が前記少なくとも1つの制約に従い最適化されるように、
前記少なくとも1つの回折振幅を選択するステップと、
前記回折フィーチャが選択された前記光源パラメータに従い、前記光源により照射されるとき、選択された前記少なくとも1つの回折振幅が生成されるように、前記回折フィーチャを形成するステップと
を含む、前記(1)記載の方法。
(4)前記少なくとも1つの回折振幅が、前記レンズ口径の第1象限内で収集される第1の振幅と、前記レンズ口径の第2象限内で収集される第2の振幅とを含み、前記第1の振幅が前記第2の振幅とは独立に選択される、前記(3)記載の方法。
(5)前記少なくとも1つの制約が、前記少なくとも1つのウエハ・フィーチャ要素の縁部に対応する、前記イメージの選択ポイントにおける所定のイメージ強度を含む、前記(1)記載の方法。
(6)前記メリット関数が、前記少なくとも1つのウエハ・フィーチャ要素の縁部に対応する、前記イメージの選択ポイントにおける前記少なくとも1つのイメージ強度の導関数を含み、前記導関数が前記縁部に垂直な方向を有する、前記(1)記載の方法。
(7)前記少なくとも1つの光源パラメータが、光源振幅を有する光源方向を含み、前記少なくとも回折フィーチャ上の前記光源方向からの照明が、少なくとも1つの回折振幅を生成し、前記組み合わせを選択するステップが、
前記所望のウエハ・フィーチャ・パターンに従い、方向空間内でオーバラップする回折次数を有する、複数の光源方向を選択するステップと、
前記メリット関数から、単純化された関数を導出するステップと、
選択された光源振幅において、前記複数の光源方向の各々に対応する回折振幅を計算し、前記単純化された関数が大域的に最適化されるように、計算された前記回折振幅と、光源方向と、光源振幅との第1の最適組み合わせを選択するステップと、
前記第1の最適組み合わせを最初の解として用いて、前記メリット関数が局所的に最適化されるように、及び前記メリット関数が前記少なくとも1つの制約に従い局所的に最適化されるように、少なくとも1つの光源方向と、少なくとも1つの光源振幅と、少なくとも1つの回折振幅との最終的な最適組み合わせを選択するステップと、
前記回折フィーチャが、選択された前記少なくとも1つの光源方向からの前記光源により照射されるとき、選択された前記少なくとも1つの回折振幅が生成されるように、前記回折フィーチャを形成するステップと
を含む、前記(1)記載の方法。
(8)前記回折フィーチャを形成するステップが、重畳矩形形状を用いて前記回折フィーチャを近似するステップを含む、前記(7)記載の方法。
(9)エネルギをレンズ口径を通じて投じ、イメージ・パターンをウエハ上に形成するための光源パラメータと、回折マスク・フィーチャとの組み合わせを選択する方法であって、
少なくとも1つのウエハ・フィーチャ要素を有する所望のウエハ・フィーチャ・パターンを提供するステップと、
少なくとも1つの光源パラメータを有する光源と、少なくとも1つの回折フィーチャを有するレチクルと、少なくとも1つのイメージ強度を有するイメージとの間の関係を示すメリット関数を導出するステップと、
前記所望のウエハ・フィーチャ・パターンに関連して、前記少なくとも1つのイメージ強度が満足しなければならない少なくとも1つの制約を選択するステップと、
前記メリット関数が前記少なくとも1つの制約に従い最適化されるように、前記少なくとも1つの光源パラメータと、前記少なくとも1つの回折フィーチャとの組み合わせを選択するステップと
を含む、方法。
(10)前記少なくとも1つの光源パラメータが光源方向及び光源強度を含む、前記(9)記載の方法。
(11)前記照明エネルギが前記レチクルにより回折されるとき、前記少なくとも1つの回折フィーチャが少なくとも1つの回折振幅を生成し、
前記メリット関数が前記少なくとも1つの回折振幅を含む関係を示し、
前記光源パラメータと前記回折フィーチャとの組み合わせを選択するステップが、
前記メリット関数が前記少なくとも1つの制約に従い最適化されるように、前記少なくとも1つの回折振幅を選択するステップと、
前記回折フィーチャが選択された前記光源パラメータに従い、前記光源により照射されるとき、選択された前記少なくとも1つの回折振幅が生成されるように、前記回折フィーチャを形成するステップと
を含む、前記(9)記載の方法。
(12)前記少なくとも1つの回折振幅が、前記レンズ口径の第1象限内で収集される第1の振幅と、前記レンズ口径の第2象限内で収集される第2の振幅とを含み、前記第1の振幅が前記第2の振幅とは独立に選択される、前記(11)記載の方法。
(13)前記少なくとも1つの制約が、前記少なくとも1つのウエハ・フィーチャ要素の縁部に対応する、前記イメージの選択ポイントにおける所定のイメージ強度を含む、前記(9)記載の方法。
(14)前記メリット関数が、前記少なくとも1つのウエハ・フィーチャ要素の縁部に対応する、前記イメージの選択ポイントにおける前記少なくとも1つのイメージ強度の導関数を含み、前記導関数が前記縁部に垂直な方向を有する、前記(9)記載の方法。
(15)前記少なくとも1つの光源パラメータが、光源振幅を有する光源方向を含み、前記少なくとも回折フィーチャ上の前記光源方向からの照明が、少なくとも1つの回折振幅を生成し、前記組み合わせを選択するステップが、
前記所望のウエハ・フィーチャ・パターンに従い、方向空間内でオーバラップする回折次数を有する、複数の光源方向を選択するステップと、
前記メリット関数から、単純化された関数を導出するステップと、
選択された光源振幅において、前記複数の光源方向の各々に対応する回折振幅を計算し、前記単純化された関数が大域的に最適化されるように、計算された前記回折振幅と、光源方向と、光源振幅との第1の最適組み合わせを選択するステップと、
前記第1の最適組み合わせを最初の解として用いて、前記メリット関数が局所的に最適化されるように、及び前記メリット関数が前記少なくとも1つの制約に従い局所的に最適化されるように、少なくとも1つの光源方向と、少なくとも1つの光源振幅と、少なくとも1つの回折振幅との最終的な最適組み合わせを選択するステップと、
前記回折フィーチャが、選択された前記少なくとも1つの光源方向からの前記光源により照射されるとき、選択された前記少なくとも1つの回折振幅が生成されるように、前記回折フィーチャを形成するステップと
を含む、前記(9)記載の方法。
(16)エネルギをレンズ口径を通じて投じ、所望のイメージを形成するための光源パラメータと、回折マスク・フィーチャとの組み合わせを選択する方法をコンピュータに実行させる、コンピュータ可読命令を含むコンピュータ・プログラム製品であって、前記方法が、
少なくとも1つのウエハ・フィーチャ要素を有する所望のウエハ・フィーチャ・パターンを記憶するステップと、
少なくとも1つの光源パラメータを有する光源と、少なくとも1つの回折フィーチャを有するレチクルと、少なくとも1つのイメージ強度を有するイメージとの間の関係を示すメリット関数を計算するステップと、
前記所望のウエハ・フィーチャ・パターンに関連して、前記少なくとも1つのイメージ強度が満足しなければならない少なくとも1つの制約を記憶するステップと、
前記メリット関数が前記少なくとも1つの制約に従い最適化されるように、前記少なくとも1つの光源パラメータと、前記少なくとも1つの回折フィーチャとの組み合わせを選択するステップと
を含む、コンピュータ・プログラム製品。
(17)前記少なくとも1つの光源パラメータが光源方向及び光源強度を含む、前記(16)記載のコンピュータ・プログラム製品。
(18)前記照明エネルギが前記レチクルにより回折されるとき、前記少なくとも1つの回折フィーチャが少なくとも1つの回折振幅を生成し、
前記メリット関数が前記少なくとも1つの回折振幅を含む関係を示し、
前記光源パラメータと前記回折フィーチャとの組み合わせを選択するステップが、
前記メリット関数が前記少なくとも1つの制約に従い最適化されるように、前記少なくとも1つの回折振幅を選択するステップと、
前記回折フィーチャが選択された前記光源パラメータに従い、前記光源により照射されるとき、選択された前記少なくとも1つの回折振幅が生成されるように、前記回折フィーチャの特性を計算するステップと
を含む、前記(16)記載のコンピュータ・プログラム製品。
(19)前記少なくとも1つの回折振幅が、前記レンズ口径の第1象限内で収集される第1の振幅と、前記レンズ口径の第2象限内で収集される第2の振幅とを含み、前記第1の振幅が前記第2の振幅とは独立に選択される、前記(18)記載のコンピュータ・プログラム製品。
(20)前記少なくとも1つの制約が、前記少なくとも1つのウエハ・フィーチャ要素の縁部に対応する、前記イメージの選択ポイントにおける所定のイメージ強度を含む、前記(16)記載のコンピュータ・プログラム製品。
(21)前記メリット関数が、前記少なくとも1つのウエハ・フィーチャ要素の縁部に対応する、前記イメージの選択ポイントにおける前記少なくとも1つのイメージ強度の導関数を含み、前記導関数が前記縁部に垂直な方向を有する、前記(16)記載のコンピュータ・プログラム製品。
(22)前記少なくとも1つの光源パラメータが、光源振幅を有する光源方向を含み、前記少なくとも回折フィーチャ上の前記光源方向からの照明が、少なくとも1つの回折振幅を生成し、前記組み合わせを選択するステップが、
前記所望のウエハ・フィーチャ・パターンに従い、方向空間内でオーバラップする回折次数を有する、複数の光源方向を記憶するステップと、
前記メリット関数から導出される単純化された関数を計算するステップと、
選択された光源振幅において、前記複数の光源方向の各々に対応する回折振幅を計算し、前記単純化された関数が大域的に最適化されるように、計算された前記回折振幅と、光源方向と、光源振幅との第1の最適組み合わせを選択するステップと、
前記第1の最適組み合わせを最初の解として用いて、前記メリット関数が局所的に最適化されるように、及び前記メリット関数が前記少なくとも1つの制約に従い局所的に最適化されるように、少なくとも1つの光源方向と、少なくとも1つの光源振幅と、少なくとも1つの回折振幅との最終的な最適組み合わせを選択するステップと、
前記回折フィーチャが、選択された前記少なくとも1つの光源方向からの前記光源により照射されるとき、選択された前記少なくとも1つの回折振幅が生成されるように、前記回折フィーチャの特性を計算するステップと
を含む、前記(16)記載のコンピュータ・プログラム製品。
(23)前記調整可能な回折フィーチャの特性を計算するステップが、重畳矩形形状を用いて、前記回折フィーチャを近似するステップを含む、前記(22)記載のコンピュータ・プログラム製品。
(24)エネルギをレンズ口径を通じて投じ、所望のイメージを形成するための光源パラメータと、回折マスク・フィーチャとの組み合わせを選択する方法を実行する、マシン実行可能命令のプログラムが記憶されるマシン可読記憶媒体であって、前記方法が、
少なくとも1つのウエハ・フィーチャ要素を有する所望のウエハ・フィーチャ・パターンを記憶するステップと、
少なくとも1つの光源パラメータを有する光源と、少なくとも1つの回折フィーチャを有するレチクルと、少なくとも1つのイメージ強度を有するイメージとの間の関係を示すメリット関数を計算するステップと、
前記所望のウエハ・フィーチャ・パターンに関連して、前記少なくとも1つのイメージ強度が満足しなければならない少なくとも1つの制約を記憶するステップと、
前記メリット関数が前記少なくとも1つの制約に従い最適化されるように、前記少なくとも1つの光源パラメータと、前記少なくとも1つの回折フィーチャとの組み合わせを選択するステップと
を含む、マシン可読記憶媒体。
(25)前記少なくとも1つの光源パラメータが光源方向及び光源強度を含む、前記(24)記載のマシン可読記憶媒体。
(26)前記照明エネルギが前記レチクルにより回折されるとき、前記少なくとも1つの回折フィーチャが少なくとも1つの回折振幅を生成し、
前記メリット関数が前記少なくとも1つの回折振幅を含む関係を示し、
前記光源パラメータと前記回折フィーチャとの組み合わせを選択するステップが、
前記メリット関数が前記少なくとも1つの制約に従い最適化されるように、前記少なくとも1つの回折振幅を選択するステップと、
前記回折フィーチャが選択された前記光源パラメータに従い、前記光源により照射されるとき、選択された前記少なくとも1つの回折振幅が生成されるように、前記回折フィーチャを形成するステップと
を含む、前記(24)記載のマシン可読記憶媒体。
(27)前記少なくとも1つの回折振幅が、前記レンズ口径の第1象限内で収集される第1の振幅と、前記レンズ口径の第2象限内で収集される第2の振幅とを含み、前記第1の振幅が前記第2の振幅とは独立に選択される、前記(26)記載のマシン可読記憶媒体。
(28)前記回折フィーチャを形成するステップが、重畳矩形形状を用いて、前記回折フィーチャを近似するステップを含む、前記(24)記載のマシン可読記憶媒体。
(29)前記少なくとも1つの制約が、前記少なくとも1つのウエハ・フィーチャ要素の縁部に対応する、前記イメージの選択ポイントにおける所定のイメージ強度を含む、前記(24)記載のマシン可読記憶媒体。
(30)前記メリット関数が、前記少なくとも1つのウエハ・フィーチャ要素の縁部に対応する、前記イメージの選択ポイントにおける前記少なくとも1つのイメージ強度の導関数を含み、前記導関数が前記縁部に垂直な方向を有する、前記(24)記載のマシン可読記憶媒体。
(31)前記少なくとも1つの光源パラメータが、光源振幅を有する光源方向を含み、前記少なくとも回折フィーチャ上の前記光源方向からの照明が、少なくとも1つの回折振幅を生成し、前記組み合わせを選択するステップが、
前記所望のウエハ・フィーチャ・パターンに従い、方向空間内でオーバラップする回折次数を有する、複数の光源方向を選択するステップと、
前記メリット関数から、単純化された関数を導出するステップと、
選択された光源振幅において、前記複数の光源方向の各々に対応する回折振幅を計算し、前記単純化された関数が大域的に最適化されるように、計算された前記回折振幅と、光源方向と、光源振幅との第1の最適組み合わせを選択するステップと、
前記第1の最適組み合わせを最初の解として用いて、前記メリット関数が局所的に最適化されるように、及び前記メリット関数が前記少なくとも1つの制約に従い局所的に最適化されるように、少なくとも1つの光源方向と、少なくとも1つの光源振幅と、少なくとも1つの回折振幅との最終的な最適組み合わせを選択するステップと、
前記回折フィーチャが、選択された前記少なくとも1つの光源方向からの前記光源により照射されるとき、選択された前記少なくとも1つの回折振幅が生成されるように、前記回折フィーチャの特性を形成するステップと
を含む、前記(24)記載のマシン可読記憶媒体。
(32)前記回折フィーチャを形成するステップが、重畳矩形形状を用いて、前記回折フィーチャを近似するステップを含む、前記(24)記載のマシン可読記憶媒体。
(33)光活性材料を含む半導体ウエハ上に所望のウエハ・フィーチャ・パターンを印刷するリソグラフィ・システムであって、
少なくとも1つの光源パラメータを有する光源と、
少なくとも1つの回折フィーチャを有するレチクルと、
レンズと
を含み、前記光源が、複数の回折振幅を生成するように前記レチクルを照射し、前記複数の回折振幅が前記レンズにより収集され、前記半導体ウエハ上に投影されて、少なくとも1つのイメージ強度を有するイメージを形成するように、前記光源、前記レチクル及び前記レンズが配列され、
前記少なくとも1つの光源パラメータと、前記少なくとも1つの回折フィーチャとが、前記少なくとも1つの光源パラメータと、前記複数の回折振幅と、前記少なくとも1つのイメージ強度との間の関係を示すメリット関数に従い選択され、前記メリット関数が、前記少なくとも1つのイメージ強度が満足しなければならない、少なくとも1つの制約に従い最適化され、
前記光活性材料を前記少なくとも1つのイメージ強度に露光し、露光された前記光活性材料を現像することにより、所望の前記ウエハ・フィーチャ・パターンに合致する少なくとも1つの印刷フィーチャを形成するリソグラフィ・システム。
(34)照明エネルギを回折して、強度パターンを有する所望のイメージ・パターンを形成するレチクルであって、前記所望のイメージ・パターンが、所定の明しきい値を超える強度を有する明領域と、所定の暗しきい値以下の強度を有する暗領域とを有し、前記暗領域が回折照明エネルギの破壊的干渉により形成されるように、前記レチクルが位相シフト材料のパターンを含むレチクル。
(35)前記位相シフト材料が位相シフト・クロム材料を含む、前記(34)記載のレチクル。
従来の典型的なリソグラフィ・システムの主要要素を示す図である。 従来技術における、回折波面の電界振幅とイメージ・プレーンでの強度との関係を示す図である。 本発明の1実施例の所望のイメージ・パターンの単位セルを示す図である。 図3の単位セルにおいて、軸上照明から収集される次数を示す図である。 図3の単位セルにおいて、軸外照明から収集される次数を示す図である。 例えば分離レベルにおける、所望のウエハ・フィーチャ・パターンを示す図である。 ライン短縮を補正するバイアス形状を使用する、従来のマスクを示す図である。 本発明に従い所望のウエハ・パターンを印刷する方法の実施例を示す、フローチャートである。 本発明に従い、単純化されたメリット関数の解法の実施例を示すフローチャートである。 例えば分離レベルにおける、本発明の1実施例の所望のフィーチャ・パターンを示す図である。 方向空間内でプロットされた、図10のパターンに対応する回折次数または光源方向を示す図である。 図10のパターンを生成するために要求される所望のイメージ強度の切断面のプロット図である。 図10の所望のパターンのために、本発明の方法に従い獲得される最適化光源パターンを示す図である。 図10の所望のパターンのために、本発明の方法に従い、図13の最適化光源と併せて獲得される、クロムレス・マスク技術による最適化マスク・パターンを示す図である。 重畳矩形形状を用いて、図14の最適化パターンから導出されるクロムレス・マスクを示す図である。 図15のマスクと併せて、図13の最適化光源を用いて獲得されるイメージの強度等高線を示す図である。 図10の所望のパターンのために、図13の最適化光源と併せて獲得される、最適化された位相シフト・クロム・パターンから導出される重畳矩形形状を用いる位相シフト・クロム・マスクを示す図である。 例えばDRAMキャパシタ・レベルとしての、所望のウエハ・フィーチャ・パターンを示す図である。 図18のパターンのために、本発明の方法を用いて獲得される最適化光源を示す図である。 本発明に従い、図19の最適化光源と併せて獲得される、クロムレス・マスク技術による最適化マスクを示す図である。 重畳矩形形状を用いて、図20の最適化マスクから導出されるクロムレス・マスクの近似を示す図である。 図19の最適化光源と、図21のマスクとの組み合わせにより獲得されるイメージの強度等高線図であり、図21のマスクから重畳形状と、図18の所望のパターンとを含む図である。 本発明の方法に従い、図19の最適化光源と、図21のマスクとを用いて獲得されるプロセス・ウィンドウと、従来の光源及びマスクの組み合わせにより獲得されるプロセス・ウィンドウとの比較を示す図である。
符号の説明
110 光源
120 マスク(レチクル)
130 瞳孔
140 レンズ
150 イメージ・プレーン
170 強度
199 暗領域
300 中心
350 レンズの開口数(NA)
409 ギャップ
703' 円
810 強度Q
901、1501 最適化された光源パターン

Claims (14)

  1. 半導体ウエハ上にイメージを形成するための、レチクルから生じる各回折次数の回折光の波面の振幅、を求める方法であって、
    前記ウエハ上に形成されるべきイメージに対応したパターンの情報を提供するステップと、
    前記パターンの繰り返し寸法の情報と前記レチクルに入射するビームの波長とにより決まる回折方向に対応させて、前記各回折次数の回折光の波面の振幅を表す変数を選択するステップと、
    前記回折方向に基づいて、前記レチクルからの光を前記ウエハ上に投影する光学系の瞳内に前記各回折次数の回折光のうち回折次数の同一セットを導く前記レチクルに入射する軸外ビームの方向の範囲毎に前記レチクルに入射する軸外ビームの方向を区分し、前記レチクルに入射する各方向の軸外ビームの強度を表す変数を前記各区分に対応させて選択するステップと、
    前記各方向の軸外ビームの強度を表す変数と、前記各回折次数の回折光の波面の振幅を表す変数と、前記各方向の軸外ビームに対して前記各回折次数の回折光の波面により生成される前記ウエハ上でのイメージ振幅とを用い、前記各方向の軸外ビームの強度を表す変数に、それに対応する前記イメージ振幅と前記各回折次数の回折光の波面の振幅を表す変数との内積の2乗を掛けて得られる積を前記各方向にわたって加算して求められる、前記ウエハ上の各点において得られるイメージの強度を表す関数を提供するステップと、
    前記関数により表されるイメージの特性として、当該イメージの、サンプルポイントにおける対数勾配の値を示すメリット関数を提供するステップと、
    前記メリット関数の値が最大となるように、前記各回折次数の回折光の波面の振幅の解を求めるステップと、
    を含む、方法。
  2. イメージを半導体ウエハ上に形成するためのイメージング・システムであって、
    レチクルを照明する光源と、
    前記光源により照明された前記レチクルからの光を前記ウエハ上に投影する光学系と、を含み、
    前記システムは、前記ウエハ上にイメージを形成するための、前記レチクルから生じる各回折次数の回折光の波面の振幅、を求める方法にしたがって動作し、
    前記方法は、
    前記ウエハ上に形成されるべきイメージに対応したパターンの情報を提供するステップと、
    前記パターンの繰り返し寸法の情報と前記レチクルに入射するビームの波長とにより決まる回折方向に対応させて、前記各回折次数の回折光の波面の振幅を表す変数を選択するステップと、
    前記回折方向に基づいて、前記光学系の瞳内に前記各回折次数の回折光のうち回折次数の同一セットを導く前記レチクルに入射する軸外ビームの方向の範囲毎に前記レチクルに入射する軸外ビームの方向を区分し、前記レチクルに入射する各方向の軸外ビームの強度を表す変数を前記各区分に対応させて選択するステップと、
    前記各方向の軸外ビームの強度を表す変数と、前記各回折次数の回折光の波面の振幅を表す変数と、前記各方向の軸外ビームに対して前記各回折次数の回折光の波面により生成される前記ウエハ上でのイメージ振幅とを用い、前記各方向の軸外ビームの強度を表す変数に、それに対応する前記イメージ振幅と前記各回折次数の回折光の波面の振幅を表す変数との内積の2乗を掛けて得られる積を前記各方向にわたって加算して求められる、前記ウエハ上の各点において得られるイメージの強度を表す関数を提供するステップと、
    前記関数により表されるイメージの特性として、当該イメージの、サンプルポイントにおける対数勾配の値を示すメリット関数を提供するステップと、
    前記メリット関数の値が最大となるように、前記各回折次数の回折光の波面の振幅の解を求めるステップと、
    を含む、イメージング・システム。
  3. 半導体ウエハ上にイメージを形成するための、レチクルから生じる各回折次数の回折光の波面の振幅、を求める方法をコンピュータに実行させる、コンピュータ可読命令を含むプログラムであって、
    前記方法は、
    前記ウエハ上に形成されるべきイメージに対応したパターンの情報を提供するステップと、
    前記パターンの繰り返し寸法の情報と前記レチクルに入射するビームの波長とにより決まる回折方向に対応させて、前記各回折次数の回折光の波面の振幅を表す変数を選択するステップと、
    前記回折方向に基づいて、前記レチクルからの光を前記ウエハ上に投影する光学系の瞳内に前記各回折次数の回折光のうち回折次数の同一セットを導く前記レチクルに入射する軸外ビームの方向の範囲毎に前記レチクルに入射する軸外ビームの方向を区分し、前記レチクルに入射する各方向の軸外ビームの強度を表す変数を前記各区分に対応させて選択するステップと、
    前記各方向の軸外ビームの強度を表す変数と、前記各回折次数の回折光の波面の振幅を表す変数と、前記各方向の軸外ビームに対して前記各回折次数の回折光の波面により生成される前記ウエハ上でのイメージ振幅とを用い、前記各方向の軸外ビームの強度を表す変数に、それに対応する前記イメージ振幅と前記各回折次数の回折光の波面の振幅を表す変数との内積の2乗を掛けて得られる積を前記各方向にわたって加算して求められる、前記ウエハ上の各点において得られるイメージの強度を表す関数を提供するステップと、
    前記関数により表されるイメージの特性として、当該イメージの、サンプルポイントにおける対数勾配の値を示すメリット関数を提供するステップと、
    前記メリット関数の値が最大となるように、前記各回折次数の回折光の波面の振幅の解を求めるステップと、
    を含む、プログラム。
  4. 前記方法は、前記解を求めるステップで求められた前記各回折次数の回折光の波面の振幅が前記レチクルから生じるように、前記レチクルのパターンを計算するステップをさらに含む、請求項3記載のプログラム。
  5. 前記解を求めるステップは、さらに、前記イメージの選択されたポイントにおけるイメージ強度が満たすべき条件を示す制約に従って、前記解を求める、請求項3記載のプログラム。
  6. 前記サンプルポイントは、前記イメージの要素の縁部ポイントである、請求項3記載のプログラム。
  7. 前記レチクルのパターンを計算するステップは、前記解に基づいて計算された前記レチクルのパターンを、重畳された矩形形状を用いて近似する、請求項4記載のプログラム。
  8. 半導体ウエハ上にイメージを形成するための、レチクルに入射する各方向の軸外ビームの強度と、該レチクルから生じる各回折次数の回折光の波面の振幅と、を求める方法であって、
    前記ウエハ上に形成されるべきイメージに対応したパターンの情報を提供するステップと、
    前記パターンの繰り返し寸法の情報と前記軸外ビームの波長の情報とにより決まる回折方向に対応させて、前記各回折次数の回折光の波面の振幅を表す変数を選択するステップと、
    前記回折方向に基づいて、前記レチクルからの光を前記ウエハ上に投影する光学系の瞳内に前記各回折次数の回折光のうち回折次数の同一セットを導く前記レチクルに入射する軸外ビームの方向の範囲毎に前記レチクルに入射する軸外ビームの方向を区分し、前記各方向の軸外ビームの強度を表す変数を前記各区分に対応させて選択するステップと、
    前記各方向の軸外ビームの強度を表す変数と、前記各回折次数の回折光の波面の振幅を表す変数と、前記各方向の軸外ビームに対して前記各回折次数の回折光の波面により生成される前記ウエハ上でのイメージ振幅とを用い、前記各方向の軸外ビームの強度を表す変数に、それに対応する前記イメージ振幅と前記各回折次数の回折光の波面の振幅を表す変数との内積の2乗を掛けて得られる積を前記各方向にわたって加算して求められる、前記ウエハ上の各点において得られるイメージの強度を表す関数を提供するステップと、
    前記関数により表されるイメージの特性として、当該イメージの、サンプルポイントにおける対数勾配の値を示すメリット関数を提供するステップと、
    前記メリット関数の値が最大となるように、前記各方向の軸外ビームの強度と前記各回折次数の回折光の波面の振幅とのそれぞれの解を求めるステップと、
    を含む、方法。
  9. イメージを半導体ウエハ上に形成するためのイメージング・システムであって、
    レチクルを照明する光源と、
    前記光源により照明された前記レチクルからの光を前記ウエハ上に投影する光学系と、を含み、
    前記システムは、前記ウエハ上にイメージを形成するための、前記レチクルに入射する各方向の軸外ビームの強度と、該レチクルから生じる各回折次数の回折光の波面の振幅と、を求める方法にしたがって動作し、
    前記方法は、
    前記ウエハ上に形成されるべきイメージに対応したパターンの情報を提供するステップと、
    前記パターンの繰り返し寸法の情報と前記軸外ビームの波長の情報とにより決まる回折方向に対応させて、前記各回折次数の回折光の波面の振幅を表す変数を選択するステップと、
    前記回折方向に基づいて、前記光学系の瞳内に前記各回折次数の回折光のうち回折次数の同一セットを導く前記レチクルに入射する軸外ビームの方向の範囲毎に前記レチクルに入射する軸外ビームの方向を区分し、前記各方向の軸外ビームの強度を表す変数を前記各区分に対応させて選択するステップと、
    前記各方向の軸外ビームの強度を表す変数と、前記各回折次数の回折光の波面の振幅を表す変数と、前記各方向の軸外ビームに対して前記各回折次数の回折光の波面により生成される前記ウエハ上でのイメージ振幅とを用い、前記各方向の軸外ビームの強度を表す変数に、それに対応する前記イメージ振幅と前記各回折次数の回折光の波面の振幅を表す変数との内積の2乗を掛けて得られる積を前記各方向にわたって加算して求められる、前記ウエハ上の各点において得られるイメージの強度を表す関数を提供するステップと、
    前記関数により表されるイメージの特性として、当該イメージの、サンプルポイントにおける対数勾配の値を示すメリット関数を提供するステップと、
    前記メリット関数の値が最大となるように、前記各方向の軸外ビームの強度と前記各回折次数の回折光の波面の振幅とのそれぞれの解を求めるステップと、
    を含む、方法。
  10. 半導体ウエハ上にイメージを形成するための、レチクルに入射する各方向の軸外ビームの強度と、該レチクルから生じる各回折次数の回折光の波面の振幅と、を求める方法をコンピュータに実行させる、コンピュータ可読命令を含むプログラムであって、
    前記方法は、
    前記ウエハ上に形成されるべきイメージに対応したパターンの情報を提供するステップと、
    前記パターンの繰り返し寸法の情報と前記軸外ビームの波長の情報とにより決まる回折方向に対応させて、前記各回折次数の回折光の波面の振幅を表す変数を選択するステップと、
    前記回折方向に基づいて、前記レチクルからの光を前記ウエハ上に投影する光学系の瞳内に前記各回折次数の回折光のうち回折次数の同一セットを導く前記レチクルに入射する軸外ビームの方向の範囲毎に前記レチクルに入射する軸外ビームの方向を区分し、前記各方向の軸外ビームの強度を表す変数を前記各区分に対応させて選択するステップと、
    前記各方向の軸外ビームの強度を表す変数と、前記各回折次数の回折光の波面の振幅を表す変数と、前記各方向の軸外ビームに対して前記各回折次数の回折光の波面により生成される前記ウエハ上でのイメージ振幅とを用い、前記各方向の軸外ビームの強度を表す変数に、それに対応する前記イメージ振幅と前記各回折次数の回折光の波面の振幅を表す変数との内積の2乗を掛けて得られる積を前記各方向にわたって加算して求められる、前記ウエハ上の各点において得られるイメージの強度を表す関数を提供するステップと、
    前記関数により表されるイメージの特性として、当該イメージの、サンプルポイントにおける対数勾配の値を示すメリット関数を提供するステップと、
    前記メリット関数の値が最大となるように、前記各方向の軸外ビームの強度と前記各回折次数の回折光の波面の振幅とのそれぞれの解を求めるステップと、
    を含む、プログラム。
  11. 前記方法は、前記解を求めるステップで求められた前記各回折次数の回折光の波面の振幅が前記レチクルから生じるように、前記レチクルのパターンを計算するステップをさらに含む、請求項10記載のプログラム。
  12. 前記解を求めるステップは、さらに、前記イメージの選択されたポイントにおけるイメージ強度が満たすべき条件を示す制約に従って、前記解を求める、請求項10記載のプログラム。
  13. 前記サンプルポイントは、前記イメージの要素の縁部ポイントである、請求項10記載のプログラム。
  14. 前記レチクルのパターンを計算するステップは、前記解に基づいて計算された前記レチクルのパターンを、重畳された矩形形状を用いて近似する、請求項11記載のプログラム。
JP2006221244A 2001-01-29 2006-08-14 照明及びレチクルの最適化により、印刷ラインの形状歪みを最小化するシステム及び方法 Expired - Fee Related JP5057555B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/771,793 US6563566B2 (en) 2001-01-29 2001-01-29 System and method for printing semiconductor patterns using an optimized illumination and reticle
US09/771793 2001-01-29

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2002016872A Division JP2002261004A (ja) 2001-01-29 2002-01-25 照明及びレチクルの最適化により、印刷ラインの形状歪みを最小化するシステム及び方法

Publications (2)

Publication Number Publication Date
JP2006303549A JP2006303549A (ja) 2006-11-02
JP5057555B2 true JP5057555B2 (ja) 2012-10-24

Family

ID=25092989

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2002016872A Pending JP2002261004A (ja) 2001-01-29 2002-01-25 照明及びレチクルの最適化により、印刷ラインの形状歪みを最小化するシステム及び方法
JP2006221243A Expired - Fee Related JP5057554B2 (ja) 2001-01-29 2006-08-14 照明及びレチクルの最適化により、印刷ラインの形状歪みを最小化するシステム及び方法
JP2006221244A Expired - Fee Related JP5057555B2 (ja) 2001-01-29 2006-08-14 照明及びレチクルの最適化により、印刷ラインの形状歪みを最小化するシステム及び方法
JP2006221242A Expired - Fee Related JP4979303B2 (ja) 2001-01-29 2006-08-14 照明及びレチクルの最適化により、印刷ラインの形状歪みを最小化するシステム及び方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2002016872A Pending JP2002261004A (ja) 2001-01-29 2002-01-25 照明及びレチクルの最適化により、印刷ラインの形状歪みを最小化するシステム及び方法
JP2006221243A Expired - Fee Related JP5057554B2 (ja) 2001-01-29 2006-08-14 照明及びレチクルの最適化により、印刷ラインの形状歪みを最小化するシステム及び方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2006221242A Expired - Fee Related JP4979303B2 (ja) 2001-01-29 2006-08-14 照明及びレチクルの最適化により、印刷ラインの形状歪みを最小化するシステム及び方法

Country Status (2)

Country Link
US (1) US6563566B2 (ja)
JP (4) JP2002261004A (ja)

Families Citing this family (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6563566B2 (en) * 2001-01-29 2003-05-13 International Business Machines Corporation System and method for printing semiconductor patterns using an optimized illumination and reticle
TW530336B (en) * 2001-08-21 2003-05-01 Asml Masktools Bv Lithographic method and lithographic apparatus
US6895422B2 (en) * 2001-09-13 2005-05-17 Sun Microsystems, Inc. Method and apparatus for computing roots of a polynomial equation with interval coefficients
US6915321B2 (en) * 2002-01-08 2005-07-05 Sun Microsystems, Inc. Method and apparatus for solving systems of nonlinear equations using interval arithmetic
US6922713B2 (en) * 2002-01-08 2005-07-26 Sun Microsystems, Inc. Method and apparatus for solving an unconstrained global optimization problem
TWI315027B (en) * 2002-04-23 2009-09-21 Canon Kabushiki Kaish Mask designing method, and exposure method for illuminatiing a mask and exposing an object
WO2003102696A2 (en) 2002-05-29 2003-12-11 Massachusetts Institute Of Technology A method for photolithography using multiple illuminations and a single fine feature mask
JP2004128108A (ja) * 2002-10-01 2004-04-22 Oki Electric Ind Co Ltd 投影露光装置のアパーチャ形状の最適化方法
SG116510A1 (ja) * 2002-11-12 2005-11-28
US7374865B2 (en) * 2002-11-25 2008-05-20 Intel Corporation Methods to pattern contacts using chromeless phase shift masks
US7056645B2 (en) * 2002-11-27 2006-06-06 Intel Corporation Use of chromeless phase shift features to pattern large area line/space geometries
US7594199B2 (en) * 2003-01-14 2009-09-22 Asml Masktools B.V. Method of optical proximity correction design for contact hole mask
US7245356B2 (en) * 2003-02-11 2007-07-17 Asml Netherlands B.V. Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
SG169901A1 (en) * 2003-03-31 2011-04-29 Asml Masktools Bv Source and mask optimization
US7124394B1 (en) * 2003-04-06 2006-10-17 Luminescent Technologies, Inc. Method for time-evolving rectilinear contours representing photo masks
US7698665B2 (en) * 2003-04-06 2010-04-13 Luminescent Technologies, Inc. Systems, masks, and methods for manufacturable masks using a functional representation of polygon pattern
US7480889B2 (en) * 2003-04-06 2009-01-20 Luminescent Technologies, Inc. Optimized photomasks for photolithography
EP3226073A3 (en) * 2003-04-09 2017-10-11 Nikon Corporation Exposure method and apparatus, and method for fabricating device
US6978438B1 (en) * 2003-10-01 2005-12-20 Advanced Micro Devices, Inc. Optical proximity correction (OPC) technique using generalized figure of merit for photolithograhic processing
US7234128B2 (en) * 2003-10-03 2007-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving the critical dimension uniformity of patterned features on wafers
TWI457712B (zh) 2003-10-28 2014-10-21 尼康股份有限公司 照明光學裝置、投影曝光裝置、曝光方法以及元件製造方法
TWI519819B (zh) 2003-11-20 2016-02-01 尼康股份有限公司 光束變換元件、光學照明裝置、曝光裝置、以及曝光方法
US7057709B2 (en) * 2003-12-04 2006-06-06 International Business Machines Corporation Printing a mask with maximum possible process window through adjustment of the source distribution
US7292315B2 (en) * 2003-12-19 2007-11-06 Asml Masktools B.V. Optimized polarization illumination
US7342646B2 (en) * 2004-01-30 2008-03-11 Asml Masktools B.V. Method of manufacturing reliability checking and verification for lithography process using a calibrated eigen decomposition model
EP1719019A2 (en) * 2004-02-03 2006-11-08 Mentor Graphics Corporation Source optimization for image fidelity and throughput
TWI494972B (zh) 2004-02-06 2015-08-01 尼康股份有限公司 偏光變換元件、光學照明裝置、曝光裝置以及曝光方法
US8027813B2 (en) * 2004-02-20 2011-09-27 Nikon Precision, Inc. Method and system for reconstructing aberrated image profiles through simulation
US7079223B2 (en) * 2004-02-20 2006-07-18 International Business Machines Corporation Fast model-based optical proximity correction
US7543256B1 (en) * 2004-03-01 2009-06-02 Advanced Micro Devices, Inc. System and method for designing an integrated circuit device
US20050221200A1 (en) * 2004-04-01 2005-10-06 Matrix Semiconductor, Inc. Photomask features with chromeless nonprinting phase shifting window
US7448012B1 (en) * 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US7240305B2 (en) 2004-06-02 2007-07-03 Lippincott George P OPC conflict identification and edge priority system
US7475379B2 (en) * 2004-06-23 2009-01-06 International Business Machines Corporation Methods and systems for layout and routing using alternating aperture phase shift masks
JP4528580B2 (ja) * 2004-08-24 2010-08-18 株式会社東芝 照明光源の設計方法、マスクパターン設計方法、フォトマスクの製造方法、半導体装置の製造方法、及びプログラム
CN101111850A (zh) * 2005-01-28 2008-01-23 Asml控股股份有限公司 用于基于全局优化的无掩模光刻光栅化技术的方法和系统
US7743359B2 (en) * 2005-05-02 2010-06-22 Cadence Design Systems, Inc. Apparatus and method for photomask design
KR20170089028A (ko) 2005-05-12 2017-08-02 가부시키가이샤 니콘 투영 광학계, 노광 장치 및 디바이스 제조 방법
US7537870B2 (en) * 2005-08-05 2009-05-26 Chartered Semiconductor Manufacturing, Ltd. Lithography process optimization and system
US7370313B2 (en) * 2005-08-09 2008-05-06 Infineon Technologies Ag Method for optimizing a photolithographic mask
WO2007033362A2 (en) * 2005-09-13 2007-03-22 Luminescent Technologies, Inc. Systems, masks, and methods for photolithography
US7512926B2 (en) * 2005-09-30 2009-03-31 Intel Corporation Phase-shifting masks with sub-wavelength diffractive optical elements
US7921385B2 (en) * 2005-10-03 2011-04-05 Luminescent Technologies Inc. Mask-pattern determination using topology types
WO2007041602A2 (en) * 2005-10-03 2007-04-12 Luminescent Technologies, Inc. Lithography verification using guard bands
WO2007041701A2 (en) * 2005-10-04 2007-04-12 Luminescent Technologies, Inc. Mask-patterns including intentional breaks
US7703049B2 (en) 2005-10-06 2010-04-20 Luminescent Technologies, Inc. System, masks, and methods for photomasks optimized with approximate and accurate merit functions
JP4750525B2 (ja) * 2005-10-14 2011-08-17 キヤノン株式会社 露光方法及びデバイス製造方法
US7807323B2 (en) * 2006-04-11 2010-10-05 Kabushiki Kaisha Toshiba Exposure condition setting method, semiconductor device manufacturing method, and exposure condition setting program
WO2008039674A2 (en) * 2006-09-20 2008-04-03 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
CN101192009B (zh) * 2006-11-28 2011-07-06 中芯国际集成电路制造(上海)有限公司 建立光学近接修正模型的方法
US7307690B1 (en) * 2006-12-21 2007-12-11 Asml Netherlands B.V. Device manufacturing method, computer program product and lithographic apparatus
JP5224687B2 (ja) * 2006-12-22 2013-07-03 キヤノン株式会社 露光条件算出プログラム及び露光条件算出方法
US20080158529A1 (en) * 2006-12-28 2008-07-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8576377B2 (en) 2006-12-28 2013-11-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7794921B2 (en) * 2006-12-30 2010-09-14 Sandisk Corporation Imaging post structures using x and y dipole optics and a single mask
US7587702B2 (en) * 2007-01-26 2009-09-08 International Business Machines Corporation Step-walk relaxation method for global optimization of masks
JP5345132B2 (ja) * 2007-04-25 2013-11-20 カール・ツァイス・エスエムティー・ゲーエムベーハー マイクロリソグラフィ露光装置においてマスクを照明するための照明系
US7969554B2 (en) * 2007-07-17 2011-06-28 International Business Machines Corporation Method, computer program, apparatus and system providing printing for an illumination mask for three-dimensional images
JP2009071125A (ja) * 2007-09-14 2009-04-02 Canon Inc 露光条件を決定する方法及びプログラム
JP5267029B2 (ja) 2007-10-12 2013-08-21 株式会社ニコン 照明光学装置、露光装置及びデバイスの製造方法
US8379187B2 (en) 2007-10-24 2013-02-19 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
US9116346B2 (en) 2007-11-06 2015-08-25 Nikon Corporation Illumination apparatus, illumination method, exposure apparatus, and device manufacturing method
US7673278B2 (en) * 2007-11-29 2010-03-02 Tokyo Electron Limited Enhanced process yield using a hot-spot library
DE102008054582A1 (de) 2007-12-21 2009-07-09 Carl Zeiss Smt Ag Mikrolithographische Projektionsbelichtungsanlage
US8001495B2 (en) * 2008-04-17 2011-08-16 International Business Machines Corporation System and method of predicting problematic areas for lithography in a circuit design
KR100950481B1 (ko) * 2008-06-26 2010-03-31 주식회사 하이닉스반도체 포토마스크를 이용한 홀 타입 패턴 형성방법
US8151223B2 (en) * 2008-07-14 2012-04-03 Mentor Graphics Corporation Source mask optimization for microcircuit design
JP5176876B2 (ja) * 2008-10-31 2013-04-03 富士通セミコンダクター株式会社 シミュレーション方法、シミュレーション装置、及びシミュレーションプログラム
WO2010059954A2 (en) 2008-11-21 2010-05-27 Brion Technologies Inc. Fast freeform source and mask co-optimization method
US8056023B2 (en) * 2008-12-14 2011-11-08 International Business Machines Corporation Determining manufacturability of lithographic mask by reducing target edge pairs used in determining a manufacturing penalty of the lithographic mask
US8056026B2 (en) * 2008-12-14 2011-11-08 International Business Machines Corporation Determining manufacturability of lithographic mask by selecting target edge pairs used in determining a manufacturing penalty of the lithographic mask
US8028254B2 (en) * 2008-12-14 2011-09-27 International Business Machines Corporation Determining manufacturability of lithographic mask using continuous derivatives characterizing the manufacturability on a continuous scale
JP5607308B2 (ja) * 2009-01-09 2014-10-15 キヤノン株式会社 原版データ生成プログラムおよび方法
JP5607348B2 (ja) * 2009-01-19 2014-10-15 キヤノン株式会社 原版データを生成する方法およびプログラム、ならびに、原版製作方法
US8786824B2 (en) * 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
US8683396B2 (en) * 2009-07-22 2014-03-25 Synopsys, Inc. Determining source patterns for use in photolithography
NL2005523A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.
US8739079B2 (en) * 2009-10-30 2014-05-27 Canon Kabushiki Kaisha Recording medium and determination method
JP2011108851A (ja) * 2009-11-17 2011-06-02 Canon Inc 露光装置及びデバイスの製造方法
US8551283B2 (en) 2010-02-02 2013-10-08 Apple Inc. Offset control for assembling an electronic device housing
US8463016B2 (en) * 2010-02-05 2013-06-11 Luminescent Technologies, Inc. Extending the field of view of a mask-inspection image
KR20120116329A (ko) 2010-02-20 2012-10-22 가부시키가이샤 니콘 광원 최적화 방법, 노광 방법, 디바이스 제조 방법, 프로그램, 노광 장치, 리소그래피 시스템, 광원 평가 방법 및 광원 변조 방법
US8498469B2 (en) * 2010-03-01 2013-07-30 Synopsys, Inc. Full-field mask error enhancement function
US8351037B2 (en) * 2010-07-12 2013-01-08 International Business Machines Corporation Method to match exposure tools using a programmable illuminator
US8234603B2 (en) 2010-07-14 2012-07-31 International Business Machines Corporation Method for fast estimation of lithographic binding patterns in an integrated circuit layout
US8372565B2 (en) 2010-08-31 2013-02-12 International Business Machines Corporation Method for optimizing source and mask to control line width roughness and image log slope
JP5513324B2 (ja) 2010-09-01 2014-06-04 キヤノン株式会社 決定方法、露光方法及びプログラム
JP5513325B2 (ja) 2010-09-01 2014-06-04 キヤノン株式会社 決定方法、露光方法及びプログラム
US8612903B2 (en) 2010-09-14 2013-12-17 Luminescent Technologies, Inc. Technique for repairing a reflective photo-mask
US8555214B2 (en) 2010-09-14 2013-10-08 Luminescent Technologies, Inc. Technique for analyzing a reflective photo-mask
NL2007306A (en) * 2010-09-23 2012-03-26 Asml Netherlands Bv Source polarization optimization.
JP5686567B2 (ja) * 2010-10-19 2015-03-18 キヤノン株式会社 露光条件及びマスクパターンを決定するプログラム及び方法
JP2012099596A (ja) * 2010-11-01 2012-05-24 Panasonic Corp 照明形状の最適化方法、マスク形状の最適化方法及びパターン形成方法
WO2012060099A1 (ja) * 2010-11-04 2012-05-10 株式会社ニコン 光源調整方法、露光方法、デバイス製造方法、照明光学系、及び露光装置
US8458622B2 (en) 2010-11-29 2013-06-04 Luminescent Technologies, Inc. Photo-mask acceptance technique
NL2008041A (en) * 2011-01-28 2012-07-31 Asml Netherlands Bv Lithographic apparatus and methods for determining an improved configuration of a lithographic apparatus.
US9005852B2 (en) 2012-09-10 2015-04-14 Dino Technology Acquisition Llc Technique for repairing a reflective photo-mask
US8667427B2 (en) 2011-02-24 2014-03-04 International Business Machines Corporation Method of optimization of a manufacturing process of an integrated circuit layout
JP5728259B2 (ja) * 2011-03-10 2015-06-03 キヤノン株式会社 プログラム及び決定方法
US8653454B2 (en) 2011-07-13 2014-02-18 Luminescent Technologies, Inc. Electron-beam image reconstruction
US8719735B2 (en) 2011-07-14 2014-05-06 International Business Machines Corporation Optimizing lithographic mask for manufacturability in efficient manner
US8774549B2 (en) * 2011-09-30 2014-07-08 Stmicroelectronics, Inc. Compression error handling for temporal noise reduction
JP6039910B2 (ja) 2012-03-15 2016-12-07 キヤノン株式会社 生成方法、プログラム及び情報処理装置
JP5869942B2 (ja) * 2012-04-03 2016-02-24 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation マスクのデザイン方法、プログラムおよびマスクデザインシステム
JP5656905B2 (ja) 2012-04-06 2015-01-21 キヤノン株式会社 決定方法、プログラム及び情報処理装置
CN102707582B (zh) * 2012-06-18 2013-11-27 北京理工大学 一种基于Abbe矢量成像模型的光源-掩模同步优化方法
US8984453B2 (en) 2012-06-28 2015-03-17 Applied Materials Israel, Ltd. Method and system for creation of binary spatial filters
WO2014042044A1 (ja) * 2012-09-11 2014-03-20 株式会社ニコン 瞳輝度分布の設定方法
US8927198B2 (en) 2013-01-15 2015-01-06 International Business Machines Corporation Method to print contact holes at high resolution
KR101807687B1 (ko) * 2013-02-25 2017-12-11 에이에스엠엘 네델란즈 비.브이. 이산 소스 마스크 최적화
US9091935B2 (en) 2013-03-11 2015-07-28 Kla-Tencor Corporation Multistage extreme ultra-violet mask qualification
US8856695B1 (en) 2013-03-14 2014-10-07 Samsung Electronics Co., Ltd. Method for generating post-OPC layout in consideration of top loss of etch mask layer
US9494854B2 (en) 2013-03-14 2016-11-15 Kla-Tencor Corporation Technique for repairing an EUV photo-mask
US8910089B1 (en) * 2013-06-19 2014-12-09 International Business Machines Corporation Printing process calibration and correction
CN103631096B (zh) * 2013-12-06 2015-05-20 北京理工大学 基于Abbe矢量成像模型的光源-掩模-偏振态联合优化方法
US9395622B2 (en) 2014-02-20 2016-07-19 Globalfoundries Inc. Synthesizing low mask error enhancement factor lithography solutions
US9262578B2 (en) * 2014-04-25 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit manufacturing
US9672320B2 (en) 2015-06-30 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit manufacturing
US10418290B2 (en) * 2017-02-02 2019-09-17 United Microelectronics Corp. Method of patterning semiconductor device
NL2021744A (en) * 2017-10-24 2019-04-29 Asml Netherlands Bv Projection System Calibration Method
KR20210122845A (ko) * 2019-03-08 2021-10-12 에이에스엠엘 네델란즈 비.브이. 회절 패턴 유도 소스 마스크 최적화를 위한 장치 및 방법
CN113433790B (zh) * 2021-06-29 2022-06-03 北京理工大学 一种基于信息论的成像分辨率增强方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4037969A (en) * 1976-04-02 1977-07-26 Bell Telephone Laboratories, Incorporated Zone plate alignment marks
US4326805A (en) * 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
EP0507487B1 (en) 1991-04-05 1996-12-18 Nippon Telegraph And Telephone Corporation Optical projection exposure method and system using the same
US5328785A (en) 1992-02-10 1994-07-12 Litel Instruments High power phase masks for imaging systems
KR970003593B1 (en) 1992-09-03 1997-03-20 Samsung Electronics Co Ltd Projection exposure method and device using mask
JPH0737769A (ja) * 1993-07-16 1995-02-07 Nippon Telegr & Teleph Corp <Ntt> 投影光学像のシミュレーション方法
US5447810A (en) 1994-02-09 1995-09-05 Microunity Systems Engineering, Inc. Masks for improved lithographic patterning for off-axis illumination lithography
US5501925A (en) 1994-05-27 1996-03-26 Litel Instruments High power masks and methods for manufacturing same
JP3409493B2 (ja) * 1995-03-13 2003-05-26 ソニー株式会社 マスクパターンの補正方法および補正装置
KR100190762B1 (ko) 1995-03-24 1999-06-01 김영환 사입사용 노광마스크
US5680588A (en) * 1995-06-06 1997-10-21 International Business Machines Corporation Method and system for optimizing illumination in an optical photolithography projection imaging system
US5973767A (en) 1996-08-14 1999-10-26 Lsi Logic Corporation Off-axis illuminator lens mask for photolithographic projection system
US5807649A (en) 1996-10-31 1998-09-15 International Business Machines Corporation Lithographic patterning method and mask set therefor with light field trim mask
US6259513B1 (en) * 1996-11-25 2001-07-10 Svg Lithography Systems, Inc. Illumination system with spatially controllable partial coherence
US5851701A (en) * 1997-04-01 1998-12-22 Micron Technology, Inc. Atom lithographic mask having diffraction grating and attenuated phase shifters
US6128067A (en) * 1998-04-28 2000-10-03 Kabushiki Kaisha Toshiba Correcting method and correcting system for mask pattern
KR100295049B1 (ko) * 1998-07-23 2001-11-30 윤종용 위상반전마스크제조방법
JP3761357B2 (ja) * 1999-02-22 2006-03-29 株式会社東芝 露光量モニタマスク、露光量調整方法及び半導体装置の製造方法
US6563566B2 (en) * 2001-01-29 2003-05-13 International Business Machines Corporation System and method for printing semiconductor patterns using an optimized illumination and reticle

Also Published As

Publication number Publication date
JP5057554B2 (ja) 2012-10-24
JP4979303B2 (ja) 2012-07-18
JP2002261004A (ja) 2002-09-13
JP2006332703A (ja) 2006-12-07
US20020140920A1 (en) 2002-10-03
JP2006324695A (ja) 2006-11-30
JP2006303549A (ja) 2006-11-02
US6563566B2 (en) 2003-05-13

Similar Documents

Publication Publication Date Title
JP5057555B2 (ja) 照明及びレチクルの最適化により、印刷ラインの形状歪みを最小化するシステム及び方法
JP5658317B2 (ja) モデルベースのリソグラフィ誘導レイアウト設計を実行するための方法
JP5619671B2 (ja) イメージの忠実度およびスループットに対する光源の最適化
KR100839972B1 (ko) 리소그래피 장치 및 디바이스 제조 방법
US8849008B2 (en) Determining calibration parameters for a lithographic process
JP4430595B2 (ja) 極端相互作用ピッチ領域を識別する方法、マスクを製造する方法、およびコンピュータプログラム
TWI398721B (zh) 微影系統,遮罩及方法
JP4738012B2 (ja) 高速なモデルに基づく光学的近接効果補正
US5587834A (en) Semiconductor device manufacturing method and projection exposure apparatus using the same
KR20050043713A (ko) 고유 분해 기반 opc 모델
US8365106B2 (en) Method for optimization of light effective source while target pattern is changed
TWI414901B (zh) 電腦可讀取媒體以及曝光方法
US8238644B2 (en) Fast method to model photoresist images using focus blur and resist blur
CN1680878A (zh) 使用干涉成像光刻法的部件优化
KR20100018467A (ko) 원판 데이터 작성 프로그램을 기억한 기록매체, 원판 데이터 작성 방법, 원판 제조 방법, 노광 방법 및 디바이스 제조 방법
US6180293B1 (en) Mask pattern preparing method and photomask
KR100385804B1 (ko) 마스크 데이터 보정 장치, 업샘플링 장치, 다운샘플링 장치 및 패턴 구조를 갖는 장치의 제조 방법
US7685559B2 (en) Step-walk relaxation method for global optimization of masks
US20230418260A1 (en) Lithography model generating method based on deep learning, and mask manufacturing method including the lithography model generating method

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060912

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060912

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080926

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090417

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090616

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090708

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110121

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110711

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111011

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20111019

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120413

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120612

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120727

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120730

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150810

Year of fee payment: 3

R151 Written notification of patent or utility model registration

Ref document number: 5057555

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150810

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees