JP4875492B2 - 無電解堆積のための装置 - Google Patents

無電解堆積のための装置 Download PDF

Info

Publication number
JP4875492B2
JP4875492B2 JP2006535426A JP2006535426A JP4875492B2 JP 4875492 B2 JP4875492 B2 JP 4875492B2 JP 2006535426 A JP2006535426 A JP 2006535426A JP 2006535426 A JP2006535426 A JP 2006535426A JP 4875492 B2 JP4875492 B2 JP 4875492B2
Authority
JP
Japan
Prior art keywords
substrate
processing
cell
electroless
fluid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006535426A
Other languages
English (en)
Other versions
JP2007509236A (ja
Inventor
ドミトリー ルボミルスキー,
アルルクマール シャンムガスンドラム,
イアン, エー. パンチャム,
セルゲイ ロパーティン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2007509236A publication Critical patent/JP2007509236A/ja
Application granted granted Critical
Publication of JP4875492B2 publication Critical patent/JP4875492B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1619Apparatus for electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1619Apparatus for electroless plating
    • C23C18/1628Specific elements or parts of the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1635Composition of the substrate
    • C23C18/1639Substrates other than metallic, e.g. inorganic or organic or non-conductive
    • C23C18/1642Substrates other than metallic, e.g. inorganic or organic or non-conductive semiconductor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1675Process conditions
    • C23C18/1678Heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1675Process conditions
    • C23C18/1682Control of atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1851Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material
    • C23C18/1872Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/20Pretreatment of the material to be coated of organic surfaces, e.g. resins
    • C23C18/28Sensitising or activating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Description

発明の背景
発明の分野
[0001]本発明の実施形態は、一般的に、無電解堆積プロセスを実行するための処理プラットフォームに関する。
関連技術の説明
[0002]100ナノメートル未満のサイズの特徴部のメタライゼーションは、現在および将来世代の集積回路製造プロセスの基礎的技術である。更に詳しくは、超大規模集積型デバイスのようなデバイス、すなわち100万個を超える論理ゲートを持つ集積回路を有するデバイスにおいて、これらのデバイスの心臓部に存する多層配線は、一般的に、高アスペクト比すなわち約10:1より高いアスペクト比の配線特徴に銅のような導電性材料を充填することによって形成される。従来、配線特徴を充填するために化学気相堆積および物理気相堆積のような堆積技術が使用されてきた。しかし、配線サイズが減少し、アスペクト比が増加するにつれて、従来のメタライゼーション技術によるボイドの無い(無ボイド)配線充填はどんどん難しくなる。その結果、集積回路製造プロセスにおける100ナノメートル未満のサイズの高アスペクト比の配線特徴部の無ボイド充填のための有望なプロセスとして、メッキ技術すなわち電気化学メッキおよび無電解堆積が出現した。更に、キャッピング層のようなポスト堆積層を堆積するための有望なプロセスとして、メッキプロセスおよび特に無電解堆積プロセスが出現した。
[0003]しかし、無電解堆積プロセスに関して、従来の処理装置および方法は、無電解堆積プロセスおよび結果的に得られる堆積層の欠陥比率を正確に制御するにあたって課題に直面した。更に、基板の堆積前および堆積後の洗浄、欠陥を最小限に抑えた均質な無電解層の堆積、および基板のアニールが可能な無電解堆積プロセスのための機能的一体型プラットフォームが必要である。
発明の概要
[0004]本発明の実施形態は、一般的に無電解基板処理プラットフォームを提供する。該プラットフォームは、一般的にファクトリインタフェースと呼ばれる基板インタフェースと、メインフレーム処理部とを一般的に含む。基板インタフェース部は、一般的に、堆積プロセスが行われる処理メインフレーム内に基板を送り込んだり、そこから基板を取り出すように動作する。メインフレームは、一般的にベベル洗浄セルと、ベベル洗浄セルと結合することのできる基板リンスおよびドライセルと、無電解堆積アクセサリとを含み、メインフレームロボットはそれらの全てにアクセスすることができる。更に、メインフレームと連通する状態で、または基板インタフェース部と連通する状態で、アニールステーションを位置決めすることができる。
[0005]本発明の実施形態は、一般的に流体処理プラットフォームを提供する。該流体処理プラットフォームは、基板搬送ロボットを有するメインフレームと、メインフレーム上に位置決めされた少なくとも1つの基板洗浄セルと、無電解堆積アセンブリを収容した少なくとも1つの処理エンクロージャとを含む。処理エンクロージャは、処理エンクロージャの内部と流体連通状態に位置決めされたガス供給アセンブリと、エンクロージャ内に位置決めされた第一流体処理セルと、第一流体処理セルにおける処理のために基板を支持するように位置決めされた第一基板ヘッドアセンブリと、エンクロージャ内に位置決めされた第二流体処理セルと、第二流体処理セルにおける処理のために基板を支持するように位置決めされた第二ヘッドアセンブリと、第一および第二流体処理セル間に位置決めされかつ基板を流体処理セルとメインフレームロボットとの間で基板を移送するように構成された基板シャトルとを含む。
[0006]本発明の実施形態は、更に、基板上に金属を堆積するための方法を提供する。該方法は、一般的に、堆積エンクロージャ内に位置決めされたシャトル上に基板を位置決めするステップと、約100ppm未満のエンクロージャ内の酸素含有量を提供するように堆積エンクロージャ内に不活性ガスを流入させるステップと、活性化プロセスのためにシャトルで基板を第一処理セルに搬送するステップと、および無電解堆積プロセスのためにシャトルで基板を第二流体処理セルに搬送するステップとを含む。
[0007]本発明の上記特徴を詳細に理解することができるように、簡単に要約された本発明のより具体的な説明が実施形態を参照して行われ、その実施形態のうちの一部が添付図面に示される。しかし、添付の図面は、本発明の典型的な実施形態を示すだけであり、したがって、本発明は他の同等に有効な実施形態を認めることができるので、その範囲の限定とみなすべきではないことに留意されたい。
好ましい実施形態の詳細な説明
[0017]本発明の実施形態は、一般的に、一体型無電解堆積システムまたはプラットフォームを提供する。プラットフォームは、一般的に、ファクトリインタフェース(FI)と、FIと連通状態に位置決めされたメインフレーム処理部とを含む。メインフレーム処理部は、一般的に、基板洗浄セルと、無電解堆積アセンブリと、洗浄セルおよび無電解堆積アセンブリ内の処理セルにアクセスするように構成されたロボットとを含む。アニールステーションは、堆積および/または洗浄プロセスが完了した後または代替的に所望するならば堆積プロセス前に基板をアニールするために、メインフレームと連通状態に、または基板インタフェース部と連通状態に位置決めすることができる。
[0018]図1は、本発明の例示的な処理システム100の平面図を示す。システム100は、基板収納カセットとインタフェースするように構成された、複数の基板搬入ステーション134を有するFI130を含む。ロボット132はFI130内に位置決めされ、搬入ステーション134に位置決めされたカセット内に収容されている基板にアクセスするように構成される。更に、ロボット132はまた、FI130を処理メインフレーム113に接続するリンクトンネル115内に延入する。ロボット132の位置は、搬入ステーション134にアクセスしてそこから基板を取り出し、かつその後、処理メインフレーム113上に位置決めされた処理位置114、116の1つに、または代替的にアニールステーション135に基板を送り出すことを可能にする。同様に、ロボット132は、基板処理シーケンスが完了した後、処理位置114、116またはアニールステーション135から基板を取り出すために使用することができる。この状況で、ロボット132は、基板をシステム100から取り出すために、搬入ステーション134に位置決めされたカセットの1つに戻すことができる。FI130は、処理ステップの前および/または後に基板を検査するために使用することのできる、計測/検査ステーション160を含むこともできる。計測/検査ステーションは、例えば基板のメッキ材の特性、例えば、厚さ、平坦性、粒状構造等を分析するために使用することができる。計測情報は、基板に行われるその後のプロセスの制御に使用するために、その後のプロセスハードウェアに通信することができ、あるいは代替的に、計測情報は、被測定基板が出てきた処理セルにおけるその後の処理ステップを制御するために使用することができる。本発明の実施形態で使用することのできる例示的な計測/検査ステーションは、BX−30 Advanced Interconnect Measurement SystemシステムおよびCD−SEMまたはDR−SEM検査ステーションを含み、それらは全てカリフォルニア州サンタクララのアプライドマテリアルズ社から市販されている。
[0019]アニールステーション135は、一般的に、冷却プレート136および加熱プレート137が相互に隣接して位置決めされ、基板搬送ロボット140がそれらに近接して、例えば2つのステーションの間に位置決めされた、二位置アニールステーションを含む。ロボット140は、加熱プレート137および冷却プレート136それぞれの間で基板を移動させるように構成される。更に、アニールステーション135は、リンクトンネル115からアクセスされるように位置決めされるものとして示されているが、本発明の実施形態は特定の構成または配置に限定されない。したがって、アニールステーション135は、処理メインフレーム113と直接連通状態に、すなわちメインフレームロボット120によってアクセスされるように位置決めすることができ、あるいは代替的に、アニールステーション135は処理メインフレーム113と連通状態に位置決めすることができ、すなわちアニールステーションは処理メインフレーム113と同じシステムに位置決めすることができるが、処理メインフレーム113と直接接触しなくてもよく、つまりメインフレームロボット120からアクセス可能にするすることができる。例えば、図1に示すように、アニールステーション135はリンクトンネル115と直接連通状態に位置決めすることができ、それは処理メインフレーム113へのアクセスを可能にし、したがって、アニールチャンバ135は、処理メインフレーム113と連通している状態で図示されている。アニールステーション135の更なる説明は、本願と同一譲受人に譲渡された2003年4月18日に出願された「Two Position Anneal Chamber」と題する米国特許出願第60/463,860号に見ることができ、その全体を参照として本明細書に組み入れる。
[0020]処理メインフレーム113は、中心に位置決めされた基板搬送ロボット120を含む。中心に位置決めされた基板搬送ロボット120は、一般的に、基板を支持しかつ処理メインフレーム113上の様々な位置と隣接する処理位置との間で移送するように構成された、1つ以上のアーム/ブレード122、124を含む。加えて、ロボット120および付随するブレード122、124は、一般的に、ロボット120が処理メインフレーム113に位置決めされた複数の処理位置102、104、106、108、110、112、114、116に基板を挿入したり取り出すことができるように、延長し、回転し、ジョイントを中心に枢動し(水平方向に移動し)、かつ垂直方向に移動するように構成される。ロボット120のブレード122、124は協働することができ、すなわち、それらは隣接する処理位置から基板を同時に移送することができ、あるいは代替的にブレードは個別に動作することができ、すなわち各ブレードがメインフレームの異なる側の異なる処理位置に同時にアクセスすることができる。同様に、ファクトリインタフェースロボット132もまた、ファクトリインタフェース130から処理メインフレーム113まで延びるロボットトラック150に沿って直線的に進むことができる一方、回転し、延長し、枢動し、かつその基板支持ブレードを垂直方向に移動させる能力を含む。一般的に、処理位置102、104、106、108、110、112、114、116は、基板処理システムで利用される任意の数の処理セルを含むことができる。更に詳しくは、処理セルは、電気化学メッキセル、リンスセル、ベベル洗浄セル、スピンリンスドライセル、基板表面洗浄セル(これは洗浄セル、リンスセル、およびエッチングセルを集合的に含む)、無電解堆積セル(これは前および後洗浄セル、リンスセル、活性化セル、堆積セル等を含む)、計測/検査ステーション、および/または堆積プラットフォームと共に有利に使用できる他の処理セルとして構成することができる。それぞれの処理セルおよびロボットは各々一般的にプロセスコントローラ111と連通し、該コントローラは、ユーザおよび/またはシステム100内に位置決めされた様々なセンサの両方からの入力を受け取るように構成されかつ入力に従ってシステム100の動作を適切に制御する、マイクロプロセッサベースの制御システムとすることができる。加えて処理セルは、処理中に必要な処理流体をそれぞれのセルの各々に供給するように構成された、流体送達システムとも連通する。例示的な処理流体送達システムは、本願と同一譲受人に譲渡された2003年5月14日に出願された「Multi−Chemistry Electrochemical Processing System」と題する米国特許出願第10/438,624号に見ることができ、その全体を参照として本明細書に組み入れる。
[0021]図1に示す例示的な無電解堆積システムでは、処理位置は次のように構成することができる。処理位置114および116は、処理メインフレーム113上の湿式処理ステーションと、リンクトンネル115内の一般的に乾式の処理領域、アニールステーション135、およびFI130との間のインタフェースとして構成することができる。インタフェースセルに設置された処理セルは、例えばスピンリンスドライセルおよび/または基板洗浄セルとすることができる。更に詳しくは、各々の位置114および116は、スタック構成でスピンリンスドライセルおよび基板洗浄セルの両方を含むことができる。本発明の実施形態で使用できる例示的なスピンリンスドライセルの詳細な説明は、本願と同一譲受人に譲渡された2003年4月18日に出願された「Spin Rinse Dry Cell」と題する米国特許出願第60/463,862号に見ることができ、その全体を参照として本明細書に組み入れる。
[0022]処理位置106、108はベベル洗浄セルとして、すなわち堆積プロセスが完了した後、基板の周縁から過剰堆積を除去するように構成されたセルとして構成することができる。例示的なベベル洗浄セルは、本願と同一譲受人に譲渡された2003年4月18日に出願された「Integrated Bevel Clean Chamber」と題する米国特許出願第60/463,970号に見ることができ、その全体を参照として本明細書に組み入れる。
[0023]処理位置102、104、110、および112は、メッキまたはメッキ支持セルとして、例えば電気化学メッキセル、無電解堆積セル、活性化セル、および/または基板リンスまたは洗浄セルとして構成することができる。処理セルが無電解堆積セルである実施形態では、プラットフォーム100の各辺毎に一般的に1つのセルが活性化セルとなる、プラットフォームの同一辺のもう1つのセルは無電解堆積セルとなる。この構成は、一般的に、プラットフォーム100の対向辺で鏡像化される。例えば、処理位置102および112を活性化セル(これについては本明細書で更に説明する)として構成する一方、処理位置104および110は無電解堆積セル(これについても本明細書で更に説明する)として構成することができる。この実施形態では、処理される基板は、メインフレームロボット120によって一般的に活性化セル位置102、112に位置決めされ、そこで表面活性化液がその上に分配される。その後、基板は処理セル位置114、116でリンスまたは洗浄することができ、その後、メインフレームロボット120によって無電解堆積セル位置104、110に移送され、そこで無電解堆積液が活性化されかつ洗浄された表面上に分配される。代替的に、基板は処理セル位置102、104、110、112のうちの1つでリンスすることができる。その後、基板は処理セル位置114、116、122、124のうちの1つ以上で、または活性化セル位置102、112で洗浄および/またはリンスすることができる。
[0024]図1に示した実施形態では、メインフレームロボット120は、処理のための基板をそれぞれの処理セル位置110、112、102、104に位置決めするために使用される。それぞれの処理セル位置は、それぞれのセルで処理するために基板を支持するように構成された基板支持アセンブリを含むことができ、したがって、ロボット120はそれぞれのセルのための基板支持体に基板を受け渡すために使用することができ、更に、ロボット120はその後の処理ステップのために1つのセルから別のセルへ基板を移送するために使用することができる。この実施形態で使用できる例示的な基板支持部材またはヘッドアセンブリについては、図2〜図7に関連して更に本明細書で説明する。この実施形態では、基板はフェースダウン構成で支持され、したがって、メインフレームロボット120から基板支持体への基板の受け渡しは、メインフレームロボット120が基板の製造表面に接触しない場合、中間支持ステップを一般的に必要とする。基板が処理のためにフェースアップ構成で支持される実施形態では、メインフレームロボット120は処理用のそれぞれのセルに基板を位置決めして後退することができる。使用できる例示的な処理セルは、本願と同一譲受人に譲渡された2001年7月10日に発行された「In−Situ Electroless Copper Seed Layer Enhancement in an Electroplating System」と題する米国特許第6,258,223号、および本願と同一譲受人に譲渡された2001年12月26日に出願された「Electroless Plating System」と題する米国特許出願第10/036,321号に見ることができ、それらを両方を参照として本明細書に組み入れる。
[0025]図2は、本発明の無電解堆積プラットフォーム200の別の実施形態を示す。図2に示した実施形態は図1に示した実施形態と一般的に同様であり、したがって、適用可能な場合、共通の番号付けを使用した。しかし、プラットフォーム200は、処理セル位置102、104および処理セル位置110、112の各々の間に位置決めされた基板ヘッドアセンブリ202(これについては本明細書で更に論じる)を含む。ヘッドアセンブリ202は、点204に一般的に枢動可能に取り付けられ、そこから延びる基板支持アセンブリ206を有する。支持アセンブリ206は、矢印「A」によって示されるようにヘッドアセンブリ202の枢動を介して、隣接して位置決めされたセル位置(セル位置102、104またはセル位置110、112)の間で移動する。したがって、この構成では、メインフレームロボット120は基板をヘッドアセンブリ202に位置決めするために使用することができ、その後、それを使用して基板を活性化セル位置102、112と無電解堆積セル位置104、110との間で移送することができる。この構成は、活性化セル(例えばセル位置112)から無電解堆積セル(例えばセル位置110)への基板の効率的な移送、移送中の活性化層の最小限の汚染を達成し、メインフレームロボット120がメッキ液で汚されず、きれいな状態を維持することができる。
[0026]図3は、本発明の無電解処理プラットフォーム300の別の実施形態を示す。プラットフォーム300は図2に示したプラットフォームと同様であり、したがって、適用可能な場合、共通の番号付けを使用した。プラットフォーム300は、活性化セル位置102、112および無電解堆積セル位104、110を密閉するように位置決めされた流体堆積処理エンクロージャ302を含む。処理エンクロージャ302は、一般的に、メインフレームロボット120がそれぞれのエンクロージャ302に選択的にアクセスして基板を出し入れすることができるように位置決めされた、スリットバルブのような少なくとも1つのアクセスポート304を有する、処理メインフレーム113に位置決めされた環境制御エンクロージャを含む。
[0027]処理エンクロージャ302、それと流体連通状態に位置決めされた処理ガス源306に接続される。処理ガス源306は、処理エンクロージャ302の内部に処理ガスを提供するように構成される。更に詳しくは、ガス源306は、基板処理ステップの前、途中、および後に、窒素、ヘリウム、アルゴン、水素、それらの混合ガスのような不活性ガス、または半導体処理で一般的に使用される他のガスを処理エンクロージャ302の内部に提供するように構成することができる。更に詳しくは、例えば基板処理ステップの前に、処理ガスを連続的に処理エンクロージャ302に提供し、排出システムまたはポンプ(図示せず)によってそこから除去することができる。基板を処理エンクロージャ302に出し入れするためにアクセスポート304が開口されたときは、アクセスポート304からの処理ガスの正の外方流量が維持されるように、処理エンクロージャ302への処理ガスの流量を増大させることができる。処理ガスのこの正の外方流量は、エンクロージャへの基板の出し入れ中の処理エンクロージャ302への酸素の導入を最小化する。ひとたびアクセスポート304が閉じて、基板が例えば処理のために処理エンクロージャ302内に位置決めされると、処理ガスの流量を低減することができ、処理エンクロージャの内部をパージすることができる。パージプロセスは、処理ガスを処理エンクロージャ302内に連続的に流入させ、かつ排出システムまたはポンプによって処理エンクロージャ302から処理ガスを除去することを含む。処理ガスのこの連続的流入および除去は、処理エンクロージャから残留酸素をパージするように作動する。本発明の実施形態では、処理ガスの流動は、一般的に、処理エンクロージャ302の上部の処理位置の上に導入される。処理ガスはそれぞれの処理位置に向かって下方に流動し、それぞれの処理位置内またはその下の位置から処理エンクロージャから汲みだされまたは排出される。
[0028]処理ガスを処理エンクロージャ302に供給するための一般的にプロセスは、約10slm〜約25slmの間、更に詳しくは約12slm〜約20slmとの間の流量で、不活性ガスを供給することを含むことができる。処理エンクロージャが閉鎖されているとき、つまりアクセスポート304が閉じているときには、不活性ガスの流量を低減させることができる。処理エンクロージャ302は、処理エンクロージャ302の内部容積と流体連通して位置決めされた真空ポンプ(図示せず)を更に含むことができる。真空ポンプは、パージポンピングプロセスを介して処理容積の望ましくないガスの存在を更に低減させるために使用することができる。処理エンクロージャ302の内部はまた、製造設備の排出システムと選択的に流体連通することもできる。したがって、排出システムは、処理エンクロージャ302の内部から処理ガスを排出しまたは汲み出して、密閉された容積内への処理ガスの導入の結果としてのエンクロージャの加圧を防止するために使用することができる。処理エンクロージャ302内の環境が制御されるように、システムコントローラ111を使用して、処理エンクロージャへの処理ガスの導入および除去を制御することができる。加えて、特定の処理技術が更なる環境制御を必要とする場合、加熱器、冷却器、エアフィルタまたは浄化装置、加湿器等のような他の制御機構を処理エンクロージャ302内に、またはそれに接続して含め、それをコントローラ111によって制御することができると、本発明者等は考える。
[0029]図1〜図3に示した化学構成のプラットフォームに関しては、この構成のプラットフォームは、一般的に、一回使用型化学セルを利用する。すなわち、一回量のプロセス化学物質が単一の基板に使用され、その後、追加の基板を処理するために使用されることなく廃棄される。例えば、プラットフォーム200および300は共通のセルを利用して、基板を活性化、洗浄、および/または後処理することができる。これらのプロセスは各々異なる化学物質を利用する可能性があるので、セルは、一般的に、各々の要求される化学物質を必要なときに基板に供給するように構成される。しかし、単一のセルから異なる化学物質を回収することにより実質的な汚染の問題が提示されるので、セルは、一般的に、化学物質を回収するようには構成されない。
[0030]例えば、処理セル位置102、112は活性化セルおよび洗浄セルとして構成することができる一方、処理セル位置104、110は無電解堆積セルとして構成することができる。この構成では、基板は最初に活性化/洗浄セル位置102、112に位置決めされ、そこで基板はその上に活性化前/洗浄液、リンス液、および/または活性化液の分配を受けることができる。活性化前/洗浄液を使用する実施形態では、基板は、一般的に、洗浄液が適用された後でリンスされ、活性化液が適用される前に基板表面に洗浄液が残らないようにする。活性化液が適用された後、基板は、一般的に、活性化/洗浄セル位置102、112から無電解堆積セル位置104、110へ移送される。セル位置104、110は無電解堆積液を基板上に分配して所望の層をその上に堆積するように構成される。無電解堆積プロセスが完了した後、基板は活性化/洗浄セルに戻すことができ、そこで基板はそれに対して行われる堆積後洗浄プロセスを受けることができる。堆積後洗浄プロセスが完了した後、基板はベベル洗浄セル位置106、108のうちの1つ、またはスピンリンスドライセル位置114、116のうちの1つに搬送することができる。無電解堆積セル位置104、110はこの実施形態では異なる化学物質を使用しないので、無電解セルは複数回使用化学セルとして構成することができる。例えば、セル用の化学物質を回収して、化学的性質がプロセスにとってもはや望ましくない状態になるまで、複数の基板に再使用することができる。しかし、所望する場合、堆積セルは堆積後洗浄プロセスのような追加のプロセスに使用することができる。この構成では、回収される溶液の組合せは、一般的に、連続処理に適さないので、堆積セルは、一般的に、一回使用型の化学セルとしてセットアップされる。
[0031]図3Aは、本発明の無電解堆積プラットフォーム350の別の実施形態を示す。図3Aは図3と同様であり、したがって、適用可能な場合、2つの図の間で共通の番号付けが維持される。プラットフォーム350は、図3で説明したように、処理エンクロージャ302を含むが、エンクロージャ302内の構成部品は、この実施形態では異なるように構成される。例えば、考察のために図面の下部にあるエンクロージャ302を使用すると(プラットフォーム350の対向辺にあるエンクロージャ302は同一であるが鏡像化された構成を含む)、処理セル位置112は処理セル位置112に資するように構成された専用ヘッドアセンブリ353を含む。同様に、処理セル位置110は、処理セル位置110のみに資するように構成された専用ヘッドアセンブリ352を含む。したがって、この構成で、基板シャトル351は、メインフレームロボット120から基板を受け取るように位置決めされかつ構成される。基板はシャトル351に位置決めされ、シャトル351はヘッドアセンブリ352、353のうちの1つの下の位置に枢動される。それぞれのヘッドアセンブリ352、353はシャトル351上に位置決めされた基板のすぐ上の位置まで下降し、ヘッドアセンブリは、真空チャック、重力、または半導体処理技術で既知の他の基板固定プロセスにより、基板をそれに係合して固定する。基板がヘッドアセンブリ352、353に固定されると、シャトル351はヘッドアセンブリ352、353の下から枢動して離れる。
[0032]その後、ヘッドアセンブリ352、353は、流体処理ステップのために基板をそれぞれの処理セル位置110、112まで下降させる。流体処理ステップが完了すると、基板を流体から外に引き上げることにより、基板はヘッドアセンブリ352、353によって流体から取り出される。その後、シャトル351は基板の下に位置決めされるように枢動することができ、ヘッドアセンブリ352、353は基板をシャトル351上に位置決めするように下降させ、真空チャック力を解除させて基板を解放させ、それがシャトル351によって支持されるようにする。ヘッドアセンブリ352、353は上昇し、シャトル351を隣接処理セルに枢動させ、そこで別の流体処理ステップを実行することができ、あるいは代替的に、シャトル351は、メインフレームロボット120が基板を処理エンクロージャ302から取り出すことができるように位置決めすることができる。
[0033]図3Aに示したハードウェア構成は、無電解堆積プロセスに実現することができる。例えば、処理セル位置102および112は無電解予備洗浄プロセス、無電解活性化プロセス、および無電解活性化後/洗浄プロセスを実行するように構成することができる一方、処理セル位置104、110は無電解堆積セルおよび無電解堆積後洗浄セルとして構成することができる。この構成では、それぞれのプロセスからの化学物質の再利用が可能である。図3Aに示す構成の利点は、流体処理セル位置102、104、110、112用の処理空間が制御環境の処理エンクロージャ302内にあるので、つまり移送ステップ中にアクセスポート304が閉じているので、不活性環境で基板を活性化液から無電解堆積液へ移送することができることであり、したがって、処理エンクロージャ内の酸素含有量を制御して、低レベルに維持することができる。更に、ガス供給源306から処理エンクロージャ302に不活性ガスを溢流させ、したがって、処理エンクロージャ302の内部はかなり低減された百分率の酸素、例えば約100ppm未満の酸素、割合の酸素、または更に詳しくは、約50ppm未満の酸素、または更になお約10ppm未満の酸素を有する。更に、処理セル位置、シャトル351、およびヘッドアセンブリ352、353の構成は、活性化セルから無電解堆積セルへ約10秒未満で基板を移送させることを可能にする。これらのプロセスは両方とも、堆積プロセス自体の前に無電解プロセスによりメッキされる表面の酸化を実質的に防止するのに役立つ。
[0034]一般的に、図3および図3Aに示した本発明の実施形態は、相溶性または不相溶性化学物質と共に使用することができる。例えば不相溶性化学物質、例えば酸性および塩基性溶液を利用する処理シーケンスでは、酸性溶液は、一般的に、1つのセルで排他的に使用される一方、塩基性溶液は別のセルで排他的に使用される。セルは隣接して位置決めすることができ、基板はシャトルの1つのよってそれぞれのセルの間で移送することができる。相溶性化学物質を使用する場合、単一の流体処理セルを使用してプロセスの各ステップを実行することができる。例えば、単一のセルを使用して、基板を予備洗浄し、基板を活性化し、活性化後に基板を洗浄し、無電解堆積プロセスを実行し、かつ堆積後洗浄プロセスを実行することができる。更に、不相溶性化学物質を使用する場合、基板を第一セル内で処理し、その後、第一セル内の化学物質とは不相溶性の化学物質を使用する別の流体処理セルに基板を移送する前に、そのセル内または別の隣接位置の洗浄セル内でリンスまたは洗浄することができる。リンスステップは、不相溶性化学物質が使用される後続セルで基板を処理する前に、基板の表面から不相溶性化学物質を除去することを可能にする。更に、この構成は処理システム内の他の要素、例えば基板シャトル351を、シャトル351に発生する他の汚染物質と後で反応する可能性がある化学元素で汚染する可能性を最小化するので、リンスステップはインシトゥで、つまり当初の化学物質の適用と同じセル内で行なうことが好ましいと本発明者等は考える。
[0035]図4および図5は、本発明の無電解堆積プラットフォーム400の別の実施形態を示す。堆積プラットフォーム400は、一般的に、図1〜3Aに示したプラットフォームと同様のプラットフォームの特徴を含み、したがって、適用可能な場合、2つの図の間で共通の番号付けが維持される。しかし、プラットフォームはプラットフォーム400のセル位置102、104、110、112が部分的に異なる。更に詳しくは、プラットフォーム400は、前に図示したプラットフォームと同様に、ガス供給源406と連通したエンクロージャ402と、エンクロージャに基板を出し入れするためのアクセスポート404とを含む。しかし、プラットフォーム400は、例えばプラットフォーム300でエンクロージャ302に示された2つの処理位置とは対照的に、各エンクロージャ402内に4つの処理位置を含む。エンクロージャ402内の4つの処理位置は、セル位置414、416、418、420を含む。これらの処理位置は、活性化セル、洗浄セル、リンスセル、および無電解堆積セルの組合せとして構成することができる。例えばセル位置414および418は活性化セルとして構成することができ、セル位置416および420は無電解堆積セルとして構成することができる(これらのセルの構造および構成については本明細書で更に論じる)。この構成で、プラットフォーム400は、外側の処理セル位置414、418、つまりメインフレームロボット120に近接して位置決めされたセルの間で基板を搬送するように位置決めされた、第一基板移送シャトル408(図8にも示す)をも含む。この構成では、シャトル408はメインフレームロボット120から基板を受け取り、基板を処理のためにセル位置414、418の1つに移送するために使用することができる。同様に、該シャトルは、メインフレームロボット120がエンクロージャ402から基板を取り出すことができるように、セル位置414、418から基板を取り出すために使用することができる。
[0036]図8により詳細に示す基板シャトル408は、一般的に、遠位基板支持面806を含む、枢着されたアーム部材804(枢着部802に装着された)を含む。基板支持面806は、基板の製造表面を損傷しないように、基板の外周との接触によりフェースダウン方式で基板を支持するように構成される。基板が基板支持面806上に位置決めされると、アームは処理セル位置414、418の1つの上の位置に枢動することができ、そこでヘッドアセンブリは処理のために基板を支持面806から摘み取ることができる。
[0037]基板支持ヘッドアセンブリ410、412は、一般的に、それぞれの処理セル位置418と420との間、および414と416との間に位置決めされる。これらのヘッドアセンブリ410、412は、一般的に、ヘッドアセンブリ410、412の両側に位置決めされた処理セル間で基板を移送し、それぞれのセル内での処理中に基板を支持するように構成される。例えば、ヘッドアセンブリ420は処理セル418と処理セル420との間で基板を移送するように構成され、同様に、ヘッドアセンブリ412は処理セル414と処理セル416との間で基板を移送するように構成される。
[0038]本明細書に示すヘッドアセンブリは、一般的に、フェースダウン型ヘッドアセンブリとして示されるが、本発明の実施形態をこの構成に限定するつもりはない。例えば、本発明のヘッドアセンブリは、当分野において既知の通り、フェースアップ構成で基板を支持するように構成することができる。同様に、本明細書に示す処理セルは、一般的に、基板をフェースダウン構成で受け取りかつ処理するように示されるが、処理セルはフェースアップ型またはフェースダウン型どちらの処理セルとしても構成することができるので、本発明の実施形態をこの構成に限定するつもりはない。
[0039]図4および図5に示したプラットフォーム構成では、ヘッドアセンブリ410、412は、次のように単一化学物質無電解堆積構成をサポートするために使用することができる。例えば、処理セル位置414、418は活性化/リンスセルとして構成することができ、かつ処理セル位置416、420は無電解堆積セルとして構成することができる。この構成では、メインフレームロボット120によって基板を処理エンクロージャ402に送達することができる。その後、シャトル408によって基板を活性化およびリンスセル位置414、418の1つに搬送することができ、その後、ヘッドアセンブリ410、412の1つが処理のために基板を支持する。活性化リンスセル位置414、418で、基板は活性化の前に洗浄および/またはリンスすることができる。その後、無電解活性化液を基板表面上に分配することができる。基板表面が活性化されると、それをリンスおよび/または洗浄して、それぞれのヘッドアセンブリ410、412によって無電解堆積セル416、420に移送することができ、そこで基板表面は堆積のために無電解堆積液にさらされる。堆積プロセスが完了すると、基板は、所望する場合は別の活性化層のために、またはリンスプロセスのために、ヘッドアセンブリ410、412によって活性化/リンスセル位置414、418に戻すことができる。追加の堆積を所望する場合、活性化された基板を更なる処理のために別の堆積セルに搬送することができる。所望の堆積プロセスがこの時点で完了した場合、基板はシャトル408によって活性化セル位置414、418から取り出し、その後、メインフレーム処理ロボット120によってエンクロージャ402から取り出すことができる。この構成では、2つの基板をエンクロージャ402内で同時に処理することができる。つまり、1つの基板はセル位置414、416を利用する一方、第二の基板はセル位置418、420を利用する。
[0040]しかし、本発明の別の実施形態で、図4および5に示したプラットフォームは、単一基板複数化学物質処理エンクロージャとして構成することができる。更に詳しくは、エンクロージャ402は、セル位置414、416、418、420の各々を使用して単一の基板を処理するように構成することができる。各々のセルは異なる化学物質を、例えば酸性無電解堆積および/または活性化化学物質および塩基性無電解および/または活性化化学物質を含むことができる。この実施形態では、基板を最初に、セル位置418に位置決めされた活性化セルに位置決めすることができ、そこで酸性反応予備洗浄化学物質が基板上に分配される間、温度低下または上昇ヘッドアセンブリを使用して基板を支持することができる。温度低下ヘッドアセンブリは、例えばヘッドアセンブリの内部に冷却流体を流動させて基板支持面の温度を低下させる、熱吸収部材として構成された基板支持面を含むことができる。温度上昇ヘッドアセンブリは、基板支持面の中に位置決めされ基板支持面の温度を制御するように構成された流体または抵抗加熱器を有する基板支持面を含むことができる。その後、基板は、セル位置420に位置決めされた無電解活性化セルに移送することができ、そこで酸性活性化液が基板上に分配される。その後、基板は活性化後洗浄プロセスのためにセル位置418に戻すことができ、その後、基板は(シャトル408およびヘッドアセンブリ412を介して)セル位置414のアルカリ性または塩基性予備洗浄セルへ移送することができ、そこでアルカリ性または塩基性洗浄液が基板表面に分配される。
[0041]酸性活性化/洗浄または堆積プロセスのために基板を支持するのに使用されるヘッドアセンブリ412は、その中に位置決めされヘッドアセンブリの基板支持面を加熱するように構成され、その結果、活性化/洗浄または堆積プロセス中に基板を加熱する加熱器を含むことができる。加熱洗浄プロセスは、洗浄液を例えば約80℃〜約90℃の間の温度まで加熱すること、および/または基板と接触している支持部材を加熱温度まで加熱することを含むこともできる。その後、基板は、後処理つまり堆積後リンスおよび/または洗浄のために基板をセル位置414に戻す前に、セル位置402の無電解堆積セルに移送することができ、それもまた塩基性またはアルカリ性無電解液を含む。この実施形態では、各個別セルが特定の化学物質、例えば活性化化学物質、無電解化学物質、予備洗浄化学物質等を含むことができ、単一セル内の化学物質相互汚染に関係する問題が解消されるので、それはセル内の化学物質を2つ以上の基板に使用することを可能にする。
[0042]図6は、例示的な流体処理セル600および本発明のヘッドアセンブリ604の下部の部分断面斜視図を示す。流体処理セル600は、本明細書に説明する実施形態のいずれかにおける無電解堆積セル、活性化セル、および/または洗浄/リンスセルとして使用することができる。流体処理セル600は、一般的に、セル本体602の上に移動可能に位置決めされたヘッドアセンブリ604を有するセル本体602を含む。セル本体は、流体処理(無電解またはECP)液と反応しないことが知られている、例えばプラスチック、ポリマ、およびセラミックスのような様々な物質から製造することができる。同じく図7に示されたヘッドアセンブリ604は、一般的に、回転し、水平に作動または枢動し、かつ垂直方向に作動するように構成されると共に、セル本体602の開口内に受容されるサイズに作られた、基板支持部材606を含む。基板支持部材606は、複数の真空アパーチャ610が形成され一般的にプラテンとも呼ばれる略平面状支持面608を含む。支持面608は、流体処理液と反応しないセラミックまたはプラスチックのような材料で被覆するか製造することができる。真空アパーチャ610は選択的に真空源(図示せず)と流体連通し、したがって真空アパーチャ610は基板614を支持面608に真空チャックするために使用することができる。例えばOリング型シールのような環状シール621が、基板支持面608の周縁付近に位置決めされる。シール621は、一般的に、流体が基板の裏側と接触するのを防止しながら、基板支持面608と基板614との間に真空密封を作成して真空チャックプロセスを促進すべく、基板支持面608に真空チャックされる基板614の裏側と係合するように構成される。
[0043]基板支持部材606の内部は、複数の同心状に位置決めされた加熱帯612を備えることのできる加熱器アセンブリを含むことができる。加熱帯612は抵抗加熱器、被加熱流体が中を流動するように構成された流体流路、または半導体処理方法のために基板支持部材を加熱する別の方法を含むことができる。複数の加熱帯612は、所望するならば、処理中に基板温度をより正確に制御するために、個別に制御することができる。更に詳しくは、加熱帯612の個別制御は堆積温度の正確な制御を可能にし、それは無電解堆積プロセスにとって非常に重要である。基板支持部材606は更に、処理中にメガソニックまたは他の振動エネルギを基板614に与えるように構成されたアクチュエータまたは振動装置(図示せず)を含むことができる。
[0044]セル本体602の底中心部は流体処理槽615を含む。槽615は、一般的に、槽面616を取り囲む環状流体堰618を有する略平面状槽面616を含む。流体堰618は、一般的に、約2mm〜約20mmの間の高さを有し、一般的に、処理流体を処理領域620の槽面616のパドル型構成内に維持するように構成される。槽面616はまた、そこに形成された複数の流体アパーチャ622をも含む。流体アパーチャ622は、一般的に、リンス液源、活性化液源、洗浄液源、無電解堆積液源、および無電解堆積プロセスで使用することのできる他の流体源のような複数の処理流体源と流体連通する。したがって、アパーチャ622は処理流体を処理領域620に供給するために使用することができる。処理流体は、一般的に、アパーチャ622内を上方に流動し、その後、矢印「B」で示すように、処理領域620内を外方に堰618に向かって流動する。流体ドレン624は、一般的に、セル本体602の外方下部、一般的に流体堰618の外側に位置決めされる。したがって、流体ドレン624は、堰618を溢流した流体を捕集するように構成される。
[0045]同じく図7に示すヘッドアセンブリ604は、一般的に、一般的に2つの処理セルの間の位置で処理メインフレーム113に枢着される垂直取付柱714を含む。すなわち、ヘッドアセンブリ604は、柱部材714が軸704を中心に回転して、基板支持部材606を各々の隣接して位置決めされた処理セルの上に選択的に位置決めすることができるように、位置決めされる。ヘッドアセンブリ604は更に、柱部材714に取り付けられかつそこから延長する構造アーム部材716を含む。構造アーム部材716は柱部材714に移動可能に位置決めされる。すなわち、アーム部材716の垂直位置は、例えばギヤ装置を通して、柱部材714に対して調整することができる。ギヤ装置では、第一ギヤードモータ708が柱714の側部の垂直軌道706と係合して、軌道706に沿って垂直方向にアーム部材716を選択的に移動させる。第二モータ712はアーム部材716上に位置決めされ、基板支持部材606と連通する。第二モータは基板支持部材606に回転運動をもたらすように構成される。
[0046]本発明の別の実施形態では、ヘッドアセンブリ604は更に、すなわち基板を垂直移動させ、枢動(水平移動)させ、かつ回転移動させることに加えて、基板支持部材606を傾斜させるように構成することができる。この実施形態では、第二モータ712の回転軸を基板支持部材606の回転軸に位置決めすることができ、モータ712および基板支持部材606の組合せを、軸718を中心にアーム部材716に枢着することができる。該構成は、処理ステップの前、間、または後に、例えば所望する場合傾斜浸漬プロセスを促進するために、基板の平面を水平から傾斜させることを可能にする。
[0047]本発明の別の実施形態では、ヘッドアセンブリ604は、基板の裏側の複数のゾーンにおけるチャック力を制御するように構成された、マルチゾーン真空チャック型基板支持面を含むことができる。一般的に、この型のヘッドアセンブリは基板支持面上に位置決めされた膜を利用し、該膜は基板の裏側に位置決めされ、周縁をシールされる。その後、基板支持面と膜との間に真空がもたらされ、したがって膜は基板支持面に向かって、かつそこに形成された1つ以上の凹所内に引き付けられる。これにより膜と基板との間に真空が生成され、それは基板を膜および基板支持面にチャックさせるように動作する。膜を利用して基板をチャックするヘッドアセンブリについての詳細な説明は、本願と同一譲受人に譲渡された、2001年2月6日に発行された、1997年5月21日に出願された「Carrier Head with Flexible Membrane for a Chemical Mechanical Polishing System」と題する米国特許第6,183,354号に見ることができ、その全体を参照として本明細書に組み入れる。
[0048]動作中、本発明の実施形態および特にプラットフォーム400は、無電解堆積プロセスにより基板にキャッピング層を堆積されるために使用することができる。このプロセスは、誘電体層を有し、該誘電体層に特徴が形成され、該特徴に導電性材料(一般的に銅)が充填され、基板をプラットフォーム400が受容することから開始される。更に、基板は、一般的に、フィールド領域で誘電体層を露出する略平面状の製造表面と、銅充填特徴の上面とを有する。一般的に、誘電体層および特徴の略平面状上面は、一般的に別の処理プラットフォームで実行される、化学機械的研磨プロセスまたは他の特徴充填後平坦化プロセスの完了の結果得られる。
[0049]基板126は搬入ステーション134の1つを介してプラットフォーム400内に受容され、ロボット132によって処理セル位置114、116の1つに搬送される。一般的に基板スピンリンスドライセルとして構成される処理セル位置114、116で、基板126は最初にリンスし、かつ/または洗浄液により洗浄することができる。代替的に、基板126は単純にセル位置114、116を通過させ、メインフレームロボット120によって摘み上げることができる。メインフレームロボットは基板126と係合し、例えばアクセスバルブ404を介して基板を処理エンクロージャ402に移送する。移送プロセス中に、処理ガス源406は不活性ガス、一般的に窒素、または窒素と別のガス例えば水素との混合ガスを処理エンクロージャ402の内部に流入させる。このガス流は、一般的に、基板が受容されている間、処理エンクロージャ402の内部に不活性ガスを溢流させ、開いたアクセスバルブ404を介して処理エンクロージャ内に入る望ましくない酸素含有大気を最小限にするように動作する。
[0050]基板126が処理エンクロージャ402内に挿入されると、基板126は、基板シャトル408上にフェースダウン配向に位置決めされる。メインフレームロボットブレード120は処理エンクロージャ402から後退し、アクセスバルブ404は閉じる。所望する場合、処理エンクロージャ402内の酸素含有量を更に最小化するために、処理ガス源は、しばらくの間、処理エンクロージャ402の内部容積に窒素を溢流させ続けることができる。これは、処理エンクロージャ402の内部を大気に連通させる1つ以上のベントまたは排出路を開くことによって達成することができる。
[0051]基板126がシャトル408上に位置決めされ、処理エンクロージャに窒素が溢流する状態で、プロセスは、シャトル408による基板126のヘッドアセンブリ412への搬送に続く。更に詳しくは、シャトル408は基板126がヘッドアセンブリ412の下に位置決めされるように枢動し、ヘッドアセンブリ412は、基板126が基板シャトル408に着座したままで、基板支持面608を基板126の裏側に近接する位置まで下降させる。基板支持面608を基板126の裏側と接触させることができる。面608が基板126の裏側に近接して位置決めされると、真空アパーチャ610が作動し、基板126は支持面608に真空チャックされ、シールされる。その後、ヘッドアセンブリ412は基板126を垂直方向にシャトル408から離れるように上昇させ、シャトル408は基板126から離れるように枢動する。
[0052]その後、基板126は処理セル位置414の流体槽620内まで下降され、そこで基板126は、誘電体洗浄液を基板126に適用することによって洗浄することができる。誘電体洗浄液は(クエン酸、HF、および/またはHClのような)1つ以上の酸を含むことができ、かつ1つ以上の腐食防止剤を含むことができる。腐食防止剤は様々な化学化合物のいずれか、例えばベンゾトリアゾール、メルカプトベンゾトリアゾール、または5−メチル−1−ベンゾトリアゾールのようなアゾール基を含む有機化合物を含むことができる。誘電体洗浄ステップは、基板温度が約20℃〜約60℃の間になるように加熱器612を作動させて、実行することができる。
[0053]一般的に、誘電体洗浄液は、誘電体層の露出部から金属残留物を除去するように構成される。また、腐食防止剤も誘電体洗浄プロセス中に銅層の露出部を保護すると考えられる。金属残留物が除去されなければ、望ましくない無電解堆積が一般的に誘電体のこれらの金属残留物上に発生する。
[0054]本発明の別の実施形態では、基板は、誘電体洗浄ステップが既に実行された状態で、処理プラットフォーム400に送り出される。この実施形態では、本発明のプロセスシーケンスは、単純に誘電体洗浄ステップを飛ばして、下述する銅洗浄ステップに進む。
[0055]誘電体洗浄プロセスが完了すると、プロセスは銅洗浄プロセスに続く。プロセスのこの部分は、一般的に、処理セル414でも行われる。更に詳しくは、ヘッドアセンブリ412を上昇させて基板126を処理流体から取り出すことができ、その後、処理流体を誘電体洗浄液から銅洗浄液に切り替えることができる。銅洗浄液が処理容積620内に位置決めされた後、基板126を液中まで下降させることができる。適切な銅洗浄液の一例として、カリフォルニア州サンタクララのアプライドマテリアルズ社から市販されているElectra Clean(商標)がある。適切な銅洗浄液の別の例として硫酸およびHClがある。適切な銅洗浄液の更に別の例として、クエン酸と過酸化物との混合液がある。
[0056]銅洗浄液は、一般的に、銅酸化物および残留誘電体洗浄液を除去するように構成される。例えば銅洗浄液は、銅層の露出部に残っている誘電体洗浄液の腐食防止剤を除去することができる。銅層の露出部に残っている誘電体洗浄液の腐食防止剤は、その後の処理ステップにおけるその上のキャッピング材の形成および/または付着を阻害する可能性がある。一実施形態では、銅層の約50Å未満、好ましくは約30Å未満の厚さ、および誘電体層の約50Å未満、好ましくは約30Å未満の厚さが銅洗浄液によってエッチングされる。
[0057]銅洗浄ステップの後、基板はヘッドアセンブリ412によって処理セル416に移送され、そこで活性化液を基板構造に適用することができる。処理セル416は構造的に処理セル414と同様であり(図6に示す通り)、したがって、基板126を処理容積420内に浸漬するヘッドアセンブリ412の詳細は省略する。活性化セル位置416で使用できる活性化液の一例としてパラジウム塩がある。パラジウム塩の例として、塩化物、臭化物、フッ化物、フルオロホウ酸塩、ヨウ化物、硝酸塩、硫酸塩、カルボニル、金属酸の塩、およびそれらの組合せがある。好ましくは、パラジウム塩は、塩化パラジウム(PdCl)、塩化白金酸(HPtCl)、およびそれらの組合せのような塩化物である。銅洗浄液がセル位置414に適用される時間の終了から活性化液がセル位置416に適用されるときの開示時間までの待ち時間は、一般的に、約15秒未満であり、好ましくは約5秒未満である。したがって、ヘッドアセンブリ412は、セル位置414の銅洗浄液からセル位置416の活性化液へ遅延無く直接移動する。
[0058]活性化液は、一般的に、特徴の露出銅上に活性化金属シード層を堆積するように働く。その結果、銅層の露出部の洗浄後の酸化は、銅酸化物は銅より高い電気抵抗率を有することが知られているので、銅層の露出部上の金属シード層の堆積にとって有害である。銅洗浄と活性化との間の短い待ち時間は酸化を最小化する一方、不活性ガス環境もまた銅層の露出部の酸化を防止するのに役立つ。
[0059]活性化プロセスが完了すると、基板126をセル位置414またはセル位置418に戻すことができ、そこで、活性化後洗浄液を基板126に適用することによって、活性化後洗浄を実施することができる。活性化後洗浄液は1つ以上の酸(例えばクエン酸、HF、および/またはHCl)を含むことができる。活性化液が適用される時間の終了から活性化後洗浄液が加えられるときの開始までの待ち時間は、約15秒未満、好ましくは約5秒未満とすることができる。
[0060]活性化後洗浄液は、一般的に、活性化金属シード層が銅層の露出部上にだけ残るように、誘電体層の露出部から活性化金属シード層のいずれかを除去するように働く。誘電体層の露出部上に残る活性化金属シード層は、その上に望ましくないキャッピング材の無電解堆積を引き起こす。
[0061]活性化層が洗浄された後、基板はセル位置420に移送され、そこで、無電解堆積液を基板構造に適用することにより、露出銅層の活性化部の上の選択的無電解堆積によって、キャッピング層を堆積することができる。キャッピング層はCoP、CoWP、CoB、CoWB、CoWPB、NiB、またはNiWBを含むことができ、好ましくはCoWPまたはCoWPBを含む。無電解堆積液は、堆積するキャッピング層材に応じて、1つ以上の金属塩および1つ以上の還元剤を含むことができる。無電解堆積液はまた、当分野において既知の通り、酸または塩基のようなpH調整剤をも含むことができる。
[0062]選択されたキャッピング層がコバルトを含む場合、無電解堆積液は、一般的に、コバルト塩を含む。コバルト塩の例として塩化物、臭化物、フッ化物、フルオロホウ酸塩、ヨウ化物、硝酸塩、硫酸塩、カルボニル、金属酸の塩、およびそれらの組合せがある。好ましくは、コバルト塩は硫酸コバルト、塩化コバルト、またはそれらの組合せを含む。タングステン含有キャッピング材を堆積する場合、無電解堆積液はタングステン塩を含む。タングステン塩の例として塩化物、臭化物、フッ化物、フルオロホウ酸塩、ヨウ化物、硝酸塩、硫酸塩、カルボニル、金属酸の塩、およびそれらの組合せがある。好ましくはタングステン塩は、タングステン酸アンモニウムまたはタングステン酸テトラメチルアンモニウムのようなタングステン酸の塩を含む。好ましくは、タングステン塩はタングステン酸アンモニウムを含む。ニッケル含有キャッピング材を堆積する場合、無電解液は、一般的に、ニッケル塩を含む。ニッケル塩の例として塩化物、臭化物、フッ化物、フルオロホウ酸塩、ヨウ化物、硝酸塩、硫酸塩、カルボニル、金属酸の塩、およびそれらの組合せがある。
[0063]選択されたキャッピング材がCoP、CoWP、またはCoWPBのようにリンを含む場合、還元剤は、次亜リン酸ナトリウムのようなリン化合物を含むことが好ましい。キャッピング材がCoB、CoWB、CoWPBのようにホウ素を含む場合、還元剤は、一般的に、水素化ホウ素ナトリウム、ジメチルアミン−ボラン(DMAH)、またはそれらの組み合わせのようなホウ素化合物を含む。上記の還元剤に加えて、またはその代わりに、ヒドラジンのような他の還元剤も使用することができる。
[0064]無電解堆積液および/または基板は、約40℃〜約85℃の間の温度に加熱することができる。一態様では、無電解堆積液および/または基板構造の加熱は、無電解堆積率を高める。一実施形態では、キャッピング材の堆積率は約100Å/分以上であり、好ましくは約200Å/分以上である。一実施形態では、キャッピング材は約100Åから200Åの間の厚さ、好ましくは約150Å堆積される。しかし、無電解プロセスの堆積率は温度に大きく依存することが知られているので、基板全体の温度を均一温度に維持することが重要である。したがって、図6に示す加熱器612の環状帯および/または温度制御プロセス流体供給源を使用することができる。
[0065]所望の厚さのキャッピング層が無電解堆積プロセスによって形成された後、堆積後洗浄を基板構造に適用することによって、堆積後洗浄ステップを実施することができる。堆積後洗浄は、一般的に、セル418で実行され、したがって、基板はヘッドアセンブリ410によってそこに転送することができる。一実施形態では、堆積後洗浄は、(クエン酸、HF、および/またはHClのような)1つ以上の酸を含むことができる。堆積後洗浄は、一般的に、誘電体層の露出部に存在するキャッピング材を除去するように構成される。
[0066]堆積後洗浄ステップが完了すると、基板126を処理エンクロージャ402から取り出すことができる。これは、一般的に、ヘッドアセンブリ410が基板126を基板シャトル408に移送し、メインフレームロボット120がエンクロージャ402内に入って基板126をシャトル408から取り出すことを含む。
[0067]その後、メインフレームロボットは、ベベル洗浄プロセスのために基板を処理セル位置106、108の1つに移送することができ、そこで、ベベルエッジにエッチング液を提供することによって、基板126のベベルエッジを洗浄し、蓄積した材料(しばしばエッジビードと呼ばれる)をそこから除去することができる。エッチング液の一例として硫酸溶液、過酸化水素、および脱イオン水がある。エッチング液の別の例として硝酸溶液がある。本発明の一実施形態では、処理セル位置106、108はベベル洗浄ステーションを含まず、むしろ活性化および堆積に使用することのできる本明細書に記載した別の処理エンクロージャを、位置106、108に位置決めすることができる。
[0068]ベベル洗浄プロセスが完了すると、基板126は、一般的に、メインフレームロボット120によって処理セル114、116の1つに移送され、そこで基板リンスおよび乾燥プロセスが実行される。一実施形態では基板構造はスピンリンスドライセル内で乾燥することができ、別の実施形態では基板構造は蒸気乾燥セル内で乾燥される。蒸気乾燥は、揮発性有機化合物(VOC)のような表面張力低減揮発性化合物を基板構造に導入することを含む。例えば、VOCは(窒素ガスのような)キャリアガスにより、基板構造に付着する液体の近傍に導入することができる。VOCの導入は結果的に表面張力勾配を生じ、それは液体を基板から流離させ、基板を乾燥状態に維持させる。一実施形態では、VOCはイソプロピルアルコール(IPA)である。一態様では、蒸気乾燥による基板構造の乾燥は、他の乾燥方法によって基板に残されたウォータマークの形成を低減する。
[0069]基板126をリンスし乾燥した後、ロボット132を使用して基板126をアニールステーション135に移送する。その後、基板126をアニールして、誘電体層または金属層の細孔に吸収された水分または湿気を放出させるのを助ける。基板構造は、抵抗加熱器によって、または熱ランプによって、約200℃〜約350℃の間の温度に加熱することができる。
[0070]上記は本発明の実施形態に向けられているが、その基本的範囲から逸脱することなく、本発明のその他および更なる実施形態を考案することができ、その範囲は添付される請求の範囲によって決定される。
本発明の無電解堆積システムの一実施形態の平面図を示す。 本発明の無電解堆積プラットフォームの別の実施形態の平面図を示す。 本発明の無電解堆積プラットフォームの別の実施形態の平面図を示す。 本発明の無電解堆積プラットフォームの別の実施形態の平面図を示す。 本発明の無電解堆積プラットフォームの別の実施形態の平面図を示す。 図4に示した無電解堆積エンクロージャの斜視図を示す。 本発明の流体処理セルの部分断面斜視図を示す。 本発明のヘッドアセンブリの斜視図を示す。 本発明の基板シャトルの斜視図を示す。
符号の説明
100…半導体処理システム、102…処理位置、104…処理位置、120…メインフレームロボット、402…エンクロージャ、404…アクセスポート、408…基板シャトル、410…基板支持ヘッドアセンブリ、412…基板支持ヘッドアセンブリ、416…処理セル、420…処理セル、606…基板支持部材、608…基板支持面。

Claims (19)

  1. 半導体処理のための流体堆積システムであって、
    基板移送ロボットが上部に位置決めされたメインフレームと、
    前記メインフレーム上部に位置決めされかつ前記基板移送ロボットにアクセス可能な少なくとも2つの基板処理エンクロージャであって、前記少なくとも2つの基板処理エンクロージャのそれぞれは、前記メインフレームから環境的に制御され、前記基板処理エンクロージャの壁を貫通して形成されたアクセスポートを介して前記基板搬送ロボットへアクセスが可能であり、前記基板処理エンクロージャの各々は、その中に位置決めされた少なくとも2つの基板流体処理セルを有する基板処理エンクロージャと、
    前記少なくとも2つの基板処理エンクロージャのそれぞれと流体的に連通する処理ガス源及び処理ガス排出システムであって、前記少なくとも2つの基板流体処理セルが、前記基板処理エンクロージャ内に相互に隣接して位置決めされた無電解流体活性化セル及び無電解流体堆積セルを有している、処理ガス源及び処理ガス排出システムと、
    前記無電解流体活性化セルと前記無電解流体堆積セルとの間に位置決めされた基板搬送シャトルであって、前記基板搬送シャトルは、前記無電解流体活性化セルと前記無電解流体堆積セルとの間で基板を移送するように構成される基板搬送シャトルとを備える、流体堆積システム。
  2. 前記無電解流体活性化セルおよび前記無電解流体堆積セルが各々、それぞれのセル内での流体処理中に基板を支持するように構成された基板支持部材を備え、前記基板支持部材が温度制御アセンブリを有する、請求項1に記載の流体堆積システム。
  3. 前記温度制御アセンブリが前記基板支持部材に位置決めされた複数の個別制御される加熱素子を備える、請求項2に記載の流体堆積システム。
  4. 前記メインフレーム上に位置決めされた基板洗浄セルを更に備える、請求項1に記載の流体堆積システム。
  5. 前記処理ガス源および前記処理ガス排出システムの動作を制御して100ppm未満の基板処理エンクロージャ内の酸素含有量を生成するように構成されたシステムコントローラを更に備える、請求項1に記載の流体堆積システム。
  6. 前記処理ガス源が、窒素源、ヘリウム源、アルゴン源、および水素源のうちの少なくとも1つを備える、請求項1に記載の流体堆積システム。
  7. 前記メインフレームと連通して位置決めされたアニールステーションを更に備える、請求項1に記載の流体堆積システム。
  8. 基板処理システム上に位置決めされた基板処理エンクロージャであって、外部ロボットによる前記処理エンクロージャの内部へのアクセスを可能にするように構成されたアクセスポートを有する前記基板処理エンクロージャと、
    前記処理エンクロージャの内部に位置決めされた無電解活性化セルと、
    処理エンクロージャの内部に位置決めされた無電解堆積セルと、
    前記処理エンクロージャの内部と選択的に流体連通した処理ガス供給源と、
    前記処理エンクロージャの内部と選択的に流体連通した処理ガス排出システムと、
    処理エンクロージャの内部で前記無電解活性化セルと前記無電解堆積セルとの間に位置決めされた基板搬送シャトルと、
    前記基板処理システム内の前記処理エンクロージャの外部に配置されたアニールステーション及び洗浄セルのうちの少なくとも一方とを備える、無電解流体処理アセンブリ。
  9. 前記処理ガス供給源および前記処理ガス排出システムと電気的に連通したコントローラを更に備える、請求項8に記載の無電解流体処理アセンブリ。
  10. 前記コントローラが前記処理ガス供給源および前記処理ガス排出システムの動作を協調制御して、基板処理中に前記処理エンクロージャの内部に100ppm未満の酸素含有量を生成するように構成される、請求項9に記載の無電解流体処理アセンブリ。
  11. 前記無電解活性化セルおよび前記無電解堆積セルの少なくとも1つが、被加熱基板支持部材を備える、請求項8に記載の無電解流体処理アセンブリ。
  12. 前記被加熱基板支持部材が処理のために基板を支持するように構成された略平面状基板支持プラテンを備え、前記プラテンがその中に位置決めされた複数の個別制御される加熱源を有する、請求項11に記載の無電解流体処理アセンブリ。
  13. 前記基板処理システムと連通して位置決めされたアニールステーションを更に備える、請求項8に記載の無電解流体処理アセンブリ。
  14. 一層の半導体基板上に金属を無電解堆積するための方法であって、
    基板処理エンクロージャ内に位置決めされた流体処理セル内に基板を位置決めするステップと、
    前記基板処理エンクロージャ内に処理ガスを同時に流入させ、かつ同時に前記基板処理エンクロージャから処理ガスを排出させて、前記基板処理エンクロージャ内に100ppm未満の酸素含有量を生成するステップと、
    前記流体処理セル内の前記基板上に活性化液を分配するステップと、
    前記処理エンクロージャ内に位置する基板シャトルを用いて、前記基板を無電解流体活性化セルから無電解堆積セルに移送するステップと、
    前記流体処理セル内の前記基板上に無電解堆積液を分配するステップと、
    前記基板処理エンクロージャから前記基板を取り出すステップとを備える、方法。
  15. 活性化液を分配するステップが、
    前記活性化液の前に洗浄液を前記基板上に分配する工程と、
    前記活性化液の後でリンス液を前記基板上に分配する工程と、
    を更に備える、請求項14に記載の方法。
  16. 無電解堆積液を前記基板上に分配するステップが、前記活性化液の後で洗浄液およびリンス液のうちの少なくとも1つを前記基板上に分配する工程を更に備える、請求項14に記載の方法。
  17. 前記活性化液の分配および前記無電解堆積液の分配のうちの少なくとも1つの間、被加熱基板支持部材により前記基板を支持して、それぞれの分配プロセス中の前記基板の温度を制御するステップを更に備える、請求項14に記載の方法。
  18. 前記活性化液を分配するステップが、前記基板処理エンクロージャ内に位置決めされた無電解活性化セル内に前記基板を位置決めする工程を備える、請求項14に記載の方法。
  19. 無電解堆積液を分配するステップが、前記基板処理エンクロージャ内で前記無電解活性化セルに隣接して位置決めされた無電解堆積セル内に基板を位置決めする工程を備える、請求項18に記載の方法。
JP2006535426A 2003-10-15 2004-10-15 無電解堆積のための装置 Expired - Fee Related JP4875492B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US51123603P 2003-10-15 2003-10-15
US60/511,236 2003-10-15
PCT/US2004/034456 WO2005038094A2 (en) 2003-10-15 2004-10-15 Apparatus for electroless deposition

Publications (2)

Publication Number Publication Date
JP2007509236A JP2007509236A (ja) 2007-04-12
JP4875492B2 true JP4875492B2 (ja) 2012-02-15

Family

ID=34465201

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006535426A Expired - Fee Related JP4875492B2 (ja) 2003-10-15 2004-10-15 無電解堆積のための装置

Country Status (7)

Country Link
US (2) US7465358B2 (ja)
EP (1) EP1685280A2 (ja)
JP (1) JP4875492B2 (ja)
KR (1) KR20060097029A (ja)
CN (1) CN1922344A (ja)
TW (1) TWI368665B (ja)
WO (1) WO2005038094A2 (ja)

Families Citing this family (205)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US6884145B2 (en) * 2002-11-22 2005-04-26 Samsung Austin Semiconductor, L.P. High selectivity slurry delivery system
US7323058B2 (en) 2004-01-26 2008-01-29 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
KR20060129410A (ko) * 2004-01-26 2006-12-15 어플라이드 머티어리얼스, 인코포레이티드 반도체 기판 상의 금속 무전해 증착 장치
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
KR101140770B1 (ko) * 2004-04-28 2012-05-03 가부시키가이샤 에바라 세이사꾸쇼 기판처리유닛 및 기판처리장치와 기판 유지장치 및 기판 유지방법
US8069813B2 (en) * 2007-04-16 2011-12-06 Lam Research Corporation Wafer electroless plating system and associated methods
US7829152B2 (en) * 2006-10-05 2010-11-09 Lam Research Corporation Electroless plating method and apparatus
US8485120B2 (en) 2007-04-16 2013-07-16 Lam Research Corporation Method and apparatus for wafer electroless plating
US8844461B2 (en) * 2007-04-16 2014-09-30 Lam Research Corporation Fluid handling system for wafer electroless plating and associated methods
US8882914B2 (en) * 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7749881B2 (en) * 2005-05-18 2010-07-06 Intermolecular, Inc. Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US7390739B2 (en) * 2005-05-18 2008-06-24 Lazovsky David E Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
US20060060301A1 (en) * 2004-09-17 2006-03-23 Lazovsky David E Substrate processing using molecular self-assembly
US20060292846A1 (en) * 2004-09-17 2006-12-28 Pinto Gustavo A Material management in substrate processing
WO2006058034A2 (en) * 2004-11-22 2006-06-01 Intermolecular, Inc. Molecular self-assembly in substrate processing
US7879710B2 (en) * 2005-05-18 2011-02-01 Intermolecular, Inc. Substrate processing including a masking layer
JP2006241580A (ja) * 2005-03-07 2006-09-14 Ebara Corp 基板処理方法及び基板処理装置
TWI343840B (en) * 2005-07-06 2011-06-21 Applied Materials Inc Apparatus for electroless deposition of metals onto semiconductor substrates
US7410899B2 (en) * 2005-09-20 2008-08-12 Enthone, Inc. Defectivity and process control of electroless deposition in microelectronics applications
US7902063B2 (en) 2005-10-11 2011-03-08 Intermolecular, Inc. Methods for discretized formation of masking and capping layers on a substrate
US7955436B2 (en) * 2006-02-24 2011-06-07 Intermolecular, Inc. Systems and methods for sealing in site-isolated reactors
US8776717B2 (en) 2005-10-11 2014-07-15 Intermolecular, Inc. Systems for discretized processing of regions of a substrate
KR100859259B1 (ko) * 2005-12-29 2008-09-18 주식회사 엘지화학 캡층 형성을 위한 코발트 계열 합금 무전해 도금 용액 및이를 이용하는 무전해 도금 방법
US8772772B2 (en) * 2006-05-18 2014-07-08 Intermolecular, Inc. System and method for increasing productivity of combinatorial screening
WO2007095194A2 (en) * 2006-02-10 2007-08-23 Intermolecular, Inc. Method and apparatus for combinatorially varying materials, unit process and process sequence
US20070190788A1 (en) * 2006-02-15 2007-08-16 Manoj Balachandran Wafer removing force reduction on cmp tool
US8127395B2 (en) * 2006-05-05 2012-03-06 Lam Research Corporation Apparatus for isolated bevel edge clean and method for using the same
US8322299B2 (en) * 2006-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster processing apparatus for metallization processing in semiconductor manufacturing
US7704306B2 (en) * 2006-10-16 2010-04-27 Enthone Inc. Manufacture of electroless cobalt deposition compositions for microelectronics applications
US8011317B2 (en) * 2006-12-29 2011-09-06 Intermolecular, Inc. Advanced mixing system for integrated tool having site-isolated reactors
JP5100196B2 (ja) * 2007-04-27 2012-12-19 パンパシフィック・カッパー株式会社 電着金属の移載装置
US20080292433A1 (en) * 2007-05-11 2008-11-27 Bachrach Robert Z Batch equipment robots and methods of array to array work-piece transfer for photovoltaic factory
US20080279672A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods of stack to array work-piece transfer for photovoltaic factory
US20080279658A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods within equipment work-piece transfer for photovoltaic factory
US7496423B2 (en) * 2007-05-11 2009-02-24 Applied Materials, Inc. Method of achieving high productivity fault tolerant photovoltaic factory with batch array transfer robots
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US20090155468A1 (en) * 2007-12-17 2009-06-18 Enthone Inc. Metrology in electroless cobalt plating
EP2256491B1 (en) * 2008-03-04 2016-01-27 EUREKA-LAB Inc. Method of evaluating reaction between dissolved hydrogen and dissolved oxygen and method of evaluating ability of dissolved hydrogen to scavenge active oxygen in water
WO2009114965A1 (en) * 2008-03-19 2009-09-24 Acm Research (Shanghai) Inc. Electrochemical deposition system
US7810697B2 (en) * 2008-08-22 2010-10-12 Honda Motor Co., Ltd. Turntable welding system with light curtain protection
US20100062164A1 (en) * 2008-09-08 2010-03-11 Lam Research Methods and Solutions for Preventing the Formation of Metal Particulate Defect Matter Upon a Substrate After a Plating Process
US8703546B2 (en) * 2010-05-20 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Activation treatments in plating processes
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9752231B2 (en) 2012-05-11 2017-09-05 Lam Research Corporation Apparatus for electroless metal deposition having filter system and associated oxygen source
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9068272B2 (en) * 2012-11-30 2015-06-30 Applied Materials, Inc. Electroplating processor with thin membrane support
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
KR101278711B1 (ko) * 2013-02-14 2013-06-25 (주)티에스피에스 반도체 웨이퍼 도금 장치 및 이를 이용한 도금 방법
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9669552B2 (en) 2013-05-20 2017-06-06 Varian Semiconductor Equipment Associates, Inc. System and method for quick-swap of multiple substrates
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9851337B2 (en) * 2013-12-06 2017-12-26 The University Of Akron Universal water condition monitoring device
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
JP6338904B2 (ja) 2014-03-24 2018-06-06 株式会社Screenホールディングス 基板処理装置
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9287183B1 (en) * 2015-03-31 2016-03-15 Lam Research Corporation Using electroless deposition as a metrology tool to highlight contamination, residue, and incomplete via etch
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP7074688B2 (ja) * 2016-07-01 2022-05-24 カーボン,インコーポレイテッド 液体を節約する特徴を有する多層薄膜をスピンコーティングする方法及びシステム
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7254163B2 (ja) * 2019-03-28 2023-04-07 東京エレクトロン株式会社 基板処理装置および基板処理方法
US11752518B2 (en) 2021-06-03 2023-09-12 Sst Systems, Inc. Robot-centered coating system with multiple curing workstations
US20230061513A1 (en) * 2021-08-27 2023-03-02 Applied Materials, Inc. Systems and methods for adaptive troubleshooting of semiconductor manufacturing equipment

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000124156A (ja) * 1998-10-12 2000-04-28 Sony Corp 半導体製造装置
JP2002129344A (ja) * 2000-10-26 2002-05-09 Ebara Corp 無電解めっき装置
WO2002047139A2 (en) * 2000-12-04 2002-06-13 Ebara Corporation Methode of forming a copper film on a substrate
JP2002285343A (ja) * 2000-12-11 2002-10-03 Ebara Corp 無電解めっき装置
JP2002302773A (ja) * 2001-04-06 2002-10-18 Sony Corp 無電解メッキ装置およびその方法
JP2003129250A (ja) * 2001-08-10 2003-05-08 Ebara Corp めっき装置及びめっき方法
WO2003065423A2 (en) * 2002-01-28 2003-08-07 Applied Materials, Inc. Electroless deposition apparatus and method

Family Cites Families (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) * 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3664354A (en) * 1968-11-12 1972-05-23 Udylite Corp Apparatus for processing workpieces
US3649509A (en) * 1969-07-08 1972-03-14 Buckbee Mears Co Electrodeposition systems
US3934054A (en) * 1969-08-25 1976-01-20 Electro Chemical Engineering Gmbh Electroless metal plating
US3900599A (en) * 1973-07-02 1975-08-19 Rca Corp Method of electroless plating
US4632857A (en) * 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US4397812A (en) * 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4232060A (en) * 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US4006047A (en) * 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US3937857A (en) * 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4265943A (en) * 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) * 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
JPS6016517B2 (ja) 1979-12-29 1985-04-25 上村工業株式会社 無電解めつき制御方法
US4276323A (en) 1979-12-21 1981-06-30 Hitachi, Ltd. Process for controlling of chemical copper plating solution
IT1130955B (it) * 1980-03-11 1986-06-18 Oronzio De Nora Impianti Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti
US4368223A (en) * 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
US4684545A (en) 1986-02-10 1987-08-04 International Business Machines Corporation Electroless plating with bi-level control of dissolved oxygen
US5322976A (en) * 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
US4868071A (en) 1987-02-24 1989-09-19 Polyonics Corporation Thermally stable dual metal coated laminate products made from textured polyimide film
US4810520A (en) * 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US4808431A (en) * 1987-12-08 1989-02-28 International Business Machines Corp. Method for controlling plating on seeded surfaces
US4922277A (en) 1988-11-28 1990-05-01 The United States Of America As Represented By The Secretary Of The Air Force Silicon wafer photoresist developer
US4998068A (en) 1989-05-16 1991-03-05 In-Situ, Inc. Bias current control for providing accurate potentiometric measurements
US5172332A (en) 1989-12-22 1992-12-15 American Sigma, Inc. Automatic fluid sampling and monitoring apparatus and method
US5147692A (en) * 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5235139A (en) * 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
JP2787142B2 (ja) * 1991-03-01 1998-08-13 上村工業 株式会社 無電解錫、鉛又はそれらの合金めっき方法
US5203911A (en) * 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US5240497A (en) * 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
US5380560A (en) * 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
WO1995002900A1 (en) * 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
US5468597A (en) * 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5368718A (en) 1993-09-13 1994-11-29 Enthone-Omi, Inc. Electrowinning of direct metallization accelerators
US5384284A (en) * 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
US5415890A (en) * 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5488984A (en) * 1994-06-03 1996-02-06 A. O. Smith Corporation Electric motor rotor lamination treatment to prevent rotor soldering
US6197364B1 (en) * 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) * 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US5910340A (en) * 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) * 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5648125A (en) * 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5846598A (en) * 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
US5733816A (en) * 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) * 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
WO1997022733A1 (en) * 1995-12-19 1997-06-26 Fsi International Electroless deposition of metal films with spray processor
US5891513A (en) * 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5674787A (en) * 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5824599A (en) * 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5798940A (en) 1996-07-05 1998-08-25 Bratton; Wes In situ oxidation reduction potential measurement of soils and ground water
US5904827A (en) * 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5830805A (en) * 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5695810A (en) * 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US5843538A (en) * 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
DE19700231C2 (de) * 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Vorrichtung zum Filtern und Trennen von Strömungsmedien
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US5885749A (en) * 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5933757A (en) * 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6136693A (en) * 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6113769A (en) * 1997-11-21 2000-09-05 International Business Machines Corporation Apparatus to monitor and add plating solution of plating baths and controlling quality of deposited metal
US6077780A (en) * 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US6140234A (en) * 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US5932077A (en) * 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
JPH11226387A (ja) * 1998-02-13 1999-08-24 Karasawa Fine:Kk 流体による処理方法および装置
US6171661B1 (en) * 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6416647B1 (en) * 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6113771A (en) * 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
EP1112125B1 (en) * 1998-06-30 2006-01-25 Semitool, Inc. Metallization structures for microelectronic applications and process for forming the structures
US6436816B1 (en) * 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6165912A (en) * 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
US6180523B1 (en) * 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6107199A (en) * 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
US20040065540A1 (en) 2002-06-28 2004-04-08 Novellus Systems, Inc. Liquid treatment using thin liquid layer
JP3064268B2 (ja) 1998-10-29 2000-07-12 アプライド マテリアルズ インコーポレイテッド 成膜方法及び装置
US6251236B1 (en) * 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6228233B1 (en) * 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6267853B1 (en) * 1999-07-09 2001-07-31 Applied Materials, Inc. Electro-chemical deposition system
US6015747A (en) * 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) * 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6010962A (en) * 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) * 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
US6136163A (en) * 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6144099A (en) * 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
US6323128B1 (en) * 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
US6174812B1 (en) * 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6110530A (en) * 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
US6516815B1 (en) * 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6258223B1 (en) * 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6342733B1 (en) * 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6441492B1 (en) * 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6432819B1 (en) * 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6153935A (en) * 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6632335B2 (en) 1999-12-24 2003-10-14 Ebara Corporation Plating apparatus
US6743473B1 (en) * 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
JP3979791B2 (ja) * 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
JP2001355074A (ja) * 2000-04-10 2001-12-25 Sony Corp 無電解メッキ処理方法およびその装置
US6645550B1 (en) * 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
US6436267B1 (en) * 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features
US6291348B1 (en) * 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
US6717189B2 (en) * 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US6573606B2 (en) * 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
JP2004533123A (ja) * 2001-06-14 2004-10-28 マトソン テクノロジー インコーポレーテッド 銅接続用の障壁エンハンスメント工程
TW554069B (en) 2001-08-10 2003-09-21 Ebara Corp Plating device and method
KR20040018558A (ko) * 2001-08-13 2004-03-03 가부시키 가이샤 에바라 세이사꾸쇼 반도체장치와 그 제조방법 및 도금액
US6605874B2 (en) * 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
US20030116439A1 (en) * 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US6913651B2 (en) * 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
US20030190426A1 (en) * 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6616967B1 (en) * 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6699380B1 (en) * 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
JP4245996B2 (ja) 2003-07-07 2009-04-02 株式会社荏原製作所 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置
JP4644676B2 (ja) 2003-10-06 2011-03-02 アプライド マテリアルズ インコーポレイテッド フェイスアップウェット処理用のウェーハ温度均一性を改善する装置

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000124156A (ja) * 1998-10-12 2000-04-28 Sony Corp 半導体製造装置
JP2002129344A (ja) * 2000-10-26 2002-05-09 Ebara Corp 無電解めっき装置
WO2002047139A2 (en) * 2000-12-04 2002-06-13 Ebara Corporation Methode of forming a copper film on a substrate
JP2002285343A (ja) * 2000-12-11 2002-10-03 Ebara Corp 無電解めっき装置
JP2002302773A (ja) * 2001-04-06 2002-10-18 Sony Corp 無電解メッキ装置およびその方法
JP2003129250A (ja) * 2001-08-10 2003-05-08 Ebara Corp めっき装置及びめっき方法
WO2003065423A2 (en) * 2002-01-28 2003-08-07 Applied Materials, Inc. Electroless deposition apparatus and method
JP2005539369A (ja) * 2002-01-28 2005-12-22 アプライド マテリアルズ インコーポレイテッド 無電解堆積装置

Also Published As

Publication number Publication date
US7465358B2 (en) 2008-12-16
US20050084615A1 (en) 2005-04-21
TW200523391A (en) 2005-07-16
TWI368665B (en) 2012-07-21
EP1685280A2 (en) 2006-08-02
WO2005038094A3 (en) 2005-08-25
KR20060097029A (ko) 2006-09-13
JP2007509236A (ja) 2007-04-12
US7341633B2 (en) 2008-03-11
WO2005038094A2 (en) 2005-04-28
US20050081785A1 (en) 2005-04-21
CN1922344A (zh) 2007-02-28

Similar Documents

Publication Publication Date Title
JP4875492B2 (ja) 無電解堆積のための装置
JP3979464B2 (ja) 無電解めっき前処理装置及び方法
US8062955B2 (en) Substrate processing method and substrate processing apparatus
US20040234696A1 (en) Plating device and method
US7141274B2 (en) Substrate processing apparatus and method
US20070111519A1 (en) Integrated electroless deposition system
US20060033678A1 (en) Integrated electroless deposition system
US20050208774A1 (en) Wet processing method and processing apparatus of substrate
JP2005539369A (ja) 無電解堆積装置
WO2005071138A1 (ja) 基板処理方法及び触媒処理液及び基板処理装置
US6706422B2 (en) Electroless Ni—B plating liquid, electronic device and method for manufacturing the same
WO2008002977A2 (en) Apparatus for applying a plating solution for electroless deposition
JP2003129250A (ja) めっき装置及びめっき方法
WO2007016218A2 (en) Integrated electroless deposition system
US20030221612A1 (en) Substrate processing apparatus
US20060003570A1 (en) Method and apparatus for electroless capping with vapor drying
JP2008013851A (ja) 回転保持装置及び半導体基板処理装置
JP2003193246A (ja) 無電解めっきの前処理方法及び前処理液
JP2002285343A (ja) 無電解めっき装置
JP2003273056A (ja) 基板処理装置及び基板処理方法
JP2004300576A (ja) 基板処理方法及び基板処理装置
JP2005194613A (ja) 基板の湿式処理方法及び処理装置
US20050022909A1 (en) Substrate processing method and substrate processing apparatus
US20040186008A1 (en) Catalyst-imparting treatment solution and electroless plating method
JP2004304021A (ja) 半導体装置の製造方法及び製造装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071012

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091104

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100203

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101108

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101109

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110131

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111027

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111125

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141202

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees