TWI343840B - Apparatus for electroless deposition of metals onto semiconductor substrates - Google Patents

Apparatus for electroless deposition of metals onto semiconductor substrates Download PDF

Info

Publication number
TWI343840B
TWI343840B TW095124386A TW95124386A TWI343840B TW I343840 B TWI343840 B TW I343840B TW 095124386 A TW095124386 A TW 095124386A TW 95124386 A TW95124386 A TW 95124386A TW I343840 B TWI343840 B TW I343840B
Authority
TW
Taiwan
Prior art keywords
substrate
fluid
assembly
process chamber
electroless
Prior art date
Application number
TW095124386A
Other languages
Chinese (zh)
Other versions
TW200800412A (en
Inventor
Dmitry Lubomirsky
Arulkumar Shanmugasundram
Ian A Pancham
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/175,251 external-priority patent/US7654221B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200800412A publication Critical patent/TW200800412A/en
Application granted granted Critical
Publication of TWI343840B publication Critical patent/TWI343840B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/6723Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one plating chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1619Apparatus for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemically Coating (AREA)

Description

1343840 九、發明說明: 【發明所屬之技術領域】 本發明之實施例一般是關於一種用於半導體製程的無 電鍵(electroless deposition)系統。 【先前技術】1343840 IX. Description of the Invention: TECHNICAL FIELD OF THE INVENTION Embodiments of the present invention generally relate to an electroless deposition system for use in a semiconductor process. [Prior Art]

次 100 奈米 (sub-100 nanometer) 尺寸特徵結構 (feature )之金屬化製程為現今與下世代積體電路製程的 關鍵技術之一。特別是製造極大型積體電路元件,其具有 好幾百萬邏輯閘極構成之積體電路、以及位於元件核心之 多層内連線,其中内連線一般是填充導電材料(例如銅)到 高深寬比(即大於約 2 5 : 1)之内連線特徵結構而形成。在此 尺寸下,傳統沉積技術,如化學氣相沈積法(CVD)與物理 氣相沈積法(PVD),並不能確實地填充内連線特徵結構。 因此,電鍵技術,即電化學電鐘法(electrochemical plating) 與無電鍵法(electroless plating ),則被視為可無孔洞 (void-free)填充次 100奈米尺寸之高深寬比内連線特徵結 構的積體電路製程之一。此外,電化學電鍍法與無電鍍法 亦被認為可用來沉積後續之沉積層(例如覆蓋層)的製程。 然而,對無電鍍法而言,傳統無電鍍處理系統與方法 已面臨多項挑戰,例如如何準確控制沉積製程及沉積層中 的缺陷比率。尤其是當傳統無電鍍室中的阻抗型加熱器與 加熱燈管均無法提供均勻溫度至基材表面時,傳統系統將 難以控制基材溫度,而此乃攸關無電鍍沉積製程的均勻 1343840 性。另外,傳統無電鍍系統並未控制無電鍍室内的環境, 然最近已顯不此會對缺陷比率造成實質上的影響。 再者,基於環境與成本(cost-of ownership,c〇〇)之考 量,莫不期能降低化學品用量並使其足以均勾覆蓋基材表 面,以減少無電鍍製程中昂貴化學品的浪費。因無電鍍處 理溶液輸送至基材表面的速度與均勻性會影響沉積結果, 故需要一種汉備與方法來均勻輸送各種處理溶液。此外, 當流體接觸基材及在基材與基材支撐組件間流動時亦期 能藉由在基材背面進行熱傳導與熱對流來控制基材溫度。 由於在無電鍍製程中,尚未開發出具機能性且有效率 的整合型平台,來均勻地沉積各層並產生極少的缺陷。因 此,需要一種整合型無電鍍設備,用以沉積均勻性佳且具 有最少缺陷的沉積層》 【發明 本 室’其 之基材 面,其 而轉動 一流體 游側, 側為流 構件的 發明之實施例提供一種適用於處理基材的無電製程 包含一位於一處理區域之平台組件,以及一可旋轉 支樓組件,其係位於一處理區域且具有一基材支撑 中可旋轉之基材支撐組件係適用以相對於平台組件 。平台組件包括:—具流通孔貫穿其中的基底構件; 擴散構件,密設於基底構件且具有―上游側與_下 其中流艘擴散樓件具有多個流道而與上游惻與下游 雜連通;-流艘空間’形成在基底構件與流趙擴散 上游側之間;以及一特徵結構,突出於流體擴散構 6 1343840 件下游側上方一第一距離。The metallization process of the sub-100 nanometer size feature is one of the key technologies for today's and next generation integrated circuit processes. In particular, it is a very large integrated circuit component having an integrated circuit composed of several million logic gates and a plurality of interconnecting wires at the core of the device, wherein the interconnecting wires are generally filled with a conductive material (for example, copper) to a high depth. Formed by a wiring structure within a width ratio (ie, greater than about 2 5 : 1). At this size, conventional deposition techniques, such as chemical vapor deposition (CVD) and physical vapor deposition (PVD), do not reliably fill the interconnect features. Therefore, the electro-bonding technique, that is, electrochemical plating and electroless plating, is considered to be a void-free filled high aspect ratio interconnect characteristic structure of the next 100 nm size. One of the integrated circuit processes. In addition, electrochemical plating and electroless plating are also considered to be useful in the deposition of subsequent deposited layers (e.g., capping layers). However, for electroless plating, conventional electroless plating systems and methods have faced several challenges, such as how to accurately control the deposition process and the defect ratio in the deposited layer. Especially when the impedance heater and the heating lamp in the conventional electroless plating chamber cannot provide uniform temperature to the surface of the substrate, the conventional system will have difficulty controlling the substrate temperature, which is the uniform 1343840 property of the electroless deposition process. . In addition, the conventional electroless plating system does not control the environment in the electroless plating chamber, but it has not been shown to have a substantial impact on the defect ratio. Moreover, based on the consideration of cost-of ownership (c〇〇), it is not only possible to reduce the amount of chemicals and make it enough to cover the surface of the substrate to reduce the waste of expensive chemicals in the electroless plating process. Since the speed and uniformity of the electroless plating solution transported to the surface of the substrate affect the deposition result, a preparation and method are needed to uniformly transport the various treatment solutions. In addition, the temperature of the substrate can be controlled by thermal conduction and thermal convection on the back side of the substrate as the fluid contacts the substrate and flows between the substrate and the substrate support assembly. Since an electroless and efficient integrated platform has not been developed in the electroless plating process, the layers are uniformly deposited with few defects. Therefore, there is a need for an integrated electroless plating apparatus for depositing a deposition layer having good uniformity and having the least defects. [Inventive room] The substrate surface thereof, which is rotated by a fluid side, and the side is a flow member. Embodiments provide an electroless process suitable for treating a substrate comprising a platform assembly located in a processing region, and a rotatable branch assembly positioned in a processing region and having a substrate support for rotatable substrate support assembly Suitable for relative to platform components. The platform assembly comprises: a base member having a flow hole therethrough; a diffusion member densely disposed on the base member and having an "upstream side" and a lower portion, wherein the flow diffusion structure has a plurality of flow passages and is in communication with the upstream weir and the downstream; a flow space 'formed between the base member and the upstream side of the flow diffusion; and a characteristic structure projecting a first distance above the downstream side of the fluid diffusion structure 6 1343840.

本發明之實施例另提供一種適用於處理基材的無電製 程室,其包含一位於一處理區域之平台組件,以及一可旋 轉之基材支撐組件,其係位於一處理區域且具有一基材支 撐面,其中可旋轉之基材支撐組件係適用以相對於平台組 件而轉動。平台組件包括··一具流通孔貫穿其中的基底構 件;一流體擴散構件,密設於基底構件且具有一上游側與 一下游側;一流體空間,形成在基底構件與流體擴散構件 上游側之間:以及複數個形成於流體擴散構件中的流道, 其中流道與流體擴散構件的上游側與下游側為流體連通, 而至少一流道更包含一與上游側為流體連通且具第一載面 積的第一特徵結構、和一具第二截面積的第二特徵結構, 其中第一特徵結搆與第二特徵結構彼此為流體連通。Embodiments of the present invention further provide an electroless process chamber suitable for treating a substrate, comprising a platform assembly located in a processing region, and a rotatable substrate support assembly disposed in a processing region and having a substrate A support surface, wherein the rotatable substrate support assembly is adapted to rotate relative to the platform assembly. The platform assembly includes: a base member through which the flow hole penetrates; a fluid diffusion member densely disposed on the base member and having an upstream side and a downstream side; and a fluid space formed on the upstream side of the base member and the fluid diffusion member And a plurality of flow passages formed in the fluid diffusion member, wherein the flow passage is in fluid communication with the upstream side and the downstream side of the fluid diffusion member, and at least the first passage further comprises a fluid communication with the upstream side and having the first load a first characteristic structure of the area, and a second characteristic structure having a second cross-sectional area, wherein the first characteristic structure and the second characteristic structure are in fluid communication with each other.

本發明之實施例還提供一種適用於處理基材的無電製 程室,其包含:一可旋轉之基材支擇組件,其係位於一無 電製程室中的處理區域,且具有一或多個基材支撑面;一 邊堤,位於一處理區域且具有一第一表面,其中可將邊堤 及/或定位於基材支撐面上的一基材經過定位,而於第一表 面與基材邊緣之間形成一間隙;以及一流體源,係適用以 輸送無電處理溶液至設置於基材支撐組件上的基材表面。 本發明之實施例尚提供一種適用於處理基材的無電製 程室,其包含:一可旋轉之基材支撐組件,位於一無電製 程室中的處理區域,並具有一或多個呈放射狀間隔設置的 基材支撐特徵結構,且每一特徵結構具有一基材支撐面; 1343840Embodiments of the present invention also provide an electroless process chamber suitable for treating a substrate, comprising: a rotatable substrate-receiving component disposed in a processing region in an electroless process chamber and having one or more substrates a support surface; a bank located in a processing area and having a first surface, wherein the substrate and/or a substrate positioned on the substrate support surface can be positioned on the first surface and the edge of the substrate A gap is formed therebetween; and a fluid source is adapted to transport the electroless treatment solution to the surface of the substrate disposed on the substrate support assembly. Embodiments of the present invention also provide an electroless process chamber suitable for treating a substrate, comprising: a rotatable substrate support assembly, a processing region in an electroless process chamber, and having one or more radially spaced Providing a substrate supporting feature structure, and each feature structure has a substrate supporting surface; 1343840

一碗狀組件,位於處理區域且具有一或多個内壁,而 形成一流體空間,其係用以容納一或多個放射狀間隔 的基材支撐特徵結構和位於其中的流體;一流體源, 體空間和基材支撐面上的基材為流體連通;以及一流 熱器,係與流體空間内的流體為熱連通。 本發明之實施例亦提供一種適用於處理基材的無 程室,其包含一位於一處理區域之平台組件,以及一 轉之基材支撐組件,其係位於一處理區域且具有一基 撐面,其中可旋轉之基材支撐組件係適用以相對於平 件而轉動。平台組包括:一具上游側、下游側和複數 上游側與下游側為流體連通之流道的流體擴散構件; 第一流通孔貫穿其中的第一基底構件,其中第一基底 密設於流體擴散構件,而第一流通孔與流體擴散構件 至少一流道為流體連通;以及一具第二流通孔的第二 構件,其中第二基底構件密設於流體擴散構件,而第 通孔與流體擴散構件中的至少一流道為流體連通。 【實施方式】 第1圖繪示一系統1 0 0之一實施例。此系統1 0 0 一工作介面130,其包括複數個基材裝載台134來連 置基材之卡匣。一工作介面機械臂132位於工作介面 内,並接取、傳送基材126進出基材裝載台134上的4 工作介面機械臂132還可延伸至一連接工作介面130 要平台113的連結通道115。工作介面機械臂132係 内壁 設置 與流 體加 電製 可旋 材支 台組 個與 一具 構件 中的 基底 —流 包括 繫放 130 匣。 與主 配置 在可取出基材裝載台134中某 甲之丞材的位置,並可傳送基材 126至主要平台113上的甘士 ^ _ 其中一個製程至位置114、116或 傳送至退火室135。同様从 ^ ,在疋成基材處理程序後,工 作介面機械臂132可從迤— 從裊程至位置114、116或退火室135 取回基材126。在此情況, 1乍r ®機械臂1 3 2可將基 材126傳回基材裝載台n 戰〇 134上的卡£中,以將其移出系統 100 ° 一度量檢測站105,其可在系統 基材。度量檢測站1 〇 5可用來分 特性’如厚度、平坦度、晶粒結 例之度量檢測站例如包括B X - 3 0 工作介面130還包括 100處理前及/或後而檢測 析沉積於基材上之材料的 構' 形貌等。本發明實施a bowl-shaped assembly, located in the treatment zone and having one or more inner walls, forming a fluid space for receiving one or more radially spaced substrate support features and fluids therein; a fluid source, The body space is in fluid communication with the substrate on the substrate support surface; and the primary heat exchanger is in thermal communication with the fluid within the fluid space. Embodiments of the present invention also provide a free-standing chamber suitable for processing a substrate, comprising a platform assembly located in a processing region, and a substrate support assembly that is rotated in a processing region and having a base support surface Where the rotatable substrate support assembly is adapted to rotate relative to the flat member. The platform group includes: a fluid diffusion member having a flow path in which the upstream side, the downstream side, and the plurality of upstream sides are in fluid communication with the downstream side; the first base member through which the first flow hole penetrates, wherein the first base is densely disposed in the fluid diffusion a member, wherein the first flow aperture is in fluid communication with the fluid diffusion member at least in the first direction; and a second member having a second flow aperture, wherein the second base member is densely disposed to the fluid diffusion member, and the first through hole and the fluid diffusion member At least the first pass is fluidly connected. Embodiment 1 FIG. 1 illustrates an embodiment of a system 100. The system 100 has a working interface 130 that includes a plurality of substrate loading stations 134 for attaching the substrate to the cassette. A working interface robot arm 132 is located in the working interface, and the 4 working interface robot arm 132 for accessing and transporting the substrate 126 into and out of the substrate loading station 134 can also extend to a connecting channel 115 connecting the working interface 130 to the platform 113. The working interface arm 132 is provided with an inner wall and a fluid-charged rotatable support set and a base in a component - the flow includes a 130 匣. And the main configuration is at a position of a coffin in the removable substrate loading station 134, and the substrate 126 can be transferred to the gem on the main platform 113. One of the processes to the position 114, 116 or to the annealing chamber 135 . Simultaneously from ^, after the substrate processing procedure is completed, the working interface robot 132 can retrieve the substrate 126 from the crucible to the position 114, 116 or the annealing chamber 135. In this case, the 1乍r® robot arm 132 can transfer the substrate 126 back into the card on the substrate loading station n 134 to remove it from the system 100° metric detection station 105, which can System substrate. The metric detection station 1 〇5 can be used to classify characteristics such as thickness, flatness, and grain characterization. The detection station includes, for example, the BX-300 working interface 130, and also includes 100 before and/or after processing to detect deposition on the substrate. The structure of the material on the 'topography and so on. Implementation of the invention

先進内連線量測系站 R 、統、及微距掃瞄式電子顯微鏡(CDSEM) 或缺陷再檢掃瞄式Φ工Ss λ 八電子顯微鏡(Dr_SEM)檢測站,這些設備 白可從美國加州聖克拉拉的應用材料公司(AppliedAdvanced interconnect measurement system R, system, and macro scanning electron microscope (CDSEM) or defect re-scanning type S S λ eight electron microscope (Dr_SEM) inspection station, these equipment can be obtained from California Santa Clara Applied Materials (Applied

Materials,Incis Λ θ , , •)取得。度量檢測站之另一實施例描述於美 國專利申清案號第60/513,310號,申請曰2003年10月21 曰’名稱「具基材檢測裝置之電鍍系統(Plating System with Integrated Substrate Inspection)」的申請案中,其一併附 上供作參考。 退火室135 —般包括一雙配置(two position)之退火 室’其中一冷卻盤136與一加熱盤137彼此相鄰’且一基 材傳送機械臂140鄰近設置於例如兩盤之間。基材傳送機 械臂140 一般是用來移動基材於加熱盤137與冷卻盤136 之間。系統100可包括複數個退火室135’其中退火室135 1343840Materials, Incis Λ θ , , •). Another embodiment of a metrology detection station is described in U.S. Patent Application Serial No. 60/513,310, filed on Oct. 21, 2003, entitled "Plating System with Integrated Substrate Inspection" In the application, it is attached for reference. The annealing chamber 135 generally includes a two position annealing chamber 'one of which is adjacent to a heating plate 137' and a substrate transfer robot 140 is disposed adjacent to, for example, between the two disks. The substrate transfer robot 140 is generally used to move the substrate between the heating plate 137 and the cooling plate 136. System 100 can include a plurality of annealing chambers 135' wherein annealing chamber 135 1343840

可為堆疊配置。再者,退火室135雖然位於鄰 11 5的位置,但本發明之實施例並不限定退火 置方式。因此,退火室135可直接連通主要乎 可藉由主要平台機械臂120而進出;或者,退 連繫於主要平台113,即退火室135位在與主 相同的系統中,但不直接與主要平台113連接 平台機械臂120進出。如第1圖所示,退火室 連接於連結通道115,並藉由機械臂132及/或 進入主要平台1 1 3。退火室1 3 5的其他相關描 法可參見美國專利申請案號第1 0/823,849號, 置退火室(Two Position Anneal Chamber)」,申 年4月13曰的申請案,其一併附上供作參考。 主要平台 113 包括一位於中央的主要 120。主要平台機械臂120 —般包括一或多個 124,用以支撐與傳送基材。此外,主要平台 與伴隨之葉片122、124 —般可獨立延伸、轉動 直移動,故主要平台機械臂120可同時移動基 平台113上的多個製程室位置102、104、106 1 1 2、1 1 4、1 1 6。同樣地,工作介面機械臂1 3 2功 延伸、軸轉與垂直移動其基材支撐葉片的能力 從工作介面130延伸至主要平台113的機械臂 性移動。 一般而言,基材處理系統中的製程室位置 106 ' 108、 110、 112、 114' 116 可為任意數量 近連結通道 室135的配 台1 1 3,即 火室135可 要平台113 或藉由主要 1 35可直接 ,機械臂1 2 0 述與操作方 名稱「雙配 請曰為2004 平台機械臂 葉片122 、 機械臂 1 2 0 I、轴轉與垂 材進出主要 '108' 110、 F具有轉動、 ,且可沿著 軌道1 5 0線 102 、 104 、 之製程室。 (S ) 10 1343840 尤其是,製程至可殘計為電化學電鍵室、洗務室、斜面清 洗至、旋轉洗蘇乾燦室、基材表面清洗室(其整體包括清洗 室、洗蘇至和#刻室無電鍍室(其包括前清洗與後清洗 室、活化室、沉積室等)、度量檢測站、及/或其他適用於 沉積製程系統及/或平台的製程室。 每一製程室位置 1〇2、1〇4、106、108、110、112、114、 116與機械臂132、120通常連繫於一系統控制器111,其Can be configured for stacking. Further, although the annealing chamber 135 is located at the position of the adjacent portion 15, the embodiment of the present invention is not limited to the annealing mode. Therefore, the annealing chamber 135 can be directly connected to and from the main platform robot 120; or, the retreat can be connected to the main platform 113, that is, the annealing chamber 135 is in the same system as the main, but not directly with the main platform. 113 connects the platform robot arm 120 in and out. As shown in Fig. 1, the annealing chamber is connected to the connecting passage 115 and enters the main platform 1 13 by the robot arm 132 and/or. For other related descriptions of the annealing chamber 135, see US Patent Application No. 10/823,849, Two Position Anneal Chamber, application for April 13th of the year, which is attached Reference. The main platform 113 includes a central 120. The main platform robot arm 120 generally includes one or more 124s for supporting and transporting the substrate. In addition, the main platform and the accompanying blades 122, 124 can be independently extended and rotated to move straight, so the main platform robot arm 120 can simultaneously move the plurality of process chamber positions 102, 104, 106 1 1 2, 1 on the base platform 113. 1 4, 1 1 6. Similarly, the working interface robot arm extends, pivots, and vertically moves its substrate support blades. The ability to extend from the working interface 130 to the main platform 113 is mechanically movable. In general, the process chamber locations 106' 108, 110, 112, 114' 116 in the substrate processing system can be any number of stations 1 1 3 of the proximal connection channel chamber 135, ie, the fire chamber 135 can be platform 113 or borrow Directly from the main 1 35, the robot arm 1 2 0 is described with the operator name "Double-fit please be the 2004 platform arm blade 122, the robot arm 1 2 0 I, the shaft rotation and the vertical material in and out of the main '108' 110, F The process chamber has a rotation, and can be along the line 150 line 102, 104. (S) 10 1343840 In particular, the process can be counted as an electrochemical key chamber, a wash chamber, a bevel cleaning to, a spin wash Sugancan room, substrate surface cleaning chamber (the whole includes cleaning chamber, washing chamber to and #刻室 electroless plating chamber (which includes pre-cleaning and post-cleaning chamber, activation chamber, deposition chamber, etc.), measurement station, and/or Other process chambers suitable for deposition process systems and/or platforms. Each process chamber location 1, 2, 4, 4, 106, 108, 110, 112, 114, 116 and mechanical arms 132, 120 are typically associated with a system Controller 111, which

可為一微處理控制系統,用以接收使用者及/或系統! 〇〇上 之各種感測器的輸入值,並根據輸入值及/或預定製程參數 來適當控制、操作糸統1 〇 〇。控制器1 1 1 一般包含—記憶 裝置(未繪示)及一中央處理器(CPU,未繪示),且控制器 11 1利用記憶裝置與C P u,以於需要時保留、處理和執行 各種程式。記憶裝置連接於CPU,且可為一或多個隨手可 得之記憶體元件,例如隨機存取記憶體(RAM)、唯讀記憶 體(ROM)、軟碟、硬碟、或其他本區或遠端之數位形式的 儲存體。軟體指令與資料可加以編碼並存入記憶裝置用 指示cpu。支援電路(未繪示)亦連接於cpu,並以一般 以 方式支援處理器。支援電路可包括 高速緩衝儲存器、電源 供應器 '時鐘電路 '輸入/輸出電踗 h么从 电硌、次系統、及其他此領 域所熟知的元件。控制器1 1〗所呤 所讀取的程式(或電腦指令) 決定製程室該進行何種動作。較估认 ^ ^ 规佳地,程式是控制器U1 可讀取的軟體’軟體包括根據定Μ 義規則與輸入資料而監控 無電鍍製程之指令。 另外,製程室位置102 〇4、 106 、 108 、 11〇 、 112 、 1343840 1 1 4 ' 1 1 6亦連繫於一流體輸送系統(如下述之流體引入系 統1 200) ’以於製程進行時提供各製程室所需流體。流體 輸送系統一般受控於系統控制器1 1 1。流體輸送系統的例 子可參見美國專利申請案號第1 0/438,624號,名稱「多重 化學作用之電化學製程系統(Multi-Chemistry Electrochemical Processing System)」,申請日為 2003 年 5 月14日的申請案,其一併附上供作參考。It can be a microprocessor control system for receiving users and/or systems! The input values of the various sensors on the , are appropriately controlled and operated according to the input values and/or predetermined process parameters. The controller 1 1 1 generally includes a memory device (not shown) and a central processing unit (CPU, not shown), and the controller 11 1 utilizes the memory device and the CP u to reserve, process, and execute various functions as needed. Program. The memory device is connected to the CPU and can be one or more readily available memory components, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or other local area or A remote form of storage in the form of a digit. The software commands and data can be encoded and stored in the memory device to indicate cpu. A support circuit (not shown) is also connected to the CPU and supports the processor in a general manner. The support circuitry may include a cache memory, a power supply 'clock circuit' input/output device, an electrical system, a subsystem, and other components well known in the art. Controller 1 1 呤 The program (or computer command) read determines which action the process chamber should perform. It is estimated that the program is a software readable by the controller U1. The software includes instructions for monitoring the electroless process according to the defined rules and input data. In addition, the process chamber locations 102 〇 4, 106 , 108 , 11 〇 , 112 , 1343840 1 1 4 ' 1 16 are also associated with a fluid delivery system (such as the fluid introduction system 1 200 described below) for process execution. Provide the fluid required for each process chamber. The fluid delivery system is typically controlled by system controller 1 1 1. An example of a fluid delivery system can be found in U.S. Patent Application Serial No. 10/438,624, entitled "Multi-Chemistry Electrochemical Processing System", filed on May 14, 2003. The case is attached for reference.

根據系統1 0 0之一實施例,製程室位置1 〇 2、1 〇 4、1 0 6、According to one embodiment of the system 100, the process chamber position is 1 〇 2, 1 〇 4, 1 0 6

1 0 8、1 1 0、1 1 2、1 1 4、1 1 6可參照第1圖配置如下。製程 室位置114、116可位於主要平台113上的濕式處理站與乾 式處理站之間,或位於連結通道115、退火室〗35與工作 介面130之間的介面。位於介面之製程室位置114、116 例如為旋轉洗蘇乾燥室及/或基材清洗室。各製程室位置 114、116可包括堆疊配置之旋轉洗滌乾燥室與基材清洗 室。或者,製程室位置114可包括一旋轉洗將乾燥室,而 製程室位置116可包括一基材清洗室。在另一實施例令, 每一製程室位置114、116可包括一組合之旋轉洗滌乾燥室 與基材清洗室。本發明實施例之旋轉洗滌乾燥室的詳細說 明可參見美國專利申請案號第10/680,616號,名稱「旋轉 洗蘇乾燥室(Spin Rinse Dry Cell)」,申請曰為2003年1〇 月6曰的申請案,其一併附上供作參考。 製程室位置106、108可為基材清洗室,且尤其可為基 材斜面清洗室(bevel cleaning cells)’即在完成沉積製程 後,用來移除基材邊緣或基材背面多餘沉積物的製程室。 12 1343840 斜面清洗室的例子描述於美國專利申請案號第1 0/826,492 號,名稱「整合式斜面清洗室(Integrated Bevel Clean Chamber)」,申請曰為2 0 0 4年4月16曰的申請案,其一併 附上做為參考。在又一實施例中,更可依需求將製程室位 置106、108從系統100中移除。此外,製程室位置106、 108可為一或一組無電鍍室,其將進一步詳述於後。 製程室位置102、104與製程室位置110、112可為無 電鍍室。無電鍍製程室位置102、104、110、112可置於主 要平台113上的製程封閉區(enclosure)3 02内,其中每一 個製程封閉區3 0 2配置二個製程室,即製程室位置1 1 0、 112做為一第一製程封閉區302中的第一製程室與第二製 程室,而製程室位置102、104做為一第二製程封閉區302 中的第三製程室與第四製程室。另外,如上所述,本發明 實施例之製程室位置106、108可具有一置於其上的製程封 閉區3 0 2,而且製程室位置1 0 6、1 0 8可依需求以類似配置 製程室位置102、104、110、112的方法來設置。1 0 8 , 1 1 0, 1 1 2, 1 1 4, 1 1 6 can be configured as follows with reference to Fig. 1. The process chamber locations 114, 116 may be located between the wet processing station and the dry processing station on the main platform 113, or between the joining channel 115, the annealing chamber 35, and the working interface 130. The process chamber locations 114, 116 located at the interface are, for example, rotating spin-drying chambers and/or substrate cleaning chambers. Each of the process chamber locations 114, 116 can include a rotating wash drying chamber and a substrate cleaning chamber in a stacked configuration. Alternatively, the process chamber location 114 can include a spin wash chamber and the process chamber location 116 can include a substrate cleaning chamber. In another embodiment, each of the process chamber locations 114, 116 can include a combined rotary wash drying chamber and substrate cleaning chamber. A detailed description of the rotary washing and drying chamber of the embodiment of the present invention can be found in U.S. Patent Application Serial No. 10/680,616, entitled "Spin Rinse Dry Cell", filed on January 1, 2003. The application is attached for reference. The process chamber locations 106, 108 can be substrate cleaning chambers, and in particular can be substrate bevel cleaning cells', ie, after the deposition process is completed, used to remove excess deposits on the edge of the substrate or on the back side of the substrate. Process room. 12 1343840 An example of a bevel cleaning chamber is described in U.S. Patent Application Serial No. 10/826,492, entitled "Integrated Bevel Clean Chamber", filed on April 16, 2004. The case is attached as a reference. In yet another embodiment, the process chamber locations 106, 108 can be removed from the system 100 as desired. Additionally, the process chamber locations 106, 108 can be one or a set of electroless plating chambers, which will be described in further detail below. The process chamber locations 102, 104 and the process chamber locations 110, 112 can be electroless chambers. The electroless process chamber locations 102, 104, 110, 112 can be placed in a process enclosure 032 on the main platform 113, wherein each process enclosure 30 2 is configured with two process chambers, ie, the process chamber location 1 10, 112 as the first process chamber and the second process chamber in the first process enclosure 302, and the process chamber locations 102, 104 as the third process chamber and the fourth in the second process enclosure 302 Process room. In addition, as described above, the process chamber positions 106, 108 of the embodiment of the present invention may have a process enclosure area 3 0 2 disposed thereon, and the process chamber positions 1 0 6 and 1 0 8 may be similarly configured as required. The method of chamber locations 102, 104, 110, 112 is set.

支基統 一 系於而12 鍍或系另到定 ,1 電i/, 而用限室置 或、例,應不化位 室室施室製明活室 鍍化實化複發電程 電 W 1 活可本無製 括電之一般但一地 包無00為一,為i 可 ' 1 室式如可同 室室統理方例02. 鍵錄 系處置 β 1 室 電電鍍體配02置鍍 無無電流種 3 位電 的、無一此區室無 中室據的。閉程一 02鍵 根室室封製為 3 電。程鍍程’可 區學室製電製式04 閉化洗組無的方 1 封電清一為側置置 程如或每則對配位 製,蘇中室00定室 室洗 〇 程 1 特程 援材10製統此製 (S :) 13 1343840 可為一無電活化室,而製程室位置n〇可為—無電鍍室。 各製程封閉區302中的製程室一般係受控於系統控制器 Π 1而各自作動。 第2圖為無電鍍系統1 〇 〇和封閉區3 〇 2之一實施例的 立想圖’其内例如包含製程室位置11〇'丨12。為清楚表示, 其省电繪不第2圖中製程室位置11〇、112的硬體設備。一 封閉區302定義出一組製程室位置11〇、112周圍的製程控 制環境。此製程封閉區302可包括一中央内壁308,其一 般將製程環境分為二個相同大小的製程空間3丨2、3 1 3。儘 管中央内壁308可有可無,但採用中央内壁3〇8時,一般 會在製程室位置110上方形成一第一製程空間312,而在 製程室位置112上方形成一第二製程空間313。第一與第 一製程空間3 1 2、3 1 3實質上被中央内壁3 〇 8隔開,然申央 内壁3 08之下部包括一凹槽或狹縫31〇。狹縫31〇係按照 可容納一位於製程室位置110、112之間的基材傳輸裝置 305之尺寸而製作。基材傳輸裝置3〇5 _般用來在各製程 室間傳送基材(製程室位置no — —製程室位置U2),而不 需使用主要平台機械臂120。基材傳輪裝置3〇5可為—真 空吸座型基材支樓構件’因其可以一點為轴心旋轉,故基 材傳輸裝置305之一基材支撐末端可依箭頭3〇3所指方向 (如第1圖所示)移動,進而傳送基材於各製程室位署 夏 11 0、 112之間。每一製程空間312、313還包括一可密封的入口 304,用以使一機械臂,如主要平台機械臂12〇,進入各製 程空間3 1 2、3 1 3來放入或取出基材。The base is unified and the 12 is plated or the other is fixed, 1 electric i /, and the chamber is set or, for example, the room should be replaced by the chamber chamber to rectify the recurring electric power W 1 The living can be without electricity, but the package is not 00 for one. It can be '1 room type, such as the same room room. Example 02. Key record system treatment β 1 room electric plating body with 02 plating No current type, 3 digits of electricity, no one compartment has no medium room. Closed door 02 key The root chamber is sealed to 3 electricity. Cheng Platinum 'can be used in the classroom system of electricity system 04 closed cleaning group no side 1 sealed electric clear one for side placement such as or each pair of matching system, Suzhong room 00 fixed room room washing process 1 special Cheng Yongcai 10 system system (S:) 13 1343840 can be an electroless activation chamber, and the process chamber position n〇 can be - electroless plating chamber. The process chambers in each process enclosure 302 are typically controlled by a system controller Π 1 . Fig. 2 is a schematic view of an embodiment of an electroless plating system 1 〇 〇 and an enclosed area 3 〇 2, which includes, for example, a process chamber position 11 〇 '丨12. For the sake of clarity, the power saving device is not the hardware device of the process chamber position 11〇, 112 in the second figure. A closed area 302 defines a set of process control environments around the process chamber locations 11A, 112. The process enclosure 302 can include a central inner wall 308 that generally divides the process environment into two process spaces 3, 2, 3 1 3 of the same size. Although the central inner wall 308 may or may not be used, when the central inner wall 3〇8 is used, a first process space 312 is generally formed above the process chamber position 110, and a second process space 313 is formed above the process chamber position 112. The first and first process spaces 3 1 2, 3 1 3 are substantially separated by a central inner wall 3 〇 8 , and the lower portion of the inner wall 3 08 includes a recess or slit 31 〇. The slits 31 are fabricated to accommodate a substrate transfer device 305 located between the process chamber locations 110, 112. The substrate transfer device 3 〇 5 is generally used to transfer the substrate between the process chambers (process chamber position no - process chamber position U2) without the use of the main platform robot arm 120. The substrate transfer device 3〇5 can be a vacuum suction type substrate support member “because it can rotate at a point, so that the substrate support end of the substrate transfer device 305 can be referred to by the arrow 3〇3. The direction (as shown in Figure 1) is moved, and the substrate is transferred between the processing chambers in summer, between 10 and 112. Each of the process spaces 312, 313 also includes a sealable inlet 304 for engaging a robotic arm, such as the main platform robot arm 12, into each of the process spaces 3 1 2, 3 1 3 to place or remove the substrate.

14 134384014 1343840

每一製程空間 312、313尚包括一位於其上之環控 (environmental control)組件 315(參見第 2 圖,且為 了清 楚表示,環控組件 3 1 5係移開而不與製程空間3 1 2、3 1 3 接觸)。環控組件315包括一製程氣體源(未繪示),其與製 程空間3 1 2、3 1 3為流體連通,並且提供製程氣體給各製程 空間3 1 2、3 1 3。製程氣體源一般為提供一定量的惰性氣體 (如氮氣、氦氣、氫氣、氬氣)、及/或其混合氣體、或其他 半導體製程常用之氣體給各製程空間312、313。環控組件 315更包括一粒子過濾系統,如高效率微粒空氣(HEPA)過 濾系統。粒子過濾系統係用來移除流入製程空間3 1 2、3 1 3 的氣體所含之污染微粒。粒子過濾系統亦用來產生一普遍 線性且相同流量之製程氣體給其下方的製程室。環控組件 315更可包括控制各製程空間312'313之溼度、溫度、壓 力等條件的元件。系統控制器Π 1可用來調節環控組件3 1 5 與排放口 314之操作、和系統100中的其他元件,以依據 製程條件或接收製程空間3 1 2 ' 3 1 3中之感測器或偵測器 (未繪示)的輸入值,來控制製程空間312、313中的含氧量。 在運作時,一般是利用環控組件315來提供製程氣趙 至製程空間312、313。將製程氣體引入製程空間312、313 而使惰性氣體充滿此密閉之製程環境,以將可能會惡化無 電鍍製程之氣體(例如氧氣)排出製程空間3 1 2、3 I 3。一般 而言,製程氣體源是將製程氣體導入至製程室位置 u〇、 11 2上的製程空間3 1 2、3 1 3上部附近、及靠近各製程空間 312、313的中心部份。製程氣體一般是透過一 ηEPA過淚Each process space 312, 313 also includes an environmental control component 315 located thereon (see Figure 2, and for clarity of presentation, the ring control component 3 15 is removed without the process space 3 1 2 , 3 1 3 contact). The environmental control assembly 315 includes a process gas source (not shown) that is in fluid communication with the process space 3 1 2, 3 1 3 and provides process gases to the various process spaces 3 1 2, 3 1 3 . The process gas source is typically supplied to a respective process space 312, 313 by providing a quantity of inert gas (e.g., nitrogen, helium, hydrogen, argon), and/or a mixture thereof, or other gases commonly used in semiconductor processes. The environmental control component 315 further includes a particle filtration system such as a high efficiency particulate air (HEPA) filtration system. The particle filtration system is used to remove contaminating particles contained in the gas flowing into the process space 3 1 2, 3 1 3 . The particle filtration system is also used to generate a generally linear and identical flow of process gas to the process chamber below it. The environmental control component 315 may further include components that control conditions such as humidity, temperature, pressure, and the like of the various process spaces 312'313. The system controller Π 1 can be used to adjust the operation of the environmental control assembly 3 1 5 and the vent 314, and other components in the system 100 to or according to process conditions or receive sensors in the process space 3 1 2 ' 3 1 3 or The input value of the detector (not shown) controls the oxygen content in the process spaces 312, 313. In operation, the environmental control component 315 is typically utilized to provide process air to process space 312, 313. The process gas is introduced into the process spaces 312, 313 such that the inert gas fills the closed process environment to expel gases (e.g., oxygen) that may deteriorate the electroless process from the process space 3 1 2, 3 I 3 . Generally, the process gas source is introduced into the process chamber position u〇, 11 2 in the vicinity of the upper portion of the process space 3 1 2, 3 1 3 and near the central portion of each process space 312, 313. Process gas is generally passed through a ηEPA tear

(S 15 1343840(S 15 1343840

系統而引入到製程空間3 1 2、3 1 3中,用以減少散播 之微粒,並均分製程氣體流量與方向,使氣體均勻 地流入製程室位置Π 〇、11 2。 每一製程室位置110、112亦包括至少一排放口 複數個放射狀排列之排放口 3 1 4),使環控組件3 1 5 製程氣體均勻流入製程室位置1 1 0、1 1 2内。排放 可配置在各製程室位置 110、112所處理之基材下 者,排放口 314可由各製程室位置Π0、112而往外 配置。不論是何種配置方式,排放口 314可使製程 勻流動,或選擇性地使流體與化學蒸氣排出各製程 1 1 0、1 1 2 外。 提供惰性氣體至製程空間3 1 2、3 1 3之一典型方 以約1 0 slm至約300 slm,較佳為約1 2 slm至約80 氣體流量,來提供惰性氣體。流量一般應調整為足 不良氣體產生、殘留或滲入製程空間的量。當各製 3 1 2、3 1 3關閉時,即入口 3 04關閉時,可降低惰性 量。當入口 3 04開啟時,即當基材被移入或移出製 區3 02時,可增加製程氣體流量而使氣體流出製程 3 02。流出氣體是用來防止周遭氣體(特別是氧氣)流 封閉區内。一旦關閉入口 3 04,製程氣體流量即可 於進行基材處理的流量大小。在開始處理基材之前 持此流量一段時間,以將内部的氧氣排出製程空間 3 1 3外。排放口 3 1 4與製程氣體供應源相互配合, 製程空間312、313中的氧氣。排放口 314 —般連通 於空中 且連續 3 1 4(或 供應之 α 3 14 方;或 放射狀 氣體均 室位置 法包括 slm之 以減少 程空間 氣體流 程封閉 封閉區 進製程 降至適 ,可維 312、 而移除 於一標 16 1343840The system is introduced into the process space 3 1 2, 3 1 3 to reduce the scattered particles and to divide the process gas flow and direction so that the gas flows evenly into the process chamber position Π 〇, 11 2 . Each of the process chamber positions 110, 112 also includes at least one discharge port, a plurality of radially arranged discharge ports 3 1 4), so that the environmental control component 3 1 5 process gas uniformly flows into the process chamber position 1 1 0, 1 1 2 . The discharge can be disposed below the substrate processed by each process chamber location 110, 112, and the discharge port 314 can be disposed outwardly from each process chamber location Π0,112. Regardless of the configuration, the vent 314 allows the process to flow evenly, or selectively discharges fluid and chemical vapors out of the various processes 1 1 0, 1 1 2 . An inert gas is supplied to the process space 3 1 2, 3 1 3 typically to provide an inert gas at a gas flow rate of from about 10 slm to about 300 slm, preferably from about 12 sl to about 80. The flow rate should generally be adjusted to the amount of bad gas generated, residual or infiltrated into the process space. When the systems 3 1 2, 3 1 3 are closed, ie when the inlet 3 04 is closed, the inertia is reduced. When the inlet 304 is opened, i.e., when the substrate is moved into or out of the zone 302, the process gas flow can be increased to allow the gas to flow out of the process 302. The effluent gas is used to prevent the surrounding gas (especially oxygen) from flowing in the enclosed area. Once the inlet 3 04 is closed, the process gas flow rate is the amount of flow that can be processed by the substrate. This flow is held for a period of time before the substrate is processed to drain the internal oxygen out of the process space 3 1 3 . The vent 3 1 4 cooperates with the process gas supply to oxygen in the process spaces 312, 313. The discharge port 314 is generally connected to the air and continuously 3 1 4 (or the supplied α 3 14 square; or the radial gas uniform chamber position method includes slm to reduce the space of the gas flow, and the closed range of the enclosed area is reduced to a suitable one. 312, and removed from a standard 16 1343840

準之製造設備排放系統,用以將製程氣體移出製程 312、313。在另一實施例中,製程空間312、313可包 與製程空間3 1 2、3 1 3流體連通的真空幫浦。真空幫浦 用來減少製程空間312、313中多餘的氣體。不論排放 幫浦之配置為何,環控組件3 1 5 —般可用來維持製程 312、313中的含氧量,在處理基材時少於約500 ppm 佳為少於約1 0 0 p p m。 環控組件3 1 5 '排放口 3 1 4與系統控制器1 1 1的 亦使系統1 0 0得以在進行特定製程步驟時,控制製程 312、313中的含氧量,其中,此特定製程步驟的一製 驟需要一第一含氧量來得到最佳效果,而另一製程步 要一第二含氧量來得到最佳效果,且第一含氧量不同 二含氧量。除含氧量外,系統控制器111還可依特定 來控制製程封閉區内的其他參數,如溫度、溼度、壓力 參數可由加熱器、冷卻器、增濕器、除濕器、真空幫 氣體源、空氣濾淨器、風扇等裝置控制,這些裝置可 環控組件3 1 5内而與製程空間3 1 2、3 1 3為流體連通, 控於系統控制器1 1 1。 製程空間3丨2、3 1 3的大小是按照可促進無電鍍製 行的尺寸而製作,即調整製程空間3 1 2、3 1 3尺寸,使 進行一製程步驟時,環控組件3 1 5的供應氣體能維持 含氧量的狀態(一般低於約 5 0 0 p p m,較佳為低於約 ppm),並且提供足夠的體積大小讓流體溶液蒸發,而 理空間 3 1 2、3 1 3不會達到蒸氣飽和狀態。至於頂部 空間 括一 更可 口或 空間 ,較 組合 空間 程步 驟需 於第 製程 等。 浦、 設於 且受 程進 得在 在低 100 使處 空間 17 1343840A manufacturing equipment discharge system is used to move process gases out of processes 312, 313. In another embodiment, the process spaces 312, 313 can include vacuum pumps in fluid communication with the process spaces 3 1 2, 3 1 3 . The vacuum pump is used to reduce excess gas in the process spaces 312, 313. Regardless of the configuration of the discharge pump, the environmental control component 3 15 can generally be used to maintain the oxygen content of processes 312, 313, preferably less than about 500 ppm, preferably less than about 100 p pm, when the substrate is processed. The environmental control assembly 3 1 5 'discharge port 3 1 4 and the system controller 1 1 1 also enable the system 100 to control the oxygen content in the process 312, 313 during a particular process step, wherein the particular process The first step of the step requires a first oxygen content to obtain the best effect, and the other process step requires a second oxygen content to obtain the best effect, and the first oxygen content is different from the two oxygen content. In addition to the oxygen content, the system controller 111 can also control other parameters in the process enclosure area according to specific conditions, such as temperature, humidity, pressure parameters, such as heaters, coolers, humidifiers, dehumidifiers, vacuum gas sources, The air filter, the fan and the like are controlled, and the devices are in fluid communication with the process space 3 1 2, 3 1 3 in the environmental control component 3 1 5 , and are controlled by the system controller 1 1 1 . The process space 3丨2, 3 1 3 is made according to the size that can promote the electroless plating process, that is, the process space 3 1 2, 3 1 3 is adjusted, so that when a process step is performed, the environmental control component 3 1 5 The supply gas maintains an oxygen-containing state (generally less than about 50,000 ppm, preferably less than about ppm) and provides sufficient volume to allow the fluid solution to evaporate while the space is 3 1 2, 3 1 3 Does not reach vapor saturation. As for the top space, it is more versatile or space, and the combination of the space steps requires the second process. Pu, set in and subject to Cheng Jin in the low 100 space 17 1343840

(head space)係通常需要而用於避免蒸氣飽和。本發 對一 300 mm之基材製程室而言,每一製程室位置 112所需的頂部空間一般為介於約1000立方英吋與 立方英叫之間。故,以處理3 0 0 m m基材為例,用 明製程空間3 1 2、3 1 3之頂部空間一般可為約1 5 0 0 吋至約5000立方英吋、或較佳為2000立方英吋至, 立方英吋、或更佳為2000立方英吋至約3000立方 因此,從位於各製程室位置Π0、112内的基材上表 過製程室區域到製程空間3 1 2、3 1 3上方(此空間一 頂部空間)的垂直距離一般為介於約6英吋至約40 間,而直徑同於製程室11 0 ' 1 1 2截面。較佳地,頂 的縱向高度為約1 2英吋至約3 6英吋,而製程空間 313的橫向尺寸一般近似於各製程室 110、112的 小,其通常比各製程室 110' 112所處理之基材直 1 0 %至約 5 0 %倍。對操作本發明之設備而言,這些 定是很重要的,此乃因較小的製程空間會導致蒸氣 和狀態,如此將對無電鍍製程造成不良影響。由此 已確定:適當的頂部空間(製程室之截面積乘以基材 區上方之距離)對避免蒸氣達到飽和、以及減少與飽 相關之缺陷是很重要的。 製程空間3 1 2、3 1 3 —般為彼此隔開,凹槽3 1 0 其中一製程空間内的氣體流進另一相鄰之製程空間 實施例中,其中一製程空間内的壓力大於另一相鄰 空間的壓力。此壓差可用來控制各製程空間3 1 2、3 明發現 110、 約 5 000 於本發 立方英 約 400 0 英η寸。 面、越 般是指 英吋之 部空間 312、 戴面大 徑大約 尺寸設 達到飽 本發明 至封閉 和蒸氣 則允許 ° 在一 之製程 13間的 (S :) 18 1343840Head space is usually required to avoid vapor saturation. For a 300 mm substrate processing chamber, the headspace required for each process chamber location 112 is typically between about 1000 cubic inches and cubic inches. Therefore, in the case of processing a 300 mm substrate, the head space of the clear process space 3 1 2, 3 1 3 may generally be about 1 500 Å to about 5000 cubic inches, or preferably 2,000 cubic inches.吋, cubic 吋, or more preferably from 2000 cubic feet to about 3000 cubics. Therefore, from the substrate located in each process chamber position Π0, 112, the process chamber area to the process space 3 1 2, 3 1 3 The vertical distance from the top (this space to the head space) is generally between about 6 inches and about 40, and the diameter is the same as the process chamber 11 0 '1 1 2 section. Preferably, the longitudinal height of the top is about 12 inches to about 36 inches, and the lateral dimension of the process space 313 is generally approximately the size of each of the process chambers 110, 112, which is typically smaller than the process chambers 110' 112. The treated substrate is directly from 10% to about 50% times. These are important for operating the apparatus of the present invention because of the small process space that can result in vapor and state, which can adversely affect the electroless plating process. It has thus been determined that an appropriate headspace (the cross-sectional area of the process chamber multiplied by the distance above the substrate area) is important to avoid vapor saturation and to reduce saturation-related defects. The process space 3 1 2, 3 1 3 is generally separated from each other, and the groove 3 1 0 of the gas in one process space flows into another adjacent process space embodiment, wherein the pressure in one process space is greater than the other The pressure of an adjacent space. This pressure difference can be used to control the various process spaces 3 1 2, 3 and find 110, about 5 000 in this hair cube, about 400 0 inch. The surface is more generally referred to as the space of the British 312. The size of the surface is approximately the size of the surface. The invention is closed to the seal and the vapor is allowed to be in the process of 13 (S:) 18 1343840

交互干擾(cross talk) ’若維持此壓力差,則氣體於製程空 間間將以相同方向與"IL量流動。因此,一製程室可設計為 一冷卻處理室,例如一活化室;而另一製程室可設計為— 加熱處理室’例如一無電鍍室。在此實施例中,加熱處理 室被施以較大壓力’故加熱處理室中的氣體會一直經由狹 缝310流向冷卻處理室。如此可避免冷卻處理室降低加熱 處理室的溫度’一般而言,加熱處理室(即無電鍍室)比冷 卻處理室(即活化室)更容易受溫度變化影響而產生缺陷。 根據另一實施例’製程空間312、313可完全被中央内 壁308隔開,即移掉基材傳輸裝置305與狹縫310。在此 實施例中,可利用主要平台機械臂1 20分別經過各入口 304 後進入各個隔離的製程空間3 1 2、3 1 3,並在各製程空間 312、313之間傳送基材。Cross talk ‘If this pressure difference is maintained, the gas will flow in the same direction with the amount of IL in the process space. Thus, a process chamber can be designed as a cooling process chamber, such as an activation chamber; and another process chamber can be designed as a heat treatment chamber, such as an electroless chamber. In this embodiment, the heat treatment chamber is subjected to a relatively large pressure so that the gas in the heat treatment chamber will always flow through the slit 310 to the cooling treatment chamber. This prevents the cooling chamber from lowering the temperature of the heating chamber. In general, the heat treatment chamber (i.e., the electroless chamber) is more susceptible to temperature changes than the cooling chamber (i.e., the activation chamber). According to another embodiment, the process spaces 312, 313 can be completely separated by the central inner wall 308, i.e., the substrate transport device 305 and the slit 310 are removed. In this embodiment, the main platform robot arm 20 can be passed through each of the inlets 304 to each of the isolated process spaces 3 1 2, 3 1 3, and the substrate can be transferred between the process spaces 312, 313.

第3圖是將製程封閉區302移除後的沉積站400之一 實施例的立體圖。沉積站400 —般代表第1圖與第2圖中 之製程室的實施例。沉積站400中的製程室可為一無電活 化站 402及一無電鍍站(或沈積站)404。基材傳輸裝置 3 05設於站402 、404之間,以傳送基材於各站402、404 之間。每一站402、404包括一可旋轉之基材支撐組件414’ 當一基材 401於各站中處理時,將其以面朝上之方向支 撐,即基材401之處理面為背對基材支撐組件414。在第3 圖中,站402内之基材支撐組件414上並無繪示基材401’ 而站4 04内之基材支撐組件414上支撐有一基材401’此 用來分別表示各站中裝載與卸空的狀態。一般而言’各站 19 丄州840Figure 3 is a perspective view of one embodiment of a deposition station 400 with the process enclosure 302 removed. The deposition station 400 generally represents an embodiment of the process chambers of Figures 1 and 2. The process chamber in deposition station 400 can be a non-electrical activation station 402 and an electroless plating station (or deposition station) 404. The substrate transfer device 305 is disposed between the stations 402, 404 to transfer the substrate between the stations 402, 404. Each station 402, 404 includes a rotatable substrate support assembly 414'. When a substrate 401 is processed in each station, it is supported in a face-up direction, that is, the treated surface of the substrate 401 is back-to-base. Material support assembly 414. In FIG. 3, the substrate support assembly 414 in the station 402 is not shown with a substrate 401', and the substrate support assembly 414 in the station 044 is supported with a substrate 401' for indicating each station. Loading and unloading status. Generally speaking, each station 19 丄州840

02、404之硬體設計是相同的,但本發明之實施例不 此。例如’無電鍍站404可設有平台組件403 (將描 後), ’但無電活化站402可不設置平台組件403。 基材支撐組件414(亦示於第4圖之裁面視圏) —環結構4 1 1 ’其具有複數個從其垂直延伸的基材支 狀物件412。基材支撐指狀物件412 —般包括一上水jj 用以支撐基材401的邊緣或斜面,其可參見第3圖之 鍵站404及第4圖之截面。基材支撐指狀物件412更 括—垂直桿件415,用以調整基材401置放於支撐指 件412之中心位置。基材支撐組件414更包括一升降 4 1 3 (參照第4圖),用以使環結構4 1 1垂直移動,故支 狀物件412可裝卸各站402、404中的基材401。 各站402、404分別包括一分配臂406、408,柩 基材401上方,以於製程進行時,分配處理流體至基相 前端或處理面上。流體分配臂406、408亦可設置與基 直’即流體分配臂4 0 6、4 0 8之流體分配處與基材4 0 1 面間的距離可設為約〇. 5毫米至約3 0毫米,較佳為約 米至約1 5毫米,更佳為約4毫米至約1 0毫米。分配臂 408之流體分配處的垂直位置與配置角度可依需求於 基材時進行調整。分配臂406、408可包括多個流體導 藉此,分配臂 406、408可分配多種處理流體至基材 上。在一實施例中,一或多個流體引入系統1 200(將 第9、9A和9B圊描述於下)連接分配臂406及/或分 4〇8 ’以輸送處理流體到基材401表面。 限於 述於 包括 撐指 •面, 無電 可包 狀物 組件 撐指 接於 401 材垂 處理 5毫 406、 處理 管, 401 參照 配脅 20 1343840 由分配臂406或分配臂408所分配之流體的例子包括 洗蘇液、清洗液、活化液、無電鍵液、及其他進行無電鍵 製程所需之溶液》此外,可加熱/冷卻各分配臂4〇6、408 中的流趙導管(未繪示),以控制分配流體的溫度。加熱/冷 卻分配臂中的流體導管可避免流體於分配前即已降溫。因 無電錄製程的均勻性與溫度有關’故此加熱/冷卻方式還可 改善其均勻性。再者,根據一實施例’流體分配臂4 〇 6、 408的末端(即處理流體分配處)是可移動的。因此,分配 臂406、408之流體分配處與基材表面間的距離可做調整。The hardware design of 02, 404 is the same, but the embodiment of the present invention does not. For example, the electroless plating station 404 can be provided with a platform assembly 403 (to be described later), but the electroless activation station 402 can be provided without the platform assembly 403. Substrate support assembly 414 (also shown in the cross-sectional view of Fig. 4) - ring structure 4 1 1 ' has a plurality of substrate support members 412 extending vertically therefrom. The substrate support finger member 412 generally includes an upper water jj for supporting the edge or bevel of the substrate 401, which can be seen in the key station 404 of Fig. 3 and the cross section of Fig. 4. The substrate support finger member 412 further includes a vertical rod member 415 for adjusting the center position of the substrate 401 placed on the support member 412. The substrate support assembly 414 further includes a lift 4 1 3 (see Fig. 4) for vertically moving the ring structure 41, so that the support member 412 can handle the substrate 401 in each of the stations 402, 404. Each of the stations 402, 404 includes a dispensing arm 406, 408, respectively, above the substrate 401 to dispense processing fluid to the front end of the substrate or to the processing surface during processing. The distance between the fluid distribution arms 406, 408 and the base of the fluid distribution arms 4 0 6 , 4 0 8 and the surface of the substrate 4 0 1 may be set to about 〇 5 mm to about 3 0 . The millimeter is preferably from about 1 mm to about 15 mm, more preferably from about 4 mm to about 10 mm. The vertical position and configuration angle of the fluid distribution of the dispensing arm 408 can be adjusted as needed for the substrate. The dispensing arms 406, 408 can include a plurality of fluids whereby the dispensing arms 406, 408 can dispense a plurality of processing fluids onto the substrate. In one embodiment, one or more fluid introduction systems 1 200 (described 9 , 9A and 9B are described below) connect the dispensing arms 406 and/or sub- 4 '8' to deliver processing fluid to the surface of the substrate 401. Restricted to include a finger-and-face, an electroless-envelopable component, a finger-joined 401, a material processing 5, 406, a processing tube, 401, a reference weight 20 1343840, an example of a fluid dispensed by a dispensing arm 406 or a dispensing arm 408 Including washing liquid, cleaning solution, activation liquid, no electro-key liquid, and other solutions required for the electroless key process. In addition, the flow conduits (not shown) in each of the distribution arms 4〇6, 408 can be heated/cooled. To control the temperature of the dispensed fluid. Heating/cooling the fluid conduit in the dispensing arm prevents the fluid from cooling down before dispensing. Since the uniformity of the electroless recording process is temperature dependent, the heating/cooling method also improves the uniformity. Moreover, according to an embodiment, the ends of the fluid dispensing arms 4 〇 6, 408 (i.e., the treatment fluid distribution) are movable. Thus, the distance between the fluid distribution of the dispensing arms 406, 408 and the surface of the substrate can be adjusted.

此距離是調整為減少處理溶液的濺出,及控制流體分配至 基材處理面的位置D 第4圖為一組製程站402、404之—實施例的剖面圖。 第4圖亦顯示,用來定義第一與第二製程空間si]、313 的製程封閉區302是由中央内壁3 08所隔開(如同上述之第 2圖)。每一製程站402、4〇4包括—基材處理平台組件4〇3, 在製程進行時’其形成—實質上水平且鄰接基材下方的上 表面。平台組件403(係詳細繪示於第5A圖之截面)包括一 位在基底構件417上方的流體擴散構件4〇5,且流體擴散 構件405與基底構件4丨7之間形成一流體空間4 1 〇。 ★ 參照第4圖與第5A圖,一流體供應管409連通流體 上間4 1 0和流體擴散搆件4〇5。根據一實施例一流體源 4 0 9 B,你丨·4·- T \ 云離于水源或惰性氣體源,是藉由流體供應管 409輸入流體至流體空間4丨0。根據另一實施例,流體源 〇9B的流體在流入流體空間4 1 0前,先利用一流趙加熱器 21 1343840This distance is adjusted to reduce the spillage of the treatment solution and to control the position at which the fluid is dispensed to the substrate processing surface. Figure 4 is a cross-sectional view of an embodiment of a set of process stations 402, 404. Figure 4 also shows that the process enclosure 302 for defining the first and second process spaces si], 313 is separated by a central inner wall 308 (as in Figure 2 above). Each of the process stations 402, 4, 4 includes a substrate processing platform assembly 4, 3 which is formed to be substantially horizontal and abuts the upper surface below the substrate as the process progresses. The platform assembly 403 (shown in detail in section 5A) includes a fluid diffusion member 4〇5 above the base member 417, and a fluid space 4 1 is formed between the fluid diffusion member 405 and the base member 4丨7. Hey. ★ Referring to Figures 4 and 5A, a fluid supply pipe 409 is connected to the fluid upper portion 410 and the fluid diffusion member 4〇5. According to an embodiment of the fluid source 4 0 9 B, the 丨·4·- T \ cloud is separated from the water source or the inert gas source by the fluid supply tube 409 to the fluid to the fluid space 4丨0. According to another embodiment, the fluid of the fluid source 〇9B first utilizes the first-class Zhao heater 21 1343840 before flowing into the fluid space 4 1 0

Temperature Uniformity for Face-up Wet Processing)」的申 請案,其一併附上做為參考。The application for Temperature Uniformity for Face-up Wet Processing) is attached as a reference.

參照第5A圖,在操作時,基材401是由指狀物件412 固定’且垂直放置於流體擴散構件405的正上方》流體擴 散構件4 0 5與基材4 0 1間的空間4 5 0為填滿流體源4 0 9 B 與流體加熱器409A輸送的控溫流體,並透過流體擴散構 件405及流體供應管409來分配之。控溫流體接觸基材401 背面而將熱轉移至基材401,藉以加熱基材401。在此實施 例中,基材40 1 —般為配置平行於流體擴散構件405的上 表面,且距流體擴散構件405上表面約0.1毫米至約1 5毫 米的距離,較佳則為距流體擴散構件405上表面約0.5毫 米至約2毫米的距離。根據一實施例,基材401利用一附 加在基材支撐組件414的支撐馬達443(第4圖)而相對於 擴散構件405與其流出之控溫流體而旋轉。藉由基材401 相對於擴散構件405與其流出之控溫流體旋轉可改善控溫 流體和基材40 1間的熱傳效果。Referring to Fig. 5A, in operation, the substrate 401 is fixed by the finger member 412 and placed vertically above the fluid diffusion member 405. The space between the fluid diffusion member 405 and the substrate 406 is 50. The temperature control fluid delivered to fill the fluid source 4 0 9 B and the fluid heater 409A is distributed through the fluid diffusion member 405 and the fluid supply tube 409. The temperature control fluid contacts the back side of the substrate 401 to transfer heat to the substrate 401, thereby heating the substrate 401. In this embodiment, the substrate 40 1 is generally disposed parallel to the upper surface of the fluid diffusion member 405 and at a distance of from about 0.1 mm to about 15 mm from the upper surface of the fluid diffusion member 405, preferably from the fluid diffusion member. The upper surface of the 405 has a distance of from about 0.5 mm to about 2 mm. According to an embodiment, the substrate 401 is rotated relative to the diffusion member 405 and the temperature-controlled fluid flowing therefrom by a support motor 443 (Fig. 4) attached to the substrate support assembly 414. The heat transfer effect between the temperature control fluid and the substrate 40 1 is improved by the rotation of the substrate 401 relative to the diffusion member 405 and the temperature-controlled fluid flowing therefrom.

在另一實施例中,平台組件403内部可包括一加熱器 433 ’其可為一阻抗型加熱器,用以提高平台組件403溫度 而加熱處理基材4 0 1。根據一實施例,流體源4 0 9 B與流體 加熱器409A是先將控溫流體輸送至流體供應管409後, 才使流體接觸置放於支撐指狀物件412上的基材401。加 熱器(如:加熱器433、409A)可連繫於系統控制器11 1,如 此系統控制器1 1 1可調節各加熱器’以控制控溫流體和處 理基材的溫度。 i 23 1343840 流體擴散構件405包括雜奴μ * = 匕枯设數個流通孔407貫穿豆ψ, 用以連通流體擴散構件4〇5 ” , Λ 妁下游側或上表面453與流體 擴散構件405的下表面或上滅彳β| " 游側4 0 5 A。流趙擴散構件4 0 5 的邊緣部分一般密接於基底槿 坻構件4 1 7,因此,流體係藉由In another embodiment, the platform assembly 403 can include a heater 433' which can be a resistive heater for increasing the temperature of the platform assembly 403 to heat the substrate 110. According to an embodiment, the fluid source 4 0 9 B and the fluid heater 409A are first delivered to the fluid supply tube 409 before the fluid contacts the substrate 401 placed on the support finger member 412. Heaters (e.g., heaters 433, 409A) can be coupled to system controller 11 1 such that system controller 1 1 1 can adjust each heater ' to control the temperature of the temperature control fluid and the substrate. i 23 1343840 The fluid diffusion member 405 includes a miscellaneous μ* = a plurality of flow holes 407 extending through the soybean meal for communicating the fluid diffusion member 4〇5", the downstream side or upper surface 453 of the crucible and the fluid diffusion member 405 The lower surface or upper 彳β| " swim side 4 0 5 A. The edge portion of the flow diffusion member 405 is generally in close contact with the base 槿坻 member 4 1 7 , thus, the flow system is

^供應管彻而導人流體空間4則•並且因為流趙之 導入,而於密接的流體空間41〇中產生流趙回壓 (backpressure ),則流體可均勻流過流體擴散構件4〇5上 的流通孔407 »流趙空間41〇因而被流雖擴散構件4〇5的 上游側405A,以及基底構件417之内表面417八所包圍。 在一實施例中,流體擴散構件405可包括約1〇至2〇〇個流 通孔407,流通礼407直徑—般為約〇 $毫米至約】$毫米, 較佳為約0.7毫米至約3毫米。流通孔4〇7可垂直於流趙 擴散構件405上表面45 3、或與擴散構件4〇5上表面453 夾一角度。流通孔407可以約5度至約45度(從垂直線算 起)的角度設置,而使流體向外流過流體擴散構件4〇5表 面。另外,夾角設置之流通孔407可減少紊流產生„^The supply tube thoroughly guides the fluid space 4 and • because of the introduction of the flow, the backpressure is generated in the closely connected fluid space 41〇, and the fluid can flow uniformly through the fluid diffusion member 4〇5. The flow hole 407 »flow space 41 is thus surrounded by the upstream side 405A of the diffusion member 4〇5 and the inner surface 417 of the base member 417. In one embodiment, the fluid diffusion member 405 can include from about 1 to 2 turns of flow holes 407, and the diameter of the flow 407 is generally from about 毫米$m to about $mm, preferably from about 0.7 mm to about 3. Millimeter. The flow holes 4〇7 may be perpendicular to the upper surface 45 3 of the diffusion member 405 or may be at an angle to the upper surface 453 of the diffusion member 4〇5. The flow holes 407 may be disposed at an angle of from about 5 degrees to about 45 degrees (from the vertical line) to allow fluid to flow outwardly through the surface of the fluid diffusion member 4〇5. In addition, the flow hole 407 provided at the angle can reduce turbulence generation „

第5B圖繪示流艘擴散構件405之另一實施例,具有 複數個多面孔452與一邊堤45丨,以改善控溫流體分散在 設置於支撐指狀物件412上方之基材表面的均勻度。根據 一實施例,參照第5 B - 5 D圖,多面孔4 5 2的入口載面4 5 2 a 直徑(第5C圊之”D】”)小於出口钱面452B直徑(笫5C圖 之”D2”)。多面孔45 2具有較小的入口載面452A,用以限 制流體流經多面孔452而改善流體流過流體擴散構件4〇5 表面和基材上的均勻度》出口載面45 2B直徑(D2)大於入口FIG. 5B illustrates another embodiment of the flow boat diffusion member 405 having a plurality of multiple faces 452 and a side bank 45丨 to improve the uniformity of the temperature-controlled fluid dispersed on the surface of the substrate disposed above the support finger member 412. . According to an embodiment, referring to the fifth B - 5 D diagram, the entrance face 4 5 2 a of the multi-face 4 5 2 diameter (the 5th C" D]") is smaller than the diameter of the outlet face 452B (笫5C figure) D2"). The multi-face 45 2 has a smaller inlet surface 452A for restricting fluid flow through the multi-face 452 to improve the uniformity of fluid flow over the surface of the fluid diffusion member 4〇5 and the substrate. Exit Diaphragm 45 2B Diameter (D2 ) larger than the entrance

< S 24 1343840 截面452A直徑(Di)則可降低控溫流體流出出口截面452B 的速度’並蜮少流體擴散構件405上表面453(第5C圖)或 下游側的表面積。減少上表面4 5 3的表面積可減低於基材 背面形成不接觸控溫流體之區域或「乾燥區域(dry region) « 姑拖人 提會。流動之控溫流體的表面張力、和控溫流 雜潤濕基材表面及/或流體擴散構件405上表面45 3的能 力會景$響 「# 乾燥區域J的形成。在一實施例流趙擴散 構件4 0 5 卜主 表面453最好是加以粗糙化,使表面粗糙度(Ra) 為約1.6微米(μηι)至約2〇微米’以改善流體”潤濕,,上表面 的犯力若乾燥區域」夠大’則控溫流體傳至基材的熱 量將不足,因而會影響基材上的溫度分佈均勻度,進而影 響無電鍍製程的結果。根據-實施例,粗糙化上表面4 5 3 的方法為珠擊法(bead blasting)或砂擊法(grit blasting)。雖 " '述說月採用具直徑”之孔洞,但其他實施例亦可使用 不同形狀的多面孔(如方形、八角形等),其在擴散構件4〇5 中可具固定或變化的戴面大小。㉟據本發明之-實施例, 多面孔452在擴散構件4〇5表面各處的大小與形狀可為不 同,以得到預期的流體覆蓋情形、熱傳效果、及/或製程結 果。 邊*疋451或凸起部」為一圓形環,其突出於流體擴 散構件4G5上表面453上方,且—般是在控溫流趙流出基 材與上表δ 45 3間的空間45〇時,用來收集及緊縮控溫流 體(第5Β圖之’’Α’,)的流動。由於流出多面孔452的控溫流 體在流遍邊堤451前即被收集’故其可減少或避免「乾操 25 1343840 區域j的形成。藉此,邊堤4 5 1可保持或使控溫流體”佈滿 (pool ) ”在流體擴散構件405上表面453上。參照第5C 圖之一實施例,邊堤451突出上表面453 —定距離’’X”, 其中距離’’X”為約0.5毫米至約25毫米。< S 24 1343840 The diameter 452A of the section 452A reduces the velocity of the temperature-control fluid flowing out of the outlet section 452B and reduces the surface area of the upper surface 453 (Fig. 5C) or the downstream side of the fluid diffusion member 405. Reducing the surface area of the upper surface 4 5 3 can be reduced below the surface of the substrate to form a region that does not contact the temperature control fluid or "dry region" « The person who pulls the surface. The surface tension of the flowing temperature control fluid, and the temperature control flow The ability to wet the substrate surface and/or the upper surface 45 3 of the fluid diffusion member 405 will result in the formation of the # dry region J. In one embodiment, the flow diffusion member 405 is preferably applied to the main surface 453. Roughening, such that the surface roughness (Ra) is about 1.6 micron (μηι) to about 2 μm to improve the wetting of the fluid, and if the dryness of the upper surface is "large enough", the temperature-control fluid is transferred to the base. The heat of the material will be insufficient, thus affecting the uniformity of temperature distribution on the substrate, which in turn affects the results of the electroless plating process. According to the embodiment, the method of roughening the upper surface 4 5 3 is bead blasting or grit blasting. Although "there is a diameter" hole, other embodiments may use multiple faces of different shapes (such as square, octagonal, etc.), which may have fixed or varying wear in the diffusion member 4〇5. Size 35. According to an embodiment of the invention, the multiple faces 452 may be different in size and shape throughout the surface of the diffusion member 4〇5 to achieve the desired fluid coverage, heat transfer effects, and/or process results. *疋451 or raised portion" is a circular ring that protrudes above the upper surface 453 of the fluid diffusion member 4G5, and is generally in the space 45 流出 between the substrate and the upper surface δ 45 3 Used to collect and compact the flow of temperature-controlled fluids (''Α'' in Figure 5). Since the temperature-control fluid flowing out of the multi-face 452 is collected before flowing over the bank 451, it can reduce or avoid the formation of the dry zone 25 1343840 region j. Thereby, the bank 45 can maintain or control the temperature. The fluid "pool" is on the upper surface 453 of the fluid diffusion member 405. Referring to an embodiment of Figure 5C, the bank 451 protrudes from the upper surface 453 by a distance ''X', wherein the distance ''X' is about 0.5 mm to about 25 mm.

第5C圊為第5B圖之邊緣的放大示意圖。根據平台組 件403與流體擴散構件405之一實施例,邊堤45 1及流體 擴散構件405的外部直徑D3(即外表面)小於基材直徑D4。 藉此,可減少基材上表面(W i)上的流體接觸控溫流體,並 可避免基材上表面上的流體污染基材背面(W2)。5C is an enlarged schematic view of the edge of FIG. 5B. According to one embodiment of the platform assembly 403 and the fluid diffusion member 405, the outer diameter D3 (i.e., the outer surface) of the side wall 45 1 and the fluid diffusion member 405 is smaller than the substrate diameter D4. Thereby, the fluid on the upper surface (W i) of the substrate can be reduced in contact with the temperature control fluid, and the fluid on the upper surface of the substrate can be prevented from contaminating the back surface of the substrate (W2).

第5C圊繪示具多面孔452之平台組件403的一實施 例,其中多面孔4 5 2包括二特徵结構:入口裁面4 5 2 A與 出口裁面452B,且多面孔452之間等距相隔一距離’’L”。 如第5C圖所示,入口截面452A的深度為H〗,而出口截 面452B的深度為H2。第5D圖繪示流體擴散構件405之 另一實施例,其中多面礼452包括多個表面454A、454B、 454C,其並非彼此垂直,而是從入口載面452A至出口載 面4 5 2 B間為和緩轉折。例如在一實施例中,表面4 5 4 B與 孔洞中心線的夾角較佳為約6 0度。第5 D圖所示的表面數 目(如表面454A、454B、454C)與形狀(即為線性或非線性, 如指數或二階曲線等)皆非用來限定本發明之精神與範 圍。雖然第5C與5D圖繪示的多面孔具有同轴結構,如左 右對稱的特徵結構,但其他實施例也可採用非對稱或無對 稱中心的結構,此亦不脫離本發明所欲保護之範圍。 第5E圖為平台組件403的立體别面圖,其繪示多面 (S :) 26 1343840 孔圖案排列於流體擴勒Μ μ λ i ^ ^ t 、敢構件4 0 5中的一實施例。參照第5 e 圖之實施例,多面孔40 u 52排列成一方形孔洞圖案(如Li乘 L 1 )。在另^一實施例中,v & Ψ 流體擴散構件4 0 5之扇形、四分之 一、或整個表面區域作目+ „ 吟係具有六方最密堆積圖案(即單一孔洞 被六個孔洞等距圍繞排列的 圖案)、矩形孔洞圖 案、放射狀5C illustrates an embodiment of a platform assembly 403 having a multi-face 452, wherein the multi-face 4 5 2 includes two features: an entry face 4 5 2 A and an exit face 452B, and the multiple faces 452 are equidistant. A distance "'L" is separated. As shown in Fig. 5C, the depth of the inlet section 452A is H, and the depth of the outlet section 452B is H2. Figure 5D illustrates another embodiment of the fluid diffusion member 405, wherein the multifaceted The ceremony 452 includes a plurality of surfaces 454A, 454B, 454C that are not perpendicular to each other, but are gently transitioned from the inlet surface 452A to the outlet surface 4 5 2 B. For example, in one embodiment, the surface 4 5 4 B The angle of the centerline of the hole is preferably about 60 degrees. The number of surfaces shown in Fig. 5D (such as surface 454A, 454B, 454C) and the shape (that is, linear or nonlinear, such as an exponential or second-order curve) are not It is used to define the spirit and scope of the present invention. Although the multi-faces shown in Figures 5C and 5D have a coaxial structure, such as a left-right symmetric feature structure, other embodiments may adopt an asymmetric or non-symmetric center structure. It is also within the scope of the claimed invention. A three-dimensional view of the platform assembly 403, showing an embodiment in which a multi-faceted (S:) 26 1343840 hole pattern is arranged in a fluid expansion Μ μ λ i ^ ^ t, a dare member 405. Referring to Figure 5 e In an embodiment, the multi-faces 40 u 52 are arranged in a square hole pattern (e.g., Li by L 1 ). In another embodiment, the v & 流体 fluid diffusion member 410 is fanned, quartered, or entirely Surface area + „ 吟 has the hexagonal closest packing pattern (that is, a pattern in which a single hole is equidistantly arranged by six holes), a rectangular hole pattern, and a radial shape

對稱孔洞圖案、及/或其# n s u,β Λ八+ 、他~τ調整基材溫度分佈的非均勻孔洞圖案的孔洞陣列,用w M + U t , 用以改善在基材表面上進行無電鍍製 程的均勻度》 第5F圖為平台組件4〇3之—實施例的剖面圖,其中 "’l體工間4 1 0刀隔成二區間’以輸送不同溫度的一戋多個 控溫流體至流體擴散構件4〇5與基材4〇1間的空間45〇 内。藉以達成基材表面的預定溫度分佈和無電鍍製程的預 疋進仃結果。在此實施例中,平台組件4〇3包括一第一區 間硬體配備447及一第二區間硬體配備448。 體配備447包括一第一流體供應管446A、一第 第一區間硬 —流體加熱Symmetrical hole pattern, and / or its # nsu, β Λ + +, he ~ τ adjust the substrate temperature distribution of the non-uniform hole pattern of the hole array, use w M + U t to improve the surface of the substrate Uniformity of Electroplating Processes Figure 5F is a cross-sectional view of the embodiment of the platform assembly 4〇3, where "ll bodywork 4 1 0 knife is divided into two sections' to transport multiple temperatures of different temperatures The warm fluid is in the space 45〇 between the fluid diffusion member 4〇5 and the substrate 4〇1. Thereby, the predetermined temperature distribution of the surface of the substrate and the pre-extrusion result of the electroless plating process are achieved. In this embodiment, the platform assembly 〇3 includes a first zone hardware 447 and a second zone hardware 448. The body assembly 447 includes a first fluid supply tube 446A, a first interval of hard-fluid heating

器446B、一第一流體源446c與一第一基底構件446d。第 二區間硬體配備448包括一第二流體供應管445A、一第二 流體加熱器445B、一第二流體源445(:與一第二基底構件 445D。第5F圖之第二基底構件445D為第5入及7圖之基 底構件41 7。根據一實施例,第一區間硬體配備447是用 來輸送第一控溫流體“B”,而第二區間硬體配備448是用 來輸送第二控溫流體,,A”至置放於支撐指狀物件412上方 的基材401,且第一和第二控溫流體的溫度不同。根據另 一實施例,平台組件4 0 3内部可包括一或多個阻抗型加熱 27 1343840The device 446B, a first fluid source 446c and a first base member 446d. The second section hardware arrangement 448 includes a second fluid supply tube 445A, a second fluid heater 445B, a second fluid source 445 (with a second base member 445D. The second base member 445D of FIG. 5F is The base member 41 of the fifth and seventh figures. According to an embodiment, the first section hardware 447 is used to transport the first temperature control fluid "B", and the second section hardware 448 is used to transport the The second temperature-control fluid, A", is placed on the substrate 401 above the support finger 412, and the temperatures of the first and second temperature-control fluids are different. According to another embodiment, the platform assembly 304 may be internally included One or more impedance type heating 27 1343840

器(未繪示),用以提高第一區間硬體配備447之第一基底 構件446D中及/或第二區間硬體配備448之第二基底構件 445D中的流體溫度。加熱器(如阻抗型加熱器445B、446B) 可連繫於系統控制器1 1 1,如此系統控制器1 1 1可調節各 加熱器,以控制控溫流體和待處理基材的溫度。雖然第5 F 圖的平台組件4 03具有二區間,但其他實施例亦可將流體 空間4 1 0分成三個或更多個區間,以個別控制流體接觸基 材的溫度。根據一實施例,分別加熱之流體經過各別流通 孔4 0 7或流通孔4 0 7組而提供到基材背面各區域,並利用 流通孔4 0 7位置和流經流通孔4 0 7之加熱流體溫度來控制 基材的溫度分佈情形。例如,此實施例可在製程進行時, 提高基材中央附近或邊緣的溫度。(not shown) for increasing the temperature of the fluid in the first base member 446D of the first section hardware assembly 447 and/or the second base member 445D of the second section hardware assembly 448. Heaters (e.g., impedance heaters 445B, 446B) can be coupled to system controller 1 1 1 such that system controller 1 1 1 can adjust each heater to control the temperature of the temperature control fluid and the substrate to be treated. While the platform assembly 403 of Figure 5F has two intervals, other embodiments may divide the fluid space 410 into three or more intervals to individually control the temperature at which the fluid contacts the substrate. According to one embodiment, the separately heated fluid is supplied to each of the back regions of the substrate through the respective flow holes 407 or the flow holes 407, and utilizes the flow holes 407 and flows through the flow holes 407. The temperature of the fluid is heated to control the temperature distribution of the substrate. For example, this embodiment can increase the temperature near or at the center of the substrate as the process progresses.

在本發明之另一實施例中,流體擴散構件4 0 5可包含 一多孔性材料,如多孔陶瓷,而使流體流經其中。多孔陶 瓷材料例如為氧化鋁材料。此實施例一般並不需要流通孔 4 0 7,然本發明在所需處結合使用流通孔4 0 7與多孔性流體 擴散構件 4 0 5,以促進流體流動。根據一實施例,流體擴 散構件405可包含一多孔性塑膠材料,如聚乙烯、聚丙烯、 PVDF、PTFE、鐵氟龍、或其他適合的多孔性塑膠材料。 具親水性表面的塑膠材料可促進”潤濕”流體擴散構件4 0 5 表面。 在一實施例中,流體擴散構件4 0 5的孔洞直徑可設為 約〇· 1微米至約5 00微米之間。由於流體流過流體擴散構 件 4 0 5 的阻力為流體流經流體擴散構件 4 0 5之距離的函 (:S :) 28 1343840 數,因此可改變流體擴散構件405的垂直高度以提供預期 之流體流動特性-In another embodiment of the invention, the fluid diffusion member 405 may comprise a porous material, such as a porous ceramic, through which fluid flows. The porous ceramic material is, for example, an alumina material. This embodiment generally does not require a flow hole 410, and the present invention incorporates a flow hole 407 with a porous fluid diffusion member 405 at a desired location to promote fluid flow. According to an embodiment, the fluid diffusion member 405 can comprise a porous plastic material such as polyethylene, polypropylene, PVDF, PTFE, Teflon, or other suitable porous plastic material. A plastic material with a hydrophilic surface promotes "wetting" the surface of the fluid diffusion member 405. In one embodiment, the fluid diffusion member 405 may have a pore diameter of between about 1 micrometer and about 500 micrometers. Since the resistance of the fluid flowing through the fluid diffusion member 405 is the number of the distance (:S:) 28 1343840 of the fluid flowing through the fluid diffusion member 405, the vertical height of the fluid diffusion member 405 can be varied to provide the desired fluid. Flow characteristics -

參照第4及7圖,放置基材以進行處理的方法一般包 括移動升降組件4 1 3於裝載位置與處理位置之間。第4圖 左邊之製程站402中的升降組件4]3是處於裝載位置,且 升降組件413是處於垂直位置,而使支撐指狀物件412延 伸至上鉤環4 1 8上方。在此情況下,分配臂4 0 6垂直位於 支撐指狀物件 4 1 2之上並具有一間隔,以允許裝載基材 4 0 1。分配臂4 0 6 (及無電鍍系統中其他的流體分配臂)包括 一固定底件426,可伸縮接收一上臂構件425。一驅動馬達 可相對底件4 2 6來伸縮移動上臂構件4 2 5,以調整分配臂 406的垂直位置》基材401藉由主要平台機械臂120或基 材傳輸裝置305而置於支撐指狀物件412上,隨後支撐指 狀物件412則垂直地啟動並從各機械臂120/傳輸裝置305 移動基材40 1 〇當基材401已支撐於機械臂120/傳輸裝置 305之上方的支撐指狀物件412時,機械臂12 0/傳輸裝置Referring to Figures 4 and 7, the method of placing the substrate for processing generally includes moving the lift assembly 4 13 between the loading position and the processing position. Figure 4 The lift assembly 4]3 in the process station 402 on the left is in the stowed position and the lift assembly 413 is in the upright position to extend the support finger member 412 above the upper shackle 4 1 8 . In this case, the dispensing arm 460 is positioned vertically above the support finger member 4 1 2 and has a spacing to allow loading of the substrate 410. The dispensing arm 406 (and other fluid dispensing arms in the electroless plating system) includes a stationary base member 426 that telescopically receives an upper arm member 425. A drive motor can telescopically move the upper arm member 425 relative to the bottom member 426 to adjust the vertical position of the dispensing arm 406. The substrate 401 is placed on the support finger by the main platform robot arm 120 or the substrate transport device 305. On the object 412, the support finger member 412 then vertically activates and moves the substrate 40 1 from each of the robot arms 120 / transport device 305. When the substrate 401 has been supported on the support arm 120 / transport device 305 When the object 412 is used, the robot arm 12 0 / transmission device

3 0 5則可從基材4 0 1下方移出,接著指狀物件4 1 2可下降 至處理位置。 第4圖右邊之製程站404中的升降組件413是處於處 理位置,且升降組件413是處於垂直位置,而使支撐指狀 物件 412得以將基材 401垂直放置並靠近其中一個鉤環 4 1 8 ' 4 1 9。在此情況下,流體分配臂4 0 8降低至基材4 0 1 上表面附近(如第4圖之製程站404所示)。升降組件413 一般是由動力螺旋起重裝置427所啟動,用以垂直啟動升 29 1343840 降組件41 3與連接其上之元件。特別是,流 部連接於升降組件4 1 3而與升降組件4 1 3 — 處理室的下部一般包括基材支撐組件414( 物件4 1 2與環結構4 U )'下間隔壁424、和: 參照第4及7圖,根據一實施例,平台 持不動’並且不隨著升降組件4丨3(如支撐指 環結構4 1 1)移動。在此實施例中,連接於基 流體擴散構件405的基底支撐物442透過一 樓物(未繪示)而裝設在主要平台113上。故 底支撑物442、基底構件417及流體擴散構 組件413升起基材支撐組件414時不會跟著 支樓馬達443轉動基材支撐組件414時也不 根據一實施例,基材支撐組件4 1 4利用一或 不;參見第9圖之元件1054A、1054B)而對 442’其亦相對於基底支撐物442來支撐及引 件4 1 4 °由於基底構件4 1 7與流體擴散構件 使用旋轉流體密封墊(未繪示),然其通常會 降低元件產能,因此採用本實施例可避免微 根據另一實施例,基底支撐物442還設有f 及流體供應管409(第5A與7圖)。 參照第6圖,基材支撐組件414 一般包 件412、垂直桿件415、基材支撐面415A、以 置於基材支撐面415A上的基材是利用垂直 插取或保留。根據本發明之一態樣,基材支 體處理室的下 起移動。流體 包含支撐指狀 神放口 3 1 4。 組件403仍維 狀物件4 1 2、 底構件4 1 7與 或多個結構支 此實施例之基 件405在升降 移動,或者在 會跟著旋轉。 多個轴承(未繪 準基底支撐物 導基材支撐組 405的旋轉需 產生微粒以致 粒問題發生。 :導線(未繪示) 括支撐指狀物 及環結構4 1 1。 桿件4 1 5進行 撐組件4 1 4可 30 1343840The 3 0 5 can be removed from below the substrate 410 and the finger 4 1 2 can be lowered to the processing position. The lifting assembly 413 in the process station 404 on the right side of FIG. 4 is in the processing position, and the lifting assembly 413 is in the vertical position, so that the supporting finger member 412 can vertically position the substrate 401 and approach one of the shackles 4 1 8 ' 4 1 9. In this case, the fluid dispensing arm 408 is lowered to near the upper surface of the substrate 401 (as shown by the process station 404 of FIG. 4). The lift assembly 413 is generally activated by a power screw lifting device 427 for vertically actuating the riser assembly 1123 and the components attached thereto. In particular, the flow portion is coupled to the lift assembly 4 1 3 and the lift assembly 4 1 3 - the lower portion of the process chamber generally includes a substrate support assembly 414 (object 4 1 2 and ring structure 4 U )' lower partition wall 424, and: Referring to Figures 4 and 7, according to an embodiment, the platform is held 'not moving' and does not move with the lifting assembly 4丨3 (e.g., supporting ring structure 4 1 1). In this embodiment, the substrate support 442 attached to the base fluid diffusion member 405 is mounted on the main platform 113 through a floor (not shown). When the bottom support 442, the base member 417, and the fluid diffusion assembly 413 are raised from the substrate support assembly 414, the base support assembly 414 is not rotated, and according to an embodiment, the substrate support assembly 4 1 4 using one or not; see elements 1054A, 1054B) of Figure 9 and pair 442' which is also supported relative to the substrate support 442 and the lead 4 1 4 ° due to the use of rotating fluid by the base member 4 17 and the fluid diffusion member A gasket (not shown), which generally reduces the capacity of the component, so that this embodiment can be avoided. According to another embodiment, the substrate support 442 is further provided with f and a fluid supply tube 409 (Figs. 5A and 7). . Referring to Fig. 6, the substrate support assembly 414 is generally packaged 412, the vertical member 415, the substrate support surface 415A, and the substrate placed on the substrate support surface 415A is vertically inserted or retained. According to one aspect of the invention, the substrate support chamber is moved downward. The fluid contains support fingers. The assembly 403 is still in the form of a member 4 1 2. The bottom member 4 1 7 and the plurality of structural members 405 of this embodiment are moved up and down or are rotated. A plurality of bearings (the unillustrated base support guide substrate support group 405 is rotated to generate particles to cause a particle problem to occur.: Wires (not shown) include support fingers and ring structures 4 1 1 . Rods 4 1 5 Carrying the struts 4 1 4 can be 30 1343840

設計用以避免各元件之熱膨脹現象對其的影響,以保 材停留在基材支撐面415A上。基材支撐組件414的 脹現象會造成誤置及/或破壞放於垂直桿件 415之間 材。減少熱膨脹現象的方法之一為採用低膨脹係數之 來製作基材支撐組件 4 1 4,材料例如為鎢、氡化鋁、 化硼。根據本發明之另一態樣,環結構41 1可設計為 一幾何形狀,此形狀可使支撐指狀物件4 1 2與垂直桿令 以最小範圍移動。 參照第4及7圖,各製程站402、404之下部包括 個隔牆物件422。隔牆物件422配合升降組件41 3 — 裝載位置(如圖 4之站402所示)與處理位置(如圖 4 404所示)之間移動。隔牆物件422 —般包括固接於主 台113的上間隔壁423、及連接於升降組件413且隨 動的下間隔壁424。下間隔壁424之間(特別是最接近 室最内部的一對間隔壁424)可填滿一流體(如去離子: 以密封製程站402、404之下部、隔開封閉區之外部J| 去離子水一般可利用例如滴液(drip )機制而連續供 下間隔壁4 2 4之間。利用流體密封隔牆物件4 2 2可使 站402、4 04内形成可靠的密封環境,且當結構以線性 旋轉與移動時,不需使用單一密封墊428來密封。傳 用通常將兼具旋轉與線性移動功能的密封墊置於一共 隔牆物件422則允許第7圖中的密封墊42 8僅為一單 轉密封墊,而非旋轉密封墊與垂直滑動密封墊的組合 而此組合物通常不易於流體系統中操作。 持基 熱膨 的基 材料 或碳 具有 •415 複數 起於 之站 要平 之移 製程 K), 境。 應至 製程 方式 統應 轴。 一旋 物, 31 1343840It is designed to avoid the effects of thermal expansion of the various components to maintain the material on the substrate support surface 415A. The expansion of the substrate support assembly 414 can cause misplacement and/or damage to the material placed between the vertical members 415. One of the methods for reducing the thermal expansion phenomenon is to fabricate a substrate supporting member 4 1 4 using a low expansion coefficient, such as tungsten, aluminum telluride, or boron. In accordance with another aspect of the present invention, the ring structure 41 1 can be designed as a geometry that allows the support finger member 4 1 2 and the vertical bar to move in a minimum range. Referring to Figures 4 and 7, the lower portions of each of the process stations 402, 404 include partition walls 422. The partition member 422 cooperates with the lifting assembly 41 3 - the loading position (shown as station 402 of Figure 4) and the processing position (shown in Figure 4 404). The partition member 422 generally includes an upper partition 423 fixed to the main table 113, and a lower partition 424 connected to the elevating assembly 413 and following. Between the lower partition walls 424 (especially the pair of partition walls 424 closest to the innermost portion of the chamber) may be filled with a fluid (e.g., deionized: to seal the lower portion of the process stations 402, 404, separate the outer portion of the closed area J| The ionic water can generally be continuously supplied between the partition walls 4 24 by, for example, a drip mechanism. The fluid-tight partition member 4 2 2 can form a reliable sealing environment in the stations 402, 04 04, and when the structure When linearly rotating and moving, it is not necessary to use a single gasket 428 for sealing. The transfer usually places the gasket with both rotational and linear movement functions on a common partition member 422, allowing the gasket 42 8 in Figure 7 only It is a single-turn seal, rather than a combination of a rotary seal and a vertical sliding seal. This composition is generally not easy to handle in a fluid system. The base-based thermal expansion of the base material or carbon has a 415 Move process K), environment. Should be in the way of the process. One spin, 31 1343840

如上所述,每一站 402、404還可包括一上鉤環 418 與一下鉤環4 1 9 (參照第4、5及7圖)。各鉤環4 1 8、4 1 9 一般包括環形構件,從各站402 ' 404之内壁向内及向上延 伸。鉤環418、419可連接於製程室之内壁、或可整合為製 程室内壁的一部份。鉤環418、419的内部末端421a、421b 直徑一般係大於處理基材40 1的直徑約5毫米至約5 0毫米 之間。如此在處理基材 401時,基材 401可通過各鉤環 4 1 8、4 1 9進行升降。此外,各鉤環4 1 8、4 1 9亦包括一流 體排出管420a、420b,用以收集流體鉤環41 8、419上的 處理流體(第7圖)》如第7圖所示,流體排出管420a、420b 連通排放口 314。排玫口 314連接於一分離盒429(第4 圖)’其可分開氣體與流體。分離盒429包括一位於其上部 之氣體排放口 430、以及一位於其下部之流體排放口 43 }。 分離盒429更包括一回收口 432(第4圖),用以將鉤環 418、419之流體排出管420a、420b所收集的處理流體輸 送到再生裝置(未繪示),使流體可再利用。As noted above, each station 402, 404 can also include an upper shackle 418 and a lower shackle 4 1 9 (see Figures 4, 5 and 7). Each shackle 4 1 8 , 4 1 9 generally includes an annular member extending inwardly and upwardly from the inner wall of each station 402 404. The shackles 418, 419 can be attached to the inner wall of the process chamber or can be integrated into a portion of the interior wall of the process chamber. The inner ends 421a, 421b of the shackles 418, 419 are generally larger than the diameter of the treated substrate 40 1 by between about 5 mm and about 50 mm. When the substrate 401 is treated as such, the substrate 401 can be lifted and lowered by the respective shackles 4 18 and 4 1 9 . In addition, each of the shackles 4 1 8 and 4 1 9 also includes a fluid discharge pipe 420a, 420b for collecting the treatment fluid on the fluid shackles 41 8 and 419 (Fig. 7), as shown in Fig. 7, the fluid The discharge pipes 420a, 420b communicate with the discharge port 314. The scallops 314 are connected to a separation box 429 (Fig. 4) which separates the gas from the fluid. The separation box 429 includes a gas discharge port 430 at an upper portion thereof and a fluid discharge port 43} at a lower portion thereof. The separation box 429 further includes a recovery port 432 (Fig. 4) for conveying the treatment fluid collected by the fluid discharge pipes 420a, 420b of the hook rings 418, 419 to a regeneration device (not shown) to make the fluid reusable. .

參照第7圖’鉤環418'419可使在各處理站4〇2、404 中,從多方垂直位置而以流體來處理基材4〇丨。例如在第 一流體處理步驟時,可放置基材401而使其上表面稍微在 上釣環418之末端42la之上。如此’ 一第一處理流體可利 用分配臂406、408而分配至基材4〇1上,其中基材支撐組 件414與基材401是利用支撐馬達443以約5 rpm至約12〇 『pm的速度旋轉。旋轉基材4〇1可使流體於分配至基材上 時,放射狀地向外流出基材。當流體流過基材邊緣時,其 32 1343840Referring to Fig. 7 'hook ring 418' 419, the substrate 4 can be treated with fluid from a plurality of vertical positions in each of the processing stations 4, 2, 404. For example, in the first fluid processing step, the substrate 401 can be placed with its upper surface slightly above the end 42la of the upper fishing ring 418. Thus, a first treatment fluid can be dispensed onto the substrate 4〇1 using the dispensing arms 406, 408, wherein the substrate support assembly 414 and the substrate 401 are supported by the motor 443 at a temperature of from about 5 rpm to about 12 〇 pm. Speed rotation. Rotating the substrate 4〇1 allows the fluid to flow radially outwardly out of the substrate as it is dispensed onto the substrate. When the fluid flows through the edge of the substrate, its 32 1343840

為向外且向下流動,然後由上鉤環4 1 8接收。流體可依需 求由排出管420a收集後,再輸送到回收口 432或再回收供 後績製程使用。完成第一流體處理步驟後,基材4 01可垂 直移動至一第二流體處理位置,其中將基材401上表面稍 微置於下鉤環4 1 9之末端42 1 b之上,以進行一第二流體處 理步驟。在此處理基材 401的方式類似第一流體處理步 驟,此步驟使用的流體可由流體排出管420b收集。此種配 置方式的優點之一為,單一處理站可使用多種流體處理化 學品。另外,流體處理化學品可具相容性或不相容性,因 流體鉤環4 1 8、4 1 9各自有獨立的流體排出管420a、420b, 故其可分別收集不相容的處理流體。It flows outward and downward and is then received by the upper hook ring 4 1 8 . The fluid can be collected by the discharge pipe 420a as needed, and then sent to the recovery port 432 or recycled for use in the subsequent process. After the first fluid processing step is completed, the substrate 401 can be vertically moved to a second fluid processing position, wherein the upper surface of the substrate 401 is slightly placed over the end 42 1 b of the lower shackle 4 1 9 to perform a A second fluid treatment step. The substrate 401 is treated here in a manner similar to the first fluid processing step, and the fluid used in this step can be collected by the fluid discharge tube 420b. One of the advantages of this configuration is that a single processing station can use a variety of fluid handling chemicals. In addition, the fluid treatment chemicals may be compatible or incompatible, since the fluid shackles 4 18 and 419 each have separate fluid discharge tubes 420a, 420b, so that they can separately collect incompatible treatment fluids. .

第8A圖為流體處理室800之一實施例的剖面圖,其 可應用到本發明的各種態樣。流體處理室8 0 0可置於第1 圖中的任一製程室位置102、104、106、108、110、112、 114、116内。或者,流體處理室800可為單一電鍍室或結 合其他基材處理平台。流體處理室800 —般包括一具有頂 部(非必須的,未繪示)、側壁1 〇與基底2 7的處理區間2 8。 一具圓形側壁與位於底部4 C中央的開孔4 A之碗狀組件4 一般設在基底2 7中間。一轴桿1 3 —般位於碗狀組件4的 開孔4A中。複數個基材支撐指狀物件1 8連接於碗狀組件 4開孔4A内的軸桿1 3。基材支撐指狀物件1 8利用摩擦力 及/或在基材W背面W2形成真空以”吸住”基材,進而支撐 基材W。軸桿1 3和基材支撐指狀物件1 8可藉由線性滑座 30而相對於碗狀組件4進行升降。如第8A圖所示,在處 33 (S > 1343840Figure 8A is a cross-sectional view of one embodiment of a fluid processing chamber 800 that can be applied to various aspects of the present invention. The fluid processing chamber 800 can be placed in any of the process chamber locations 102, 104, 106, 108, 110, 112, 114, 116 in FIG. Alternatively, fluid processing chamber 800 can be a single plating chamber or combined with other substrate processing platforms. The fluid processing chamber 800 generally includes a processing section 28 having a top portion (not required, not shown), a side wall 1 〇 and a substrate 27. A bowl-like assembly 4 having a circular side wall and an opening 4 A at the center of the bottom portion 4 C is generally disposed intermediate the substrate 27. A shaft 13 is generally located in the opening 4A of the bowl assembly 4. A plurality of substrate support finger members 18 are attached to the shaft 13 in the opening 4A of the bowl assembly 4. The substrate supporting finger member 18 forms a vacuum by friction and/or a back surface W2 of the substrate W to "suck" the substrate, thereby supporting the substrate W. The shaft 13 and the substrate supporting finger member 18 can be raised and lowered with respect to the bowl assembly 4 by the linear slider 30. As shown in Figure 8A, at location 33 (S > 1343840

理位置時,基材支撐指狀物件1 8上的基材W利用線性 座3 0定位,而於碗狀組件4側壁頂端4 D與基材W背 W2之間形成可調整的間隙3 3。間隙3 3 —般可調整大小 限制及控制從流體空間2 5流出之控溫流體的流動,流體 間2 5位在基材背面W2與碗狀組件4之間。流體源3輸 控溫流體至流體空間2 5。 在一實施例中,邊堤 1放射狀設置於基材 W邊緣 面。邊堤1通常為一圍繞基材W的連續環,其可直接裝 到側壁 1 0 (如第 8 B圖所示)、或裝設到可垂直升降邊堤 的垂直升降組件2上。邊堤1 一般是用來維持流體從流 分配口 2 6流至基材W處理面W1的流量。一方面,基材 處理面W,和邊堤1内壁1A定義出一流體空間區域29 以在此收集處理面\¥,上的流體。另一方面,邊堤1的 徑大於基材W的外徑,因而在基材W邊緣與邊堤1内 之間形成間隙3 2。藉由調整間隙3 2大小、及基材W、 堤1與流體空間區域2 9内之流體間的表面張力,可減少 體流過間隙3 2的流量。 根據一態樣,邊堤1是用來使流體集中於基材處理 W1上、避免流體污染基材W背面W2、以及限定處理溶 分配至流體空間區域 2 9中的用量。根據一實施例,間 3 2大小為約0.5毫米至約2毫米。 在一實施例中,邊堤1可藉由垂直升降組件2而上 或下降,以處於二種或多種垂直位置。垂直升降組件2 為一傳統空氣壓縮推進器或連接導螺旋(lead screw ;未 滑 面 以 空 送 外 設 1 體 W > 内 壁 邊 流 面 液 隙 升 可 繪 34 1343840 示)的直流伺服馬達。一方面,上升或下降邊堤1可調整流 體空間區域29中的處理流體量和基材W處理面Wi上的剩 餘流體量。另一方面,若邊堤1下降至使邊堤1上端低於 基材W的位置、或上升至使邊堤1底部高於基材W的位 置,則基材W上的流體會因重力或基材旋轉所產生的離心 力而放射狀向外流出基材 W表面。當邊堤 1下降或上升 時,也可進行其他製程,例如洗滌製程與乾燥製程。In the case of the position, the substrate W on the substrate supporting finger member 18 is positioned by the linear seat 30, and an adjustable gap 33 is formed between the top end 4D of the side wall of the bowl member 4 and the back W2 of the substrate W. The gap 3 3 is generally sized to limit and control the flow of the temperature-controlled fluid flowing out of the fluid space 25 between 25 points of the substrate between the back surface W2 of the substrate and the bowl assembly 4. The fluid source 3 delivers the temperature-controlled fluid to the fluid space 25. In one embodiment, the bank 1 is radially disposed on the edge of the substrate W. The bank 1 is generally a continuous ring around the substrate W which can be directly attached to the side wall 10 (as shown in Fig. 8B) or to the vertical lifting assembly 2 which can be vertically lifted. The bank 1 is generally used to maintain the flow of fluid from the flow distribution port 26 to the substrate W treatment surface W1. On the one hand, the substrate treating surface W, and the inner wall 1A of the bank 1 define a fluid space region 29 where the fluid on the treated surface is collected. On the other hand, since the diameter of the bank 1 is larger than the outer diameter of the substrate W, a gap 3 2 is formed between the edge of the substrate W and the inside of the bank 1. By adjusting the size of the gap 3 2 and the surface tension between the substrate W, the bank 1 and the fluid in the fluid space region 29, the flow rate of the body through the gap 3 2 can be reduced. According to one aspect, the bank 1 is used to concentrate fluid on the substrate treatment W1, to avoid fluid contamination of the back surface W2 of the substrate W, and to limit the amount of treatment dissolved into the fluid space region 29. According to an embodiment, the size of the chamber 3 is from about 0.5 mm to about 2 mm. In one embodiment, the bank 1 can be raised or lowered by the vertical lifting assembly 2 to be in two or more vertical positions. The vertical lifting assembly 2 is a conventional air compression thruster or a direct current servo motor that is connected to a lead screw (not shown as an air-sending external body 1 &W; an inner wall flow surface liquid lift can be drawn 34 1343840). On the one hand, the rising or falling side bank 1 adjusts the amount of processing fluid in the rectifying space area 29 and the amount of residual fluid on the substrate W processing surface Wi. On the other hand, if the bank 1 is lowered to a position where the upper end of the bank 1 is lower than the substrate W or rises to a position where the bottom of the bank 1 is higher than the substrate W, the fluid on the substrate W may be due to gravity or The centrifugal force generated by the rotation of the substrate radially outwardly flows out of the surface of the substrate W. When the bank 1 is lowered or raised, other processes such as a washing process and a drying process can also be performed.

第8 C與8 D圖繪示邊堤1之一實施例,其延長部1C 位於基材W下方。根據一實施例,延長部1 C從邊堤1内 壁1A往内延伸而使邊堤1截面呈’’L”形。延長部1C内徑 一般小於基材W外徑。參照第8 C圖之實施例,邊堤〗為 設置形成間隙3 2以於製程進行時,限制流體空間區域2 9 中的流體流動。8C and 8D show an embodiment of the bank 1, the extension 1C being located below the substrate W. According to an embodiment, the extension 1 C extends inward from the inner wall 1A of the bank 1 and the side bank 1 has a 'L shape'. The inner diameter of the extension 1C is generally smaller than the outer diameter of the substrate W. Referring to Figure 8C In the embodiment, the side bank is configured to form a gap 3 2 to restrict fluid flow in the fluid space region 29 when the process is progressing.

如第8 D圖之實施例所示,因邊堤1的位置升舉至夠 高位置,則可使邊堤1之延長部1C接觸基材W表面,故 於基材W上會形成一靜止”池(pool) ’’的分配流體(第8D 圖)。根據另一實施例,延長部1 C可用來提高基材支撐指 狀物件18上的基材W,而使基材W處理時,其背面W2 不會被流體空間2 5内的控溫流體加熱。根據又一實施例, 利用垂直升降組件2可降低邊堤1,使邊堤1上端低於基 材W,如此基材上的處理流體可因重力或基材旋轉而放射 狀向外流出基材W。當邊堤1下降時,也可進行其他製程, 例如洗滌製程與乾燥製程。 參照第8A圖,一般而言,可將三個或多個基材支撐As shown in the embodiment of Fig. 8D, since the position of the bank 1 is lifted to a position high enough, the extension 1C of the bank 1 can be brought into contact with the surface of the substrate W, so that a static still is formed on the substrate W. "pool" ''distribution fluid (Fig. 8D). According to another embodiment, the extension 1 C can be used to raise the substrate W on the substrate supporting finger member 18, while the substrate W is being processed, The back surface W2 is not heated by the temperature control fluid in the fluid space 25. According to a further embodiment, the side elevation 1 can be lowered by the vertical lifting assembly 2 such that the upper end of the side bank 1 is lower than the substrate W, such that on the substrate The treatment fluid may radially out of the substrate W due to gravity or rotation of the substrate. When the bank 1 is lowered, other processes such as a washing process and a drying process may be performed. Referring to Fig. 8A, generally speaking, Three or more substrate supports

S 35 1343840S 35 1343840

指狀物件18放射狀連接至軸桿13頂端以支撐基材於其 上。在一實施例中,三個基材支撐指狀物件1 8徑向均等排 列’即相隔1 2 0度夾角。基材支撐指狀物件1 8 —般包括— 連通袖桿13之軸桿接口 13A的中央通道17。根據一實施 例’轴桿接口 1;3A與中央通道17連通一真空源15,如真 空文式流量計(venturi)。在此結構中,藉由在基材處理面 W〗上方之大氣壓與中央通道I?中真空源15提供之真空間 產生壓差’可使基材留在基材支撐指狀物件18上的密封墊 16(如0形環ι6Α、彈性隔膜163)上。利用真空留住基材, 可在基材支撐指狀物件馬達2〇轉動基材W與基材支撐指 狀物件18、及/或基材支撑升降組件5〇垂直移動基材w 時’防止基材W滑落基材支撐指狀物件1 8。 第8E圖詳繪基材支撐指狀物件is的頂端,其上具有 〇形環16A以支撐基材We各支撐指狀物件18上的〇形 環16A形狀與材料硬度可配合半導體晶圊之平坦度與表面 粗糙度來進行最佳化調整。較佳為選用具大截面積且由,,The finger member 18 is radially coupled to the top end of the shaft 13 to support the substrate thereon. In one embodiment, the three substrate support fingers 18 are radially aligned equally, i.e., at an angle of 120 degrees. The substrate support finger member 18 generally includes a central passage 17 that communicates with the shaft interface 13A of the sleeve 13. According to an embodiment, the shaft interface 1; 3A is in communication with the central passage 17 with a vacuum source 15, such as a venturi. In this configuration, the substrate is allowed to remain sealed on the substrate supporting finger member 18 by creating a pressure difference between the atmospheric pressure above the substrate processing surface W and the vacuum provided by the vacuum source 15 in the central channel I? Pad 16 (such as 0-ring ι6Α, elastic diaphragm 163). By retaining the substrate by vacuum, the base can be prevented when the substrate supporting finger motor 2 rotates the substrate W and the substrate supporting finger member 18, and/or the substrate supporting lifting member 5 〇 vertically moves the substrate w The material W slides down the substrate support finger member 18. 8E is a detailed view of the top end of the substrate supporting finger member is having a 〇-shaped ring 16A thereon to support the base material. The shape of the 〇-shaped ring 16A on each of the supporting finger members 18 and the material hardness can be matched with the flatness of the semiconductor wafer. Degree and surface roughness for optimal adjustment. It is preferred to select a large cross-sectional area and

不滑”的材料組成(如VitonTM、buna_N等)之軟性彈性密封 塾來當作〇形環16A。在此’當真空源15提供真空而使 指狀物件18托持住基材W時,〇形環16A是做為主要的 密封替。。形環16A還可避免流體空間25中的流體外漏 而流入中央通道1 7 » 第8F圖繪示基材支撐指狀物件18之另—實施例其 中一基材w位在彈性隔膜16B上。在此實施例中,一彈性 隔膜16B可設於各基材支撐指狀物件18上以緊密封閉 36 1343840The soft elastic seal of the non-slip material composition (such as VitonTM, buna_N, etc.) is used as the stirrup ring 16A. Here, when the vacuum source 15 provides a vacuum to hold the finger member 18 against the substrate W, The ring 16A is used as the primary seal. The ring 16A also prevents fluid leakage in the fluid space 25 from flowing into the central passage 1 7 » Figure 8F illustrates another embodiment of the substrate support finger member 18 One of the substrates w is positioned on the elastic diaphragm 16B. In this embodiment, an elastic diaphragm 16B may be provided on each of the substrate supporting finger members 18 to tightly close 36 1343840

基材支撐指狀物件1 8末端而避免流體流至真空源15 »藉 由在基材背面W2與彈性隔膜16B上表面16C間的區域16F 產生次大氣壓或真空’彈性隔膜16B可保持基材位於其 上°當彈性隔膜16B因真空源15於彈性隔膜16B背面16D 後方產生次大氣壓而變位(如拉緊或扭曲)時,會形成次大 氣壓或真空。彈性隔膜16B的變位會於基材背面w2與密 封處之間形成”真空,,’密封處是位在彈性隔膜16B上表面 16C上的接觸點l6E之間。一般而言,彈性隔膜16B較佳 是由軟性且不滑的材料所組成,如vit〇nTM及buna_N。 參照第8A圈,流體處理室800更包含一基材支撐指 狀物件馬達20,其係連接於軸桿13且一般是用來轉動及The substrate supports the end of the finger member 18 to avoid fluid flow to the vacuum source 15 » by sub-atmospheric pressure or vacuum at the region 16F between the back surface W2 of the substrate and the upper surface 16C of the elastic diaphragm 16B. The elastic diaphragm 16B maintains the substrate in position. When the elastic diaphragm 16B is displaced (e.g., tensioned or twisted) by the vacuum source 15 generating sub-atmospheric pressure behind the back surface 16D of the elastic diaphragm 16B, a sub-atmospheric pressure or vacuum is formed. The displacement of the elastic diaphragm 16B forms a "vacuum" between the back surface w2 of the substrate and the seal, and the seal is located between the contact points 16E on the upper surface 16C of the elastic diaphragm 16B. In general, the elastic diaphragm 16B is more Preferably, it is composed of a soft and non-slip material such as vit〇nTM and buna_N. Referring to the 8A circle, the fluid processing chamber 800 further includes a substrate supporting finger motor 20 that is coupled to the shaft 13 and is generally Used to rotate and

支揮基材支樓指狀物件18與轴桿13。可設置一旋轉式密 封組件1 4以在軸桿1 3與真空源丨5間提供可旋轉之密封 塾。馬達20透過轴桿13與基材支撐指狀物件is轉動基材 W 基材支樓指狀物件18和轴桿13的轉速可依特定製程 (如/儿積、洗滌、乾燥)需求而改變。以沉積製程為例基 材支撐指狀物件1 8可採取較低的轉速,例如介於約5 rpm 至約1 50 rpm之間,轉速視處理流體的黏性而定。以洗滌 可採取中等轉速,例如介 以乾燥製程為例,基材支 例如介於約5 0 0 r p m至約 的基材W。 可連接基材支撐升降組件 31與基材支撐升降馬達 製程為例’基材支撐指狀物件j 8 於約5 rpm至約1〇〇〇 rpm之間。 擇指狀物件可採取較高的轉速, 3000 rpm之間,以旋轉乾燥其上 基材支推指狀物件馬達 50,其一般包括一耦接至導螺旋 37 1343840 1 9的線性滑座3 0。在一實施例中,基材支撐升降馬達1 9 為一精密馬達,用以轉動導螺旋31。導螺旋31的轉動會 轉換成線性滑座3 0的線性移動,進而轉變成軸桿1 3的移 動。The base member finger member 18 and the shaft 13 are supported. A rotary seal assembly 14 can be provided to provide a rotatable seal between the shaft 13 and the vacuum source port 5. The rotation of the motor 20 through the shaft 13 and the substrate supporting finger member is the W. The rotational speed of the substrate fulcrum member 18 and the shaft 13 can be varied depending on the requirements of a particular process (e.g., cleaning, washing, drying). Taking the deposition process as an example, the substrate supporting finger member 18 can take a lower rotational speed, for example between about 5 rpm and about 150 rpm, depending on the viscosity of the treatment fluid. The washing may be carried out at a medium rotational speed, for example, in the case of a drying process, for example, a substrate W having a substrate W of from about 50,000 r pm to about. The substrate support lifting assembly 31 can be attached to the substrate to support the lift motor process as an example. The substrate support finger member j 8 is between about 5 rpm and about 1 rpm. The finger-like member can take a higher rotational speed, between 3000 rpm, to spin dry the upper substrate-bearing finger-like motor 50, which typically includes a linear slide 30 coupled to the lead screw 37 1343840 1 9 . In one embodiment, the substrate support lift motor 1 9 is a precision motor for rotating the lead screw 31. The rotation of the lead screw 31 is converted into a linear movement of the linear slider 30, which in turn is converted into the movement of the shaft 13.

參照第8 Α圖,碗狀組件4可設置在具螺栓組件1 2的 基底27。碗狀組件4的形狀構成流體空間25,其透過碗狀 組件4底部一或多個入口 4B而連通流體源3。流體源3可 用來輸送流體,如加熱的去離子水。根據一實施例,流體 源3使流體依序流經一或多個入口 4 B與流體空間2 5,再 流過碗狀組件4側壁頂端4D之上方。根據一實施例,可 適當放置基材W而於基材背面W2與碗狀組件4側壁頂端 4D間形成間隙3 3,以確保基材背面W2與流體源3流出的 流體之間的接觸情形。可調整間隙3 3大小,使流體流過側 壁頂端4D(如箭頭”A”),並確保流體接觸到基材背面W2。 根據另一實施例,碗狀組件4可在流體空間2 5中(特別是 基材背面W 2附近)形成均溫的流體及維持流體的溫度。一 般而言,此可藉由最佳化流體空間2 5的尺寸與形狀、及/ 或放置一或多個遠離基材背面 W2的入口來達成。最佳化 流體空間 2 5大小以得均勻基材溫度的因素例如包括流入 流體空間2 5的流體種類、流體流過流體空間2 5的情形、 流體的燃點溫度、基材支撐指狀物件1 8的物理尺寸、和基 材支撐指狀物件18的轉速等。由於層流區的熱傳效果差, 因此基材支撐指狀物件1 8的轉動也可維持流體空間2 5中 的紊流現象。在一實施例中,流入流體空間2 5的流體是由 (S ) i 38 1343840 流體加熱器4 1控溫。流體加熱器41可包括連接流體源3 的線上(i η -1 i n e )流體力σ熱器4 2、及/或附加或埋設於碗狀 組件4的加熱元件4 3。Referring to Figure 8, the bowl assembly 4 can be disposed on the base 27 with the bolt assembly 12. The shape of the bowl assembly 4 constitutes a fluid space 25 that communicates with the fluid source 3 through one or more inlets 4B at the bottom of the bowl assembly 4. Fluid source 3 can be used to deliver fluids such as heated deionized water. According to an embodiment, the fluid source 3 causes fluid to flow sequentially through one or more inlets 4 B and fluid spaces 25 and over the top ends 4D of the side walls of the bowl assembly 4. According to an embodiment, the substrate W can be suitably placed to form a gap 33 between the back surface W2 of the substrate and the top end 4D of the side of the bowl member 4 to ensure contact between the back surface W2 of the substrate and the fluid flowing out of the fluid source 3. The gap 3 3 can be sized to allow fluid to flow through the side wall tip 4D (as indicated by arrow "A") and to ensure fluid contact with the back side W2 of the substrate. According to another embodiment, the bowl assembly 4 can form a temperature-enhancing fluid and maintain the temperature of the fluid in the fluid space 25 (particularly near the substrate back W 2 ). In general, this can be accomplished by optimizing the size and shape of the fluid space 25 and/or placing one or more inlets away from the back side W2 of the substrate. The factors that optimize the fluid space 25 to achieve a uniform substrate temperature include, for example, the type of fluid flowing into the fluid space 25, the flow of fluid through the fluid space 25, the ignition temperature of the fluid, and the substrate supporting finger member 18. The physical dimensions, and the rotational speed of the substrate supporting finger member 18, and the like. The rotation of the substrate supporting finger member 18 also maintains turbulence in the fluid space 25 due to the poor heat transfer effect of the laminar flow region. In one embodiment, the fluid flowing into the fluid space 25 is temperature controlled by the (S) i 38 1343840 fluid heater 4 1 . The fluid heater 41 may include a line (i η -1 i n e ) fluid force sigma heater 4 2 connected to the fluid source 3 and/or a heating element 43 attached or embedded in the bowl assembly 4.

根據一實施例,從流體源3流出且流過間隙3 3的流體 向外流動可防止或減少流體空間區域 2 9流出的處理流體 不當接觸基材W背面。避免處理流體接觸基材背面可防止 微粒或多餘的材料沉積到基材背面,因而影響半導體元件 的產率。According to an embodiment, the outward flow of fluid from the fluid source 3 and through the gap 33 prevents or reduces the flow of fluid from the fluid space region 29 from improperly contacting the back side of the substrate W. Avoiding the contact of the treatment fluid with the back side of the substrate prevents particles or excess material from depositing on the back side of the substrate, thus affecting the yield of the semiconductor component.

在一實施例中,一間隙5形成在軸桿1 3與碗狀組件4 開孔4 A之間,使軸桿1 3相對於碗狀組件4轉動。間隙5 寬度約為0.1毫米至0.5毫米。但也可採用更大或更小的 間隙。一具開孔9A之收集構件9置於碗狀組件4下方及 軸桿13附近。收集構件9内部具有一曲折之密封墊形成於 擋板7和收集構件9間。曲折之密封墊一般定義為一組部 分重疊之特徵結構(即第8A圖的擋板7與收集構件9),因 部分重疊之特徵結構的幾何形狀與構造之故,其可防止流 體流穿密封墊。通過間隙5的流體由收集構件9而收集到 收集區8,接著導引到靠近收集構件9底部的排放口 6。或 者,密封墊可設在軸桿1 3與碗狀組件4開孔4 A之間,因 而不需使用曲折之密封墊。 邊堤1、碗狀組件4、基材支撐指狀物件1 8、及轴桿 13 可採用陶瓷材料(如完全壓合之氮化鋁、氧化鋁 (ai2o3)、碳化矽(SiC))、塗佈有聚合物之金屬(如塗有鐵氟 龍聚合物(TeflonTM)之鋁或不銹鋼)、聚合物材料、或其他 (S ) i 39 1343840 適合半導想流體製程之材料^妨社 取入从淨仗 n 較佳的聚合物塗佈材料為氣 化聚合物,例如Tefzel®乙烯四氟乙烯共聚物(ΕτρΕ)、 Halar®乙烯一氣二氟乙烯共聚物(ECTFE)、全氟烷氡其樹 脂(PFA)、聚四氟乙烤(PTFE)、四氟乙稀全氣丙稀(pgp)、 聚偏二氟乙烯(PVDF)等。In one embodiment, a gap 5 is formed between the shaft 13 and the opening 4A of the bowl assembly 4 to rotate the shaft 13 relative to the bowl assembly 4. The gap 5 has a width of about 0.1 mm to 0.5 mm. However, larger or smaller gaps can also be used. A collecting member 9 having an opening 9A is placed below the bowl assembly 4 and near the shaft 13. The inside of the collecting member 9 has a meandering seal formed between the baffle 7 and the collecting member 9. A tortuous gasket is generally defined as a set of partially overlapping features (i.e., baffle 7 and collection member 9 of Figure 8A) that prevent fluid flow through the seal due to the geometry and configuration of the partially overlapping features. pad. The fluid passing through the gap 5 is collected by the collecting member 9 to the collecting zone 8, and then guided to the discharge port 6 near the bottom of the collecting member 9. Alternatively, the gasket may be disposed between the shaft 13 and the opening 4A of the bowl assembly 4, since a tortuous gasket is not required. The side bank 1, the bowl-shaped component 4, the substrate supporting finger member 18, and the shaft 13 may be made of a ceramic material (such as fully pressed aluminum nitride, aluminum oxide (ai2o3), tantalum carbide (SiC)), coated Polymer-coated metals (such as TeflonTM-coated aluminum or stainless steel), polymer materials, or other (S) i 39 1343840 materials suitable for semi-conductive fluid processes The preferred polymer coating material is a gasified polymer such as Tefzel® ethylene tetrafluoroethylene copolymer (ΕτρΕ), Halar® ethylene-difluoroethylene copolymer (ECTFE), perfluoroalkane resin ( PFA), polytetrafluoroethylene (PTFE), tetrafluoroethylene all-propylene (pgp), polyvinylidene fluoride (PVDF), and the like.

流體處理室800更包含一多孔盤u,位於基底27之 上且位於碗狀組件4外壁4 E與側壁1 〇之間。碗狀組件4 外壁4E、基底27、側壁1 〇、及多孔盤1 1定義出—區間 3 4。區間3 4透過多孔盤1 1中的孔洞1 1 A連通處理區間2 8。 排放口 24 —般設於基底27中’且連接至排氣口 21,其中 排氣口 21 —般連接於習知的洗滌排放系統2 3與排水設備 22 »The fluid processing chamber 800 further includes a porous disk u located above the substrate 27 between the outer wall 4 E of the bowl assembly 4 and the side wall 1 。. The outer wall 4E, the base 27, the side wall 1 〇, and the porous disk 1 1 of the bowl assembly 4 define an interval 34. The section 3 4 is connected to the processing section 28 through the hole 1 1 A in the porous disk 1 1 . The vent 24 is generally disposed in the base 27 and is coupled to the vent 21, wherein the vent 21 is generally coupled to a conventional wash venting system 2 3 and a drain 22 »

根據一實施例,在沉積的過程中,處理區間2 8内的含 氧量或其他氣體量是藉由供應製程氣體(如氮氣、氦氣、氫 氣、氬氣 '及/或由這些氣體或其他半導體製程常用之氣體 所混合的混合氣體)來控制。製程氣體可利用HEPA過濾系 統而引入處理區間2 8 (參見第2圓之製程空間3 1 3 ),並從 排氣口 2 1排出。使用具孔洞1 1 A之多孔盤11可改善製程 氣體流過處理區間2 8的均勻性。 流體處理室800更包含一流體分配口 26,用以當基材 W位在基材支撐指狀物件1 8上時,分配處理流體到基材w 上。流體分配口 26類似流體引入系統1 200(將配合第9、 9A、9B圖描述於後),其一般是經由至少一流體供應間(如 第9圖之分配閥! 2 0 9)而連通至少一流體供應源(如第9圖 40 1343840 之溶液源1 2 Ο 2、1 2 Ο 4、1 2 0 6)。藉此,可混合多種化學品 後,並由流體分配口 26提供,以進行下述之不同的無電鍵 製程。 系統之運作According to an embodiment, during the deposition process, the amount of oxygen or other gas in the treatment zone 28 is supplied by a process gas (such as nitrogen, helium, hydrogen, argon) and/or from these gases or other Controlled by a mixture of gases commonly used in semiconductor processes. The process gas can be introduced into the treatment zone 28 (see the process space 3 1 3 of the second circle) using the HEPA filtration system and discharged from the exhaust port 2 1 . The use of a porous disk 11 having a hole 1 1 A improves the uniformity of the process gas flowing through the treatment zone 28. The fluid processing chamber 800 further includes a fluid dispensing port 26 for dispensing the treatment fluid onto the substrate w as the substrate W is positioned on the substrate supporting finger member 18. Fluid dispensing port 26 is similar to fluid introduction system 1 200 (described later in conjunction with Figures 9, 9A, 9B), which is typically communicated via at least one fluid supply chamber (e.g., distribution valve! 209 of Figure 9). A fluid supply source (such as solution source 1 2 Ο 2, 1 2 Ο 4, 1 2 0 6 of Figure 9 1343840). Thereby, a plurality of chemicals can be mixed and provided by the fluid dispensing port 26 to perform the different no-key processes described below. System operation

操作時,本發明系統1 0 0之實施例玎用來進行一無電 預清洗(preclean )製程、一無電活化製程、一無電鍍製 程、一無電後清洗製程、及/或其他用於無電極製程之處理 步驟。利用本發明實施例所進行之無電链製程的例子將配 合在此討論之實施例描述於下〇無電鍵製程一般是先將一 基材放入製程封閉區302(參見第2圖)。放入方法一般包 括打開閥門入口 3 04,以及利用主要平台機械臂1 20將一 基材401放入製裎封閉區3〇2中。基材4〇1是以面朝上的 方式置入’即基材401之待電錄面為朝上。In operation, the embodiment of the system of the present invention is used to perform an electroless preclean process, an electroless activation process, an electroless process, an electroless post-clean process, and/or other processes for electrodeless processes. Processing steps. An example of a powerless chain process performed in accordance with an embodiment of the present invention is described in the embodiment of the discussion herein. The process of the no-switching process is generally preceded by placing a substrate into the process enclosure 302 (see Figure 2). The insertion method generally includes opening the valve inlet 3 04 and placing a substrate 401 into the crucible enclosure 3〇2 using the main platform robot 1 20 . The substrate 4〇1 is placed in a face-up manner, i.e., the surface to be recorded of the substrate 401 is upward.

將基材401故入製程封閉區302後,主要平台機械臂 120將基材401置於製程站404中的支撐指狀物件412上, 接著主要平台機械臂12〇退出製程封閉區3〇2。然後,支 擇指狀物件412可垂直放置基材々οι以進行處理,並且關 閉閱Π入口 304。在放入基材的過程中,即閥門入口 3〇4 打開時’環控組件3丨5之氣體供應源亦為開啟,以利用製 程惰性氣艘來填充製程封閉區3 〇2。讓惰性氣體流入製程 空間將使製程氣趙經由閥門入口 3〇4向外流出,如此可避 免周遭氣體(特別是氧氣)進入製程封閉區3〇2内,故可減 少氧氣對電鍵材料層(特別是銅)的不利影響(氧化)。關閉 41 1343840After the substrate 401 is brought into the process enclosure 302, the main platform robot 120 places the substrate 401 on the support finger 412 in the process station 404, and then the main platform robot 12 exits the process enclosure 3〇2. Then, the finger member 412 can be placed to vertically place the substrate 々οι for processing, and the reading inlet 304 is closed. During the process of placing the substrate, that is, when the valve inlet 3〇4 is opened, the gas supply source of the environmental control unit 3丨5 is also turned on to fill the process enclosure 3 〇2 with the process inert gas tank. Allowing the inert gas to flow into the process space will cause the process gas to flow out through the valve inlet 3〇4, thus avoiding the surrounding gas (especially oxygen) entering the process enclosure 3〇2, thus reducing the oxygen to the key material layer (especially Is the adverse effect of copper) (oxidation). Close 41 1343840

閥門入口 3 04後,仍持續通入製程氣體,且一般在閥門 口 3 0 4開啟前,即流入氣體。進行無電處理、活化與電 製程時,仍持續通入製程氣體;而當閥門入口 304關閉K 可利用排放口 3 1 4、排氣口、及/或真空幫浦來維持所需 力。氣體供應源、HEPA過濾系統與排放口 3 14的組合 用來控制特定製程步驟中製程封閉區302内的含氧量, 可依需求來控制與最佳化各製程步驟中製程封閉區302 的含氧量。 當基材置於製程室後,本發明之無電鍍製程一般是 進行基材預清洗製程。預清洗製程是先將基材上表面大 放置在上鉤環418之末端421a上方,一般為置離約2毫 至約1 0毫米處。清洗製程係利用分配臂406而將清洗液 配至基材表面上。清洗液可於降低基材的過程中分配至 材表面,以節省處理時間及提高製程室產能。清洗液可 酸性或驗性溶液,其視清洗特性而定,而清洗液的溫度 根據製程條件來控制(加熱或冷卻)。此外,清洗液可包 界面活性,劑。基材轉速一.般為約1 0 r p m至約6 0 r p m, 使清洗液放射狀向外流出基材而流至上鉤環4 1 8上,在 收集的清洗液可依需要輸送到排出管420a,接著經由排 口 314而流通至分離盒4 29,以進行分離與回收。 當清洗完基材後,一般係洗滌基材之表面。洗滌製 包括於旋轉基材時,分配洗滌液(如去離子水)至基材 面。可調整洗滌液的分配量與溫度,以有效移除殘留在 材表面上的清洗液。基材是以足以使洗滌液離開基材表 入 鍍 * 壓 是 即 内 先 約 米 分 基 為 可 含 以 此 放 程 表 基 面 42 1343840After the valve inlet 3 04, the process gas is continuously supplied, and generally the gas flows before the valve port 3 0 4 is opened. Process gas is continuously applied during the electroless process, activation, and electrical process; and when the valve inlet 304 is closed K, the discharge port 3 14 , the exhaust port, and/or the vacuum pump can be used to maintain the required force. The combination of the gas supply source, the HEPA filtration system and the vent 3 14 is used to control the oxygen content in the process enclosure 302 in a particular process step, and can be controlled and optimized to include and optimize the process enclosure 302 in each process step. Oxygen content. After the substrate is placed in the process chamber, the electroless plating process of the present invention is generally performed on a substrate pre-cleaning process. The pre-cleaning process first places the upper surface of the substrate over the end 421a of the upper shackle 418, typically about 2 mm to about 10 mm apart. The cleaning process utilizes a dispensing arm 406 to dispense cleaning fluid onto the surface of the substrate. The cleaning solution can be dispensed to the surface of the substrate during the process of reducing the substrate to save processing time and increase process capacity. The cleaning solution can be acidic or an intestine solution depending on the cleaning characteristics, and the temperature of the cleaning solution is controlled (heated or cooled) according to the process conditions. In addition, the cleaning solution can contain interfacial activity and agents. The substrate rotation speed is generally from about 10 rpm to about 60 rpm, so that the cleaning liquid flows out radially to the substrate and flows onto the upper shackle 4 1 8 , and the collected cleaning liquid can be transported to the discharge tube 420a as needed. Then, it flows through the discharge port 314 to the separation box 4 29 for separation and recovery. When the substrate is cleaned, the surface of the substrate is typically washed. The washing process involves dispensing a washing liquid (such as deionized water) to the surface of the substrate while rotating the substrate. The amount and temperature of the washing liquid can be adjusted to effectively remove the cleaning liquid remaining on the surface of the material. The substrate is sufficient to cause the washing liquid to leave the substrate. The plating is performed. The first step is to divide the base. The base may be included in the surface of the table. 42 1343840

的速度旋轉,轉速一般為例如介於約5 rpm至約120 之間。 當洗滌完基材後,可進行一第二洗滌步驟。特別 進行一活化步驟(其一般包括施加酸性活化液至基材j 之前,可先用一酸性調理(conditioning)洗蘇液處理基 面。調理洗滌液一般包含酸,例如用於活化液中的酸 調理基材表面使其適合酸性活化液。可用作為調理洗 的酸之例子包括硝酸、氣化物為主成分的酸、甲硫酸 其他常用於無電活化液的酸。基材調理製程可在一鄰 鉤環418的處理位置進行,或者基材可降至一鄰近下 419的處理位置,其可視調理製程之化學品與前處理 之化學品間的相容性來決定。 當調理完基材後,施加一活化液至基材表面,此 材是位在下鉤環4 1 9附近。活化液一般是當作後,續沉 程的催化層、及/或用來增進基材表面與後續沉積層間 著性。活化液藉由分配臂4 0 8分配到基材上,且因基 旋轉狀態,故活化液為放射狀向外流出基材邊緣而流 環419。然後,活化液由流體排出管420b收集,以供 使用。活化液一般包括一以鈀為主成分且以酸為底液 液。在活化過裎中,基材背面(其一般為圓形且直徑近 流體擴散構件405之直徑)一般是置離流體擴散構件 上表面約0.5毫米至約1 0毫米處。基材背面與流體擴 件4 0 5之間為充滿控溫流體,流體可為流體擴散構件 中之流通孔4 0 7所分配的去離子水。從流通孔4 0 7分 r p m 是在 ^面) 材表 ,以 滌液 、及 近上 鉤環 製程 時基 精製 的黏 材為 至鉤 回收 的溶 似於 405 散構 405 配之 43 1343840 控溫流體(一般為加熱流體,但亦可為冷卻流體)接觸基材 背面,而將熱量傳導至基材,以加熱/冷卻基材供製程處 理。可持續供應流體,或者,可先供應一預定容量之流體 後,再停止供應流體。可控制接觸基材背面之流體的流量, 以在進行活化時,維持基材溫度。另外在活化過程中,基 材可以約10 rpm至約100 rpm的轉速旋轉,如此除了可促 進加熱/冷卻流體外,更可促進流體的分散。The speed of rotation is generally, for example, between about 5 rpm and about 120. After the substrate is washed, a second washing step can be performed. In particular, an activation step (which typically involves applying an acidic activating solution to the substrate j prior to treating the substrate with an acidic conditioning solution. The conditioning solution generally comprises an acid, such as an acid used in the activation solution. The surface of the substrate is conditioned to make it suitable for acidic activation liquids. Examples of acids which can be used as conditioning treatment include nitric acid, vaporized acid as the main component, methyl sulphate and other acids commonly used in electroless activation fluids. The substrate conditioning process can be carried out in a neighboring hook. The processing position of the ring 418 is performed, or the substrate can be lowered to a processing position adjacent to the lower portion 419, which is determined by the compatibility between the chemicals of the conditioning process and the pre-treated chemicals. An activation liquid to the surface of the substrate, which is located in the vicinity of the lower hook ring 4 1 9 . The activation liquid is generally used as a post-sequence catalyst layer and/or to promote the interfacial adhesion between the substrate surface and the subsequent deposition layer. The activation liquid is distributed to the substrate by the distribution arm 408, and the activation liquid flows radially outward from the edge of the substrate to flow the ring 419 due to the rotation state of the base. Then, the activation liquid is collected by the fluid discharge tube 420b. The activation solution generally comprises a palladium-based component and an acid-based liquid solution. In the activated ruthenium, the back surface of the substrate (which is generally circular and has a diameter close to the diameter of the fluid diffusion member 405) is generally Disposed from the upper surface of the fluid diffusion member by about 0.5 mm to about 10 mm. The back surface of the substrate and the fluid expansion member 104 are filled with a temperature-controlled fluid, and the fluid can be distributed to the flow hole 410 in the fluid diffusion member. Deionized water. From the flow hole 4 0 7 rpm is in the surface of the material, the polyester material and the near-hook ring process time refined adhesive material is recovered from the hook to the 405 dispersion 405. 43 1343840 A temperature-control fluid (generally a heated fluid, but also a cooling fluid) contacts the back of the substrate and conducts heat to the substrate to heat/cool the substrate for processing. The fluid can be supplied continuously, or the fluid can be supplied after a predetermined volume of fluid is supplied. The flow rate of the fluid contacting the back side of the substrate can be controlled to maintain the substrate temperature during activation. In addition, during activation, the substrate can be rotated from about 10 rpm to about 100 rpm, which promotes dispersion of the fluid in addition to promoting heating/cooling fluid.

當活化完基材表面後,可施加一額外的洗滌液及/或清 洗液至基材表面,以清除基材表面的活化液。可於活化後 使用之一第一洗條液及/或清洗液包括另一種酸,較佳的酸 是與活化液所含之酸相配。以酸洗滌完基材後,可再用中 性溶液(如去離子水)洗滌基材,以清除殘留於基材表面的 酸。活化後的清洗與洗滌步驟可在上處理位置或下處理位 置進行,其位置視化學品的相容性而定。After the surface of the substrate is activated, an additional wash solution and/or cleaning solution can be applied to the surface of the substrate to remove the activation liquid from the surface of the substrate. One of the first strips and/or the cleaning solution may be used after activation to include another acid, preferably the acid is compatible with the acid contained in the activating solution. After washing the substrate with acid, the substrate can be washed with a neutral solution (e.g., deionized water) to remove the acid remaining on the surface of the substrate. The post-activation cleaning and washing steps can be carried out at the upper or lower processing position depending on the compatibility of the chemical.

當完成活化步驟後,基材傳輸裝置305可將基材從無 電活化站402傳送至沉積站404。傳送過程包括利用支撐 指狀物件4 1 2抬高基材而使基材離開無電活化站4 0 2、移 動基材傳輸裝置305到基材下方、降低基材至基材傳輸裝 置 3 05上、以及將基材從無電活化站 402傳送至沉積站 404。當基材進入沉積站404後,可使用沉積站404中的基 材支撐指狀物件412將基材從基材傳輸裝置305移開,並 放置基材以進行製程處理。 放置基材的方法一般包括放置基材使其接近上鉤環 4 1 8,以進行預清洗製程。預清洗製程包括使用分配臂40 8 (S ) 44 1343840 分配預.清洗溶液至基材上,其中預清洗溶液的ρ Η值一般 近似於後績使用之無電鍵液的pH值,如此預清洗溶液可 調理基材表面使其達到無電鍍液的pH值。預清洗溶液可 為一鹼性溶液,其與調理步驟後所使用之無電鍍液具有相 同的底液。利用具有與無電鍍液相同pH值的溶液來預清 洗基材表面,亦可改善沉積製程中基材表面的濕潤性。可 依製程需要來加熱或冷卻預清洗溶液。Substrate transfer device 305 can transfer the substrate from electroless activation station 402 to deposition station 404 when the activation step is completed. The transfer process includes lifting the substrate with the support finger member 4 1 2 to move the substrate away from the electroless activation station 40, moving the substrate transfer device 305 under the substrate, lowering the substrate to the substrate transfer device 305, and The substrate is transferred from the electroless activation station 402 to the deposition station 404. After the substrate enters the deposition station 404, the substrate support fingers 412 in the deposition station 404 can be used to remove the substrate from the substrate transport device 305 and the substrate is placed for processing. The method of placing the substrate generally involves placing the substrate close to the upper shackle 4 1 8 for a pre-cleaning process. The pre-cleaning process comprises dispensing a pre-cleaning solution onto the substrate using a dispensing arm 40 8 (S ) 44 1343840, wherein the pH of the pre-cleaning solution generally approximates the pH of the electrolessly-bonded liquid used in the subsequent stages, such that the pre-cleaning solution The surface of the substrate can be adjusted to reach the pH of the electroless plating solution. The pre-cleaning solution can be an alkaline solution having the same base as the electroless plating solution used after the conditioning step. Pre-cleaning the surface of the substrate with a solution having the same pH as the electroless plating solution can also improve the wettability of the surface of the substrate during the deposition process. The pre-cleaning solution can be heated or cooled as required by the process.

以鹼性溶液調理完基材表面後,無電鍍製程之下一步 驟為施加電鍵液至基材表面。電鍵液一般包括金屬,例如 鈷、鎢、及/或磷等,其是以單一金屬或金屬合金的形式沉 積到基材表面。電銀液一般為驗性,且可具有界面活性劑 及/或還原劑,用以促進無電鍍製程。基材一般為降低至鄰 近下鉤環419上方的位置,以進行無電鍍步驟。如此,由 分配臂40 8所提供之電鍍液是向外流過基材邊緣而由下鉤 環4 1 9接收,電鍍液亦可由排出管420b收集後,再回收使 用。此外於沉積過程中,基材背面一般為距流體擴散構件 4 0 5上表面約0.5毫米至約1 0毫米,或約1毫米至約5毫 米。基材背面與流體擴散構件405之間為充滿控溫流體(一 般為加熱流體),其可為流體擴散構件405中之流通孔407 所分配的去離子水。從流通孔4 0 7分配之控溫流體接觸基 材背面而將流體所含熱量傳導至基材,以加熱基材供無電 鍍製程使用。整個無電鍍過程一般是持續供應控溫流體。 可在無電鍍過程中控制接觸基材背面之流體的流量,以維 持基材溫度。另外在進行無電鍍製程時,基材可以約1 0 > S ) 45 1343840After conditioning the surface of the substrate with an alkaline solution, the next step in the electroless plating process is to apply a keying solution to the surface of the substrate. The keying liquid generally includes a metal such as cobalt, tungsten, and/or phosphorus, etc., which is deposited on the surface of the substrate in the form of a single metal or metal alloy. Electroplating silver is generally an inspective and may have a surfactant and/or a reducing agent to facilitate the electroless plating process. The substrate is typically lowered to a position adjacent to the lower hook ring 419 for the electroless plating step. Thus, the plating solution provided by the dispensing arm 40 8 is outwardly flowed through the edge of the substrate and received by the lower hook ring 419. The plating solution can also be collected by the discharge tube 420b for recycling. Further, during deposition, the back side of the substrate is typically from about 0.5 mm to about 10 mm, or from about 1 mm to about 5 mm, from the upper surface of the fluid diffusion member 405. Between the back surface of the substrate and the fluid diffusion member 405 is a temperature-controlled fluid (generally a heating fluid) which can be deionized water dispensed through the flow holes 407 in the fluid diffusion member 405. The temperature-control fluid dispensed from the flow-through hole 407 contacts the back surface of the substrate to conduct heat contained in the fluid to the substrate to heat the substrate for use in the electroless plating process. The entire electroless plating process is generally continuous supply of temperature control fluid. The flow rate of the fluid contacting the back side of the substrate can be controlled during the electroless plating process to maintain the substrate temperature. In addition, when the electroless plating process is performed, the substrate can be about 1 0 > S ) 45 1343840

rpm至約100 rpm的轉速旋轉,如此可促進加熱並分 材表面上的電鍵液。 當完成沉積製程(或無電鍍製程)後,基材表面 是用後沉積清洗(post deposition cleaning)製程進 洗,後沉積清洗製程包括施加後沉積清洗液到基材上 沉積清洗製程可在上處理位置或下處理位置進行,位 製程化學品的相容性而定。後沉積清洗液一般包括一 溶液,其pH值約與電鍍液相同。基材在處理過程中 轉的,如此可將清洗液旋離基材表面。在完成處理製卷 例如可用去離子水來洗滌基材表面並加以旋乾,以移 留在基材表面的化學品。或者,基材可用蒸氣乾燥之 是在高蒸氣壓下施加溶劑,如丙酮、乙醇等。 根據本發明系統1 〇〇之實施例,製程室位置1 02 可用來進行無電預清洗製程、無電活化製程、以及無 活化處理製程,製程室位置1 04、1 1 0則可為無電鍍室 電後沉積處理室。依此配置,當各活化化學品與沉積 品分散到各製程室時,可回收各製程所使用的化學品 配置之另一優點為,當流體製程室位置 1 02、1 04、1 112的製程空間位在製程封閉區302内時,基材是在 氣體環境中從活化液轉為無電鍍沉積液。再者,在裝 處理的過程中,製程封閉區為充滿惰性氣體,因此製 閉區3 02内實質上具有少量的氧氣百分比,含氧量例 於約1 0 0 p p m,較佳為小於約5 0 p p m,或更加為小於, ppm。在此,結合了實質上減少的含氧量、活化室與 46 散基 一般 行清 。後 置視 鹼性 為旋 〔後, 除殘 ,其 、112 電後 與無 化學 。此 10、 惰性 載與 程封 如小 約10 電鍍 1343840 室間鄰近的距離、以及彼此間快速的傳輸(一般約少於1 〇 秒),可避免在活化步驟與沉積步驟之間氧化基材表面,而 此乃傳統無電鍍系統所面臨的挑戰。Rotate from rpm to about 100 rpm, which promotes heating and separation of the keying liquid on the surface. After the deposition process (or electroless plating process) is completed, the surface of the substrate is washed by a post deposition cleaning process, and the post-deposition cleaning process includes depositing a cleaning solution onto the substrate after application to deposit a cleaning process which can be processed thereon. The position or the lower processing position is determined by the compatibility of the process chemicals. The post-deposition cleaning solution typically comprises a solution having a pH approximately the same as the plating solution. The substrate is transferred during processing so that the cleaning solution is spun off the surface of the substrate. Upon completion of the processing of the roll, for example, deionized water can be used to wash the surface of the substrate and spin dry to retain the chemicals on the surface of the substrate. Alternatively, the substrate may be dried by steam by applying a solvent such as acetone, ethanol or the like at a high vapor pressure. According to the embodiment of the system of the present invention, the process chamber position 102 can be used for the electroless pre-cleaning process, the electroless activation process, and the non-activation process, and the process chamber position of 104, 1 1 0 can be an electroless plating chamber. Post deposition process chamber. According to this configuration, when the activated chemicals and the deposited materials are dispersed into the respective process chambers, another advantage of the chemical configuration that can be recycled for each process is that the processes of the fluid process chambers are 102, 104, and 1 112. When the space is within the process enclosure 302, the substrate is converted from an activation liquid to an electroless deposition solution in a gaseous environment. Furthermore, during the processing, the process enclosure is filled with an inert gas, so that there is substantially a small percentage of oxygen in the closure zone 302, and the oxygen content is, for example, about 1000 ppm, preferably less than about 5. 0 ppm, or more, less than, ppm. Here, the substantially reduced oxygen content, the activation chamber, and the 46-dispersion are combined. After the base is alkaline, it is rotated [after, after the residue, it, after 112 electricity and no chemical. 10, the inert load and the process seal, such as the distance between the small 10 electroplating 1343840 chambers, and the rapid transfer between each other (generally less than 1 sec), can avoid oxidizing the surface of the substrate between the activation step and the deposition step This is the challenge of traditional electroless plating systems.

在本發明的所有流體處理步驟中,基材位置可不相 同。尤其是,可改變基材相對於流體擴散構件4 0 5的垂直 位置。例如依製程需要,可加大從流體擴散構件4 0 5算起 的距離,以降低基材溫度。同樣地,可在製程進行時縮短 基材與流體擴散構件405間的距離,以提高基材溫度。In all fluid processing steps of the present invention, the substrate locations may be different. In particular, the vertical position of the substrate relative to the fluid diffusion member 405 can be varied. For example, the distance from the fluid diffusion member 405 can be increased to reduce the substrate temperature as required by the process. Similarly, the distance between the substrate and the fluid diffusion member 405 can be shortened during the process to increase the substrate temperature.

本發明實施例之另一優點為,系統1 〇〇可使用相容或 不相容的化學品。例如在使用不相容之化學品(如酸性活化 液與鹼性電鍍液)的處理程序中,酸性溶液一般只能在某一 製程室或站使用,而鹼性溶液則只能在另一製程室使用。 製程室可鄰接配置,基材可藉由其中一個傳輸裝置305傳 送於各製程室間。基材一般在被傳送到相鄰之製程室前, 會先在原製程室令清洗,以避免原製程室中的化學品污染 另一製程室。此外,各製程站或室中的多種處理位置(如定 位鉤環4 1 8、4 1 9的位置)允許單一製程室或站使用不相容 的化學品,而各化學品可分別由不同的鉤環 4 1 8、4 1 9收 集,並且保持分開狀態。 本發明之實施例亦可用於單次使用型化學處理室,換 言之,單一劑量的處理化學品可用於一單一基材後,即被 丟棄而不回收溶液,意即此溶液不再收回來處理其他的基 材。例如,系統1 0 0可利用一般的製程室來活化、清洗、 及/或後處理基材,而利用其他的製程室來進行無電鍍製 47 1343840 程、及/或後沉積清洗製程。因每一製程可能使用不同的化 學品,故製程室一般為提供所需之化學品至基材,且於製 程完成後,排出使用過的化學品。然而,製程室一般並不 回收化學品,此乃因從單一製程室中回收不同的化學品易 引起污染問題。Another advantage of embodiments of the present invention is that the system 1 can use compatible or incompatible chemicals. For example, in the treatment process using incompatible chemicals (such as acidic activation solution and alkaline plating solution), the acidic solution can generally only be used in one process chamber or station, while the alkaline solution can only be used in another process. Room use. The process chamber can be disposed adjacent to each other and the substrate can be transferred between the process chambers by one of the transfer devices 305. The substrate is typically cleaned in the original process chamber before being transferred to an adjacent process chamber to avoid contamination of the other process chamber by chemicals in the original process chamber. In addition, multiple processing locations in each process station or chamber (such as the location of the shackle 4 1 8 , 4 1 9) allow for the use of incompatible chemicals in a single process chamber or station, and the chemicals may be different The shackles 4 1 8 , 4 1 9 are collected and remain separated. Embodiments of the invention may also be used in single-use chemical processing chambers, in other words, a single dose of treatment chemicals may be disposed of after a single substrate, ie, discarded without recycling the solution, meaning that the solution is no longer recovered for disposal. Substrate. For example, System 1000 can utilize a common process chamber to activate, clean, and/or post-treat substrates, while other process chambers are utilized for electroless plating, and/or post-deposition cleaning processes. Because each process may use a different chemical, the process chamber typically supplies the required chemicals to the substrate and, after the process is complete, drains the used chemicals. However, process chambers generally do not recycle chemicals because recycling of different chemicals from a single process chamber can cause contamination problems.

其他可用於本發明實施例的製程室可參見美國專利證 書號第6,25 8,223號,名稱「在電鍍系統中加強原位無電 錄銅種晶層(In-Situ Electroless Copper Seed Layer Enhancement in an Electroplating System)」,公告曰為 200 1年7月10曰、以及美國專利申請案號第1 0/036,3 2 1 號,名稱「無電鍵系統(Electroless Plating System)」,申 請曰為2001年12月26曰的申請案,二者一併附上供作參 考。 喷露分散系統(Spray Dispense System )Other process chambers that can be used in embodiments of the present invention can be found in U.S. Patent No. 6,25,223, entitled "In-Situ Electroless Copper Seed Layer Enhancement in an Electroplating in Electroplating Systems". "System"", the announcement is July 10, 2001, and US Patent Application No. 1 0/036, 3 2 1 , the name "Electroless Plating System", the application number is 2001 12 The application for the month of 26 , is attached for reference. Spray Dispense System

第9圖為一面朝上之流體處理室1 0 1 0之一實施例的剖 面側視圖,其類似上述之各製程站402、404。如第9圖所 示,基材1250為面朝上放置。雖然在此描述之流體處理室 1010的實施例是以面朝上的方式完成一製程,但本發明之 各態樣並不限於此基材方向《所謂「無電製程」(或無電鍍 製程)一般包含沉積無電鍍膜至基材上的所有步驟,例如包 括一或多個預清洗步驟(基材預備步驟)、無電活化步驟、 無電鍍步驟、與後沉積清洗及/或洗滌步驟。 流體處理室1010包括一室主體1015。室主體1015可 S ) 48 1343840 採用各種不與流體處理溶液(無電哎 v热电a電化學電鍍)反應的材 料來製造。材料包括塑膠材料、聚入 7叶 不0物材料及陶瓷材料。 參照第9圖,室主體1 〇 1 5定羞屮一圓p上 义我出圓形或矩形主體而做為 處理室1010的側壁。室主體1〇15交紅〜 瓶合納與支撐一上蓋組件 1〇33於其上端。一整合型底壁1〇6沿著室主體⑻5底部 設置。底^ 1〇16具有—開口’用以容納基材支擇組件 1299。基材支撐組件1299的特徵將描述如下。 在-實施例中,基材支榜組件1 299 _般包括_基底構 件1 304、以及一與其相連的流體擴散構件丨3〇2。第9至 12圖所示之基材支撐組件1299說明上述平台組件如的 另一實施例。—環形密封塾1121(如〇形環密封塾)是設在 流趙擴散構件1 302周緣附近。環形密封塾η” _般為用 來嗔合基底構# 13G4之上部與外緣,以在流趙擴散構件 1 302與基底構件1304間形成緊密的流趙密封區而利於 流趙傳輸。 古基底構件13〇4 一般定義出一實心盤形構件其具有一 體 1308貫穿基底構件1304中心或其他位置。基底 構件1 3 0 4較伟县田始=u 一 权佳疋用陶瓷材料或含塗佈層之金屬製作。亦可 吏用PVDF材y料。流體空間1310形成於基底構件13〇4之 2於流體擴散構件13G2之下。在此實施例中流趙擴散 ^ 〇 2位在基底構件1 3 〇4上方。流體空間1 3丨〇 一般在 體擴散構件! 3G2與基底構件! 3()4之間具有約2毫米至 1 5毫米的間距,然,間距可為更大或更小。 配合日3 ΐβτ a _ …、第4、5A-5E及7圖,流體擴散構件13〇2包 <:S ) i 49 1343840 括複數個流道1 306貫穿其中。使用時,流體從流艘入口 1 308流進密閉的流體空間1310,接著流經流體擴散構件 1 302中的流道1 306後’再流入基材125〇背面與流體擴散 構件1 3 02之間的熱傳區1 3 1 2。根據一實施例,一流趙加 熱器1 1 64連接控制器1 1 1與溫度探針(未繪示),以確保從 流體源1 203流入熱傳區1 3 1 2的流體是維持在預定溫度。 在一實施例中,流體源1 203是用來輸送去離子(DI)水。基 材1250後方的加熱流體可加熱基材ι25〇背面。均勻且較 高的基材溫度有助於無電鍍製程進行。複數個加熱線圈 1 1 1 2可選擇性埋设於基底構件1 3 〇 4中,且可依需求個別 控制,以更準確控制流入熱傳區! 3〗2的去離子水溫度以 及處理基材的溫度。特別是,個別控制加熱線圈i丨丨2可精 準控制基材表面’此對無電鍍製程而言是很重要的。 第9B圖繪示另一種加熱方式,其將加熱線圈1112從 基底構件1 3 04中移除,而改裝到流體擴散構件1 3 〇2内。 為達成此設計’可使基底構件1 304變薄,並增加流體擴散 構件1 302的尺寸《當去離子水流經流體入口 13〇8、流體 擴散構件1 302 了方與流道1 306後,接著會流入基材125〇 背面與流體擴散構件13〇2之間的熱傳區1312。在此配置 下,分離的流體加熱器1 1 64可選擇性移除。須注意的是, 流道1 306可用來導引去離子水到基材125〇的背面。基材 125〇背面的水不僅可加熱基材1 2 50,還可避免無電鍍液不 當接觸基材1250背面。 基底構件1 304及流體擴散構件1 302可採用陶曼材料Figure 9 is a cross-sectional side elevational view of one embodiment of a fluid processing chamber 1 0 1 0 facing upwards, similar to each of the process stations 402, 404 described above. As shown in Figure 9, the substrate 1250 is placed face up. Although the embodiment of the fluid processing chamber 1010 described herein completes a process in a face-up manner, aspects of the present invention are not limited to the direction of the substrate, so-called "electroless process" (or electroless process). All steps including depositing an electroless plating film onto a substrate, including, for example, one or more pre-cleaning steps (substrate preparation steps), electroless activation steps, electroless plating steps, and post-deposition cleaning and/or washing steps. Fluid processing chamber 1010 includes a chamber body 1015. The chamber body 1015 can be manufactured by using various materials that do not react with the fluid treatment solution (electroless v thermoelectric a electrochemical plating). Materials include plastic materials, 7-leaf non-materials and ceramic materials. Referring to Fig. 9, the main body of the chamber 1 〇 1 5 is set as a circle or a rectangular body as the side wall of the processing chamber 1010. The main body of the chamber 1〇15 is reddish~ the bottle is assembled and supported by an upper cover assembly 1〇33 at its upper end. An integrated bottom wall 1〇6 is provided along the bottom of the chamber body (8) 5. The bottom portion 1 has an opening to accommodate the substrate-receiving assembly 1299. The features of the substrate support assembly 1299 will be described below. In an embodiment, the substrate support assembly 1 299 generally includes a base member 1 304 and a fluid diffusion member 丨3〇2 coupled thereto. The substrate support assembly 1299 shown in Figures 9 through 12 illustrates another embodiment of the platform assembly described above. - An annular seal 塾 1121 (e.g., a ring seal 塾) is provided adjacent the periphery of the flow diffusion member 1 302. The annular seal 塾 ” is generally used to knit the upper and outer edges of the base structure # 13G4 to form a tight flow sealing zone between the flow diffusion member 1 302 and the base member 1304 to facilitate the flow transmission. The member 13〇4 generally defines a solid disc member having an integral 1308 extending through the center or other position of the base member 1304. The base member 1 3 0 4 is a ceramic material or a coating layer. The metal is made of PVDF material. The fluid space 1310 is formed under the fluid diffusion member 13G2 of the base member 13〇4. In this embodiment, the diffusion is in the base member 1 3 〇 4 above. The fluid space is generally 3 in the body diffusion member! 3G2 and the base member! 3 () 4 have a spacing of about 2 mm to 15 mm, however, the spacing can be larger or smaller. 3 ΐβτ a _ ..., 4, 5A-5E and 7 , the fluid diffusion member 13 〇 2 package <: S ) i 49 1343840 includes a plurality of flow channels 1 306 therethrough. In use, the fluid from the flow vessel inlet 1 308 flows into the closed fluid space 1310 and then through the fluid diffusion member 1 30 The flow path 1 306 in 2 is then re-flowed into the heat transfer zone 1 3 1 2 between the back surface of the substrate 125 and the fluid diffusion member 1 3 02. According to an embodiment, the first-class Zhao heater 1 1 64 is connected to the controller 1 1 1 and a temperature probe (not shown) to ensure that the fluid flowing from the fluid source 1 203 into the heat transfer zone 13 3 2 is maintained at a predetermined temperature. In one embodiment, the fluid source 1 203 is used to transport Ion (DI) water. The heating fluid behind the substrate 1250 can heat the back of the substrate ι25. The uniform and high substrate temperature contributes to the electroless plating process. A plurality of heating coils 1 1 1 2 can be selectively embedded in The base member 1 3 〇4, and can be individually controlled according to requirements, in order to more accurately control the flow into the heat transfer zone! The temperature of the deionized water and the temperature of the treated substrate. In particular, the individual control heating coil i丨丨2 Precise control of the substrate surface 'This is important for electroless plating processes. Figure 9B depicts another heating method that removes the heating coil 1112 from the base member 1 3 04 and retrofits to the fluid diffusion member 1 3 〇2. In order to achieve this design, the base member 1 304 can be changed. And increasing the size of the fluid diffusion member 1 302 "When the deionized water flows through the fluid inlet 13 〇 8 , the fluid diffusion member 1 302 and the flow channel 1 306 , it then flows into the back surface of the substrate 125 与 and the fluid diffusion member 13 〇 A heat transfer zone 1312 between the two. In this configuration, the separate fluid heaters 1 1 64 are selectively removable. It should be noted that the flow channels 1 306 can be used to direct deionized water to the substrate 125. back. The water on the back side of the substrate 125 can not only heat the substrate 1 2 50, but also prevent the electroless plating solution from improperly contacting the back surface of the substrate 1250. The base member 1 304 and the fluid diffusion member 1 302 may be made of Taman materials.

(S 50 1343840(S 50 1343840

(如完全壓合之氮化鋁、氧化鋁(Al2〇3)、碳化矽(SiC))、塗 佈有聚合物之金屬(如塗有鐵氟龍聚合物(TeflonTM)之鋁或 不銹鋼)、聚合物材料、或其他適合半導體流體製程之材 料。較佳的聚合物塗層或聚合物材料為氟化聚合物’例如 Tefzel (ETFE)、Halar (ECTFE)、PFA、PTFE、FEP、PVDF 等。(such as fully pressed aluminum nitride, alumina (Al2〇3), tantalum carbide (SiC)), polymer coated metal (such as TeflonTM coated aluminum or stainless steel), Polymer materials, or other materials suitable for semiconductor fluid processing. Preferred polymeric coatings or polymeric materials are fluorinated polymers such as Tefzel (ETFE), Halar (ECTFE), PFA, PTFE, FEP, PVDF, and the like.

複數個基材支撐指狀物件1300 —般置於靠近流體擴 散構件1302邊緣。基材支撐指狀物件1300是用來支撐基 材1 2 5 0,使其位於流體擴散構件1 3 0 2之上一預定距離’ 而形成熱傳區1312。在移出及/或放入基材時,一機械葉 片(未繪示)可伸到基材1250下方與基材支撐指狀物件 1 3 〇 〇之間來舉起並移開基材1 2 5 0 »此外,也可用一連續環 (未繪示)代替基材支撐指狀物件1 300來支撐基材。其中, 亦可使用一升舉銷組件(未繪示)來將基材從連續環舉 起。如此,機械葉片可伸到基材1250下方並傳送基材1250 進出處理室1010»流體處理室1010更包括_狹縫1108。 狹縫1108定義出一穿過室主體1015側壁之開口 ,以供一 機娀臂(未繪示)進出處理室1010而接取基材125〇。 參照第9圖之處理室1010,基材支撐組件1299可選 择性地轴向移動,並利用上軸承1054A與下軸承1054B繞 著基底支撐物1301旋轉。為達此目的,首先提供一基材升 降組件1 0 6 0。基材升降組件1 0 6 0包括一基材支撐組件馬 達1 062。在一實施例中,基材支撐組件馬達1 062為一精 密馬達,用以轉動一導螺旋1061。支撐組件馬達1062的 51 1343840 轉動會轉換成一指狀滑座i 064的線性移動。指狀滑座1 064 沿著槽蓋1 〇 6 6移動以驅使滑座1 0 6 4上下位移。在此’支 律組件馬達1062較佳為由電力啟動。或者,基材支撐組件 馬達1062可為一氣動式汽缸。A plurality of substrate support fingers 1300 are generally placed adjacent the edge of the fluid diffusion member 1302. The substrate support finger member 1300 is for supporting the substrate 1 250 to be positioned a predetermined distance ' above the fluid diffusion member 1 3 0 2 to form a heat transfer region 1312. When removed and/or placed in the substrate, a mechanical blade (not shown) can be extended between the substrate 1250 and the substrate supporting finger member 1 3 举 to lift and remove the substrate 1 2 5 0 » In addition, a continuous loop (not shown) may be used in place of the substrate support finger member 1 300 to support the substrate. Alternatively, a one-lift pin assembly (not shown) can be used to lift the substrate from the continuous loop. As such, the mechanical blades can extend below the substrate 1250 and transport the substrate 1250 into and out of the processing chamber 1010. The fluid processing chamber 1010 further includes a slit 1108. The slit 1108 defines an opening through the side wall of the chamber body 1015 for an arm (not shown) to enter and exit the processing chamber 1010 to receive the substrate 125. Referring to the processing chamber 1010 of Fig. 9, the substrate support assembly 1299 is selectively axially movable and rotated about the substrate support 1301 by the upper bearing 1054A and the lower bearing 1054B. To this end, a substrate lift assembly 1 0 60 is first provided. The substrate lifting assembly 1 0 6 0 includes a substrate support assembly motor 1 062. In one embodiment, the substrate support assembly motor 1 062 is a precision motor for rotating a lead screw 1061. The rotation of 51 1343840 of the support assembly motor 1062 translates into a linear movement of a finger slide i 064. The finger slide 1 064 moves along the slot cover 1 〇 6 6 to drive the carriage 1 0 6 4 up and down. Here, the 'discipline assembly motor 1062 is preferably activated by electric power. Alternatively, the substrate support assembly motor 1062 can be a pneumatic cylinder.

基材升降組件1 060還包括一基材支撐指狀物件馬達 1052。指狀物件馬達1 052轉動基材支撐指狀物件1 300及 所支撐之基材1 2 5 0。基材支撐指狀物件1 3 00的旋轉是以 一由非轉動的基底支撐物1301構成之中心軸為轴心。基材 支撐指狀物件1300的轉速可依特定製程(如沉積、洗滌、 乾燥)需求而改變。以沉積製程為例,基材支撑組件可採取 較低的轉速,例如介於約5 r p m至約1 5 0 r p m之間,轉速 視流體黏性與流體分散到基材1 2 5 0表面的慣性而定。以洗 條製程為例,基材支撐指狀物件1 3 0 0可採取中等轉速,例 如介於約5 r p m至約1 0 0 0 r p m之間。以乾燥製程為例,基 材支撐組件可採取較高的轉速,例如介於約500 rpm至約 3000 rpm之間,以旋乾基材1 250。The substrate lift assembly 1 060 also includes a substrate support finger motor 1052. The finger member motor 1 052 rotates the substrate support finger member 1 300 and the supported substrate 1 2 50. The rotation of the substrate supporting finger member 1 300 is centered on a central axis formed by the non-rotating substrate support 1301. The rotational speed of the substrate support fingers 1300 can vary depending on the requirements of the particular process (e.g., deposition, washing, drying). In the deposition process, for example, the substrate support assembly can be operated at a lower rotational speed, for example, between about 5 rpm and about 150 rpm, depending on the viscosity of the fluid and the inertia of the fluid dispersed onto the surface of the substrate 1 250. And set. Taking the strip process as an example, the substrate supporting finger member 1 300 can take a medium rotational speed, for example, between about 5 r p m and about 1 0 0 r p m . In the case of a drying process, the substrate support assembly can be operated at a relatively high rotational speed, for example between about 500 rpm and about 3000 rpm, to spin dry the substrate 1 250.

基底支撐物1301透過底件1013、10M而裝設於處理 室底部或平台1 〇 1 2。故在一較佳實施例中,基底構件1 3 04 不會隨基材升降組件1 060移動,而是用來引導基材支撐指 狀物件1 300。上軸承1 054A與下轴承1 054B則做為支撐 之用。基底支撐物1301亦可做為電線(未繪示)的導管、以 及供應管線1166的流體入口 1308。電線與管線為穿過底 件1014中的基底導管1305。 第9A圊為第9圖中面朝上之無電製程室(electroless 52 i S ) 1343840 processing chamber)的剖面側視圖。其中,基材升降組件 1060是處於上升位置。基材1 2 5 0被頂離基底構件ι3〇4表 面,由於基材並未被接觸流體空間1310與基底構件13〇4 之流體所加熱,故製程是在處理室1〇1〇之室溫下進行。此 上升位置也是在機械臂進入取出處理基材1250之前,基材 1250 —般放置的位置》The substrate support 1301 is attached to the bottom of the processing chamber or the platform 1 〇 12 through the bottom members 1013, 10M. Thus, in a preferred embodiment, the base member 1 3 04 does not move with the substrate lift assembly 1 060, but rather serves to guide the substrate support finger member 1 300. Upper bearing 1 054A and lower bearing 1 054B are used as supports. The substrate support 1301 can also serve as a conduit for wires (not shown), as well as a fluid inlet 1308 for the supply line 1166. The wires and tubing are through a base conduit 1305 in the base 1014. Figure 9A is a cross-sectional side view of the faceless electroless process chamber (electroless 52 i S 1343840 processing chamber) in Fig. 9. Wherein, the substrate lifting assembly 1060 is in the raised position. The substrate 1 250 is lifted off the surface of the base member ι3 〇 4, and since the substrate is not heated by the fluid contacting the fluid space 1310 and the base member 13 〇 4, the process is at room temperature of the processing chamber 1 〇 1 〇 Go on. This raised position is also the position where the substrate 1250 is placed before the robot arm enters the treated substrate 1250.

處理室1 0 1 0還包括一流體引入系統1 2 0 0。流體引入 系統1 200用以輸送各種處理流體(如溶液源i 2〇2、1 204、 1206等)至基材1250之接收面。用於處理室loio的流體 數量將視不同應用決定,且可能多於第9圖所表示的三種 溶液。一計量幫浦1 208係連接至各溶液源1 202、1 204、 1 2 0 6。另外’一分配間1 2 〇 9為用來控制各溶液源1 2 0 2、The processing chamber 1 0 1 0 also includes a fluid introduction system 1 200. Fluid introduction system 1 200 is used to deliver various treatment fluids (e.g., solution sources i 2 〇 2, 1 204, 1206, etc.) to the receiving surface of substrate 1250. The amount of fluid used in the treatment chamber loio will vary depending on the application and may be more than the three solutions indicated in Figure 9. A metering pump 1 208 is connected to each of the solution sources 1 202, 1 204, 1 2 0 6 . In addition, a distribution room 1 2 〇 9 is used to control each solution source 1 2 0 2

1 2 04、1 2 0 6流放溶液到各對應的前端管線1 2 1 0 »溶液源 1202、1204、1206之處理溶液透過入口管1225,而從前端 管線1210選擇性被引導到處理室1〇1〇。一般如第9圊所 示’當化學品已從分配閥1209上游之處理流體源傳輸之 後’分配閥1 209可用來洗滌前端管線1 2 1 0。根據一實施 例’入口管1225可從與其相連之氣體源1207注入氣體來 清除殘餘流體。 一過濾器11 62可選擇性裝設於流體引入系統1 200, 以避免來自過濾器116 2上游的微粒污染流體處理室 1 0 1 〇 ’進而污染基材1 2 5 0。若在移開基材前或各步驟間皆 須洗滌入口管1 2 2 5,則增設過濾器將大幅增加管路洗滌的 時間(因其濾膜具有大表面積),故可省略不用過濾器。 53 13438401 2 04, 1 2 0 6 Discharge solution to each corresponding front end line 1 2 1 0 » Solution source 1202, 1204, 1206 treatment solution is passed through inlet tube 1225, and is selectively guided from front end line 1210 to processing chamber 1〇 1〇. Typically, as shown in Section 9, 'Distribution valve 1 209 can be used to wash front end line 1 2 1 0 when the chemical has been transferred from the processing fluid source upstream of dispensing valve 1209. According to an embodiment, the inlet tube 1225 can inject a gas from a gas source 1207 connected thereto to remove residual fluid. A filter 11 62 can be selectively installed in the fluid introduction system 1 200 to prevent particles from upstream of the filter 116 2 from contaminating the fluid processing chamber 1 0 1 〇 'and thereby contaminating the substrate 1 250. If the inlet tube 1 2 2 5 is to be washed before or during the removal of the substrate, the addition of the filter will greatly increase the time for the line to be washed (due to the large surface area of the membrane), so that the filter can be omitted. 53 1343840

在另一實施例中,一加熱器 11 6 1設於流體引入系統 1 2 00中,以於流體流進處理區域1 0 2 5之前加熱流體。本 發明所用之加熱器1161可為任一形式的裝置,只要其能將 能量導入處理流體。較佳地,加熱器1 1 6 1為封套阻抗型加 熱器(如藉由入口管側壁加熱流體),而非沉浸型加熱器(如 以加熱元件直接接觸溶液)。加熱器1 1 6 1可連接於控制器 1 1 1,以確保流入流體處理室1 0 1 0之處理區域1 0 2 5的流體 維持在預定溫度。In another embodiment, a heater 161 is disposed in the fluid introduction system 1 200 to heat the fluid prior to flowing into the treatment zone 1 0 2 5 . The heater 1161 used in the present invention may be any form of device as long as it can introduce energy into the treatment fluid. Preferably, the heater 1 16 1 is an envelope-type heater (e.g., by heating the fluid through the inlet tube sidewall) rather than an immersed heater (e.g., directly contacting the solution with a heating element). The heater 1 1 6 1 can be connected to the controller 1 1 1 to ensure that the fluid flowing into the treatment zone 1 0 2 5 of the fluid processing chamber 1 0 10 is maintained at a predetermined temperature.

在又一實施例中,加熱器1 1 6 1為一微波電源,且流貫 將熱量快速傳導至處理流體的微波腔體。根據一實施例, 微波電源之運作頻率為2.54 GHz,功率為約500瓦(W)至 约2 000瓦。根據線上微波腔體加熱器之一實施例,其可在 各種流體(如清洗液、洗滌液、後清洗液等)流入處理室前, 立即加熱流體到最佳溫度範圍。在一實施例中,可使用兩 個微波加熱器來選擇性加熱來自流體引入系統 1 200之各 流體管線中的流體。因此在使用時,各溶液源1 2 0 2、1 2 0 4、 1 2 06分別提供的流體可以不同的溫度輸送到基材表面。 在另一實施例中,一流體除氣單元1 1 7 0設於流體引入 系統1 200中,以於處理流體流進處理區域1 025之前,移 除陷入或溶解在流體中的氣體。由於溶解於流體中的氧氣 會抑制無電鍍反應、氧化暴露的金屬表面、且會影響無電 清洗製程的蝕刻速率,因此使用流體除氣單元有助於減少 腐蝕及/或處理流體内的氧氣所導致的製程變異性。流體除 氣單元一般為能從流體中取出溶解氣體的單元裝置,例如 54 !34384〇 ~氣體滲透膜與一真空源。流趙除氣單元例如可向美國麻 薩諸塞州的 Mykrolis Corporation of Billerica 購買。In yet another embodiment, the heater 1 16 1 is a microwave power source that conducts heat rapidly to the microwave cavity of the processing fluid. According to an embodiment, the microwave power source operates at a frequency of 2.54 GHz and has a power of from about 500 watts (W) to about 2,000 watts. According to one embodiment of an in-line microwave cavity heater, it is possible to immediately heat the fluid to an optimum temperature range before flowing various fluids (e.g., cleaning fluid, washing fluid, post-cleaning fluid, etc.) into the processing chamber. In one embodiment, two microwave heaters can be used to selectively heat fluid from each of the fluid lines of fluid introduction system 1 200. Therefore, in use, the fluids provided by the respective solution sources 1 2 0 2, 1 2 0 4, and 1 2 06 can be delivered to the surface of the substrate at different temperatures. In another embodiment, a fluid degassing unit 1 1 70 is disposed in the fluid introduction system 1 200 to remove gases trapped or dissolved in the fluid prior to flowing the treatment fluid into the treatment zone 1 025. Since the oxygen dissolved in the fluid inhibits electroless plating, oxidizes the exposed metal surface, and affects the etch rate of the electroless cleaning process, the use of a fluid degassing unit helps reduce corrosion and/or oxygen in the processing fluid. Process variability. The fluid degassing unit is generally a unit device capable of extracting dissolved gases from the fluid, such as a 54!34384〇 gas permeable membrane and a vacuum source. The flow degassing unit can be purchased, for example, from Mykrolis Corporation of Billerica, Massachusetts, USA.

參照第9、9 A與9B圖之流體處理室1 〇 1 〇實施例’流 體引入系統1 200是透過一或多個喷嘴1 4〇2來輸送處理流 體至基材1 250表面。特別是,溶液源1 202、1 204、1206 之處理流體為經由一流體輸送臂1 406選擇性地輸送到基 材1250之接收面。複數個喷嘴1 402沿著流體輸送臂1406 排列。噴嘴1402接收入口管1225之流體,並導引處理流 體到基材1 250之接收面。噴嘴1402可配置在輸送臂1406 之一端或沿著整個輸送臂1406排列。如第9、9A與9B圖 所示’一組噴嘴1 4 0 2為等距放置β在一實施例中,一或多 個流體引入系統1 200及/或喷嘴1 402連接第3與4圖之分 配臂406及/或分配臂408。Referring to fluid processing chambers 1 of Figures 9, 9A and 9B, the embodiment of the fluid introduction system 1 200 transports the treatment fluid to the surface of the substrate 1 250 through one or more nozzles 1 4〇2. In particular, the processing fluids of solution sources 1 202, 1 204, 1206 are selectively delivered to the receiving surface of substrate 1250 via a fluid delivery arm 1 406. A plurality of nozzles 1 402 are arranged along the fluid transport arm 1406. Nozzle 1402 receives fluid from inlet tube 1225 and directs the processing fluid to the receiving surface of substrate 1 250. Nozzle 1402 can be disposed at one end of delivery arm 1406 or along the entire delivery arm 1406. As shown in Figures 9, 9A and 9B, 'a set of nozzles 1 4 2 2 are equidistantly placed β. In one embodiment, one or more fluid introduction systems 1 200 and/or nozzles 1 402 are connected to Figures 3 and 4 The dispensing arm 406 and/or the dispensing arm 408.

如第9圊所示,流體輸送臂1406之長度可使其一末端 延伸越過基材1250中心。較佳地,至少一喷嘴1402為·?曼 置在流體輸送臂1 4 0 6之末端。且較佳地,流體輸送臂丨4 〇 6 可藉由一分配臂馬達1404而移動,其是用來使流體輸送臂 1406框軸返復基材U50中心。參照第9、9Α與9Β圖, 流體輸送臂1 406相應於分配臂馬達1 4〇4之運轉而樞轉。 分配臂馬達1 404較佳是設在一防護構件! 4丨〇後方,以部 分隔離分配臂馬達1404與處理區域1〇25。 在一實施例中,流體輸送臂1 406不值可框轉,更可轴 向移動(第9圖^第9B圖為第9圖中面朝上之無電製程室 之另一實施例的剖面圖。其中,流體輪送臂14〇6連接—抽As shown in Fig. 9, the length of the fluid transfer arm 1406 can be such that one end extends across the center of the substrate 1250. Preferably, at least one nozzle 1402 is disposed at the end of the fluid transfer arm 1604. Preferably, the fluid delivery arm 丨4 〇 6 is movable by a dispensing arm motor 1404 for returning the fluid delivery arm 1406 to the center of the substrate U50. Referring to Figures 9, 9 and 9, the fluid transfer arm 1 406 pivots in response to the operation of the dispensing arm motor 1 4〇4. The distribution arm motor 1 404 is preferably provided in a protective member! 4丨〇 rear, the distribution arm motor 1404 and the processing area 1〇25 are partially separated. In one embodiment, the fluid transfer arm 1 406 is not worth to be framed and more axially movable (Fig. 9 & Fig. 9B is a cross-sectional view of another embodiment of the upwardly facing electroless process chamber of Fig. 9; Among them, the fluid wheel arm 14〇6 is connected-pumped

55 向馬達1 Ο 8 Ο (例如線性馬達)。流趙輸送臂1 4 Ο 6的軸向移 動九許其在需要時可選擇性地移近基材1250。 第10圖為第9圖中面朝上之無電製程室的上視圖。其 中’流體引入系統1 200的流想輸送臂1 406係與裝設之基 持1 2 5 0成比例設置。其螬示四個支擇指狀物件1 3 0 0支樓 著基材1250。依此視圖中’流體輸送臂1406為旋離基材 1 25 〇»此位置可藉由上述之基材升降組件1〇60而利用指狀 物件1 300將基材1 250升高。然而’箭頭1 004指出流體輸 送臂1406可旋轉移動的路徑’顯示流體輸送臂iAOG可在 製程中旋轉基材1250上方的喷嘴1402。一方面,流體輸 送臂1406可在分配流體至基材表面的過程中,完成轉動及 /或垂直移動,以於基材表面達到均勻或預期的處理流體分 佈情形。利用分配臂馬達1 404和軸向馬達1 080可轉動及/ 或垂直移動流趙輸送臂1 406。流體輸送臂1406於基材1250 上方移動可改善流體覆蓋基材1 2 5 0的情形。較佳地,當喷 嘴1402分散流體時,基材支撐指狀物件1 300及基材1250 為旋轉的’如此可增進流體分散的均勻度及提高系統的產 能。 在另一實施例中,處理流體的輸送為透過一或多個設 置於流體輸送臂1406上且鄰近基材的旋轉轴的喷嘴,同 時’利用流趙輸送臂1406上鄰近基材外緣的喷嘴來輸送載 氣(如氣氣或氬氣)。在流體輸送過程中,基材較佳為旋轉 狀態° 主入到基材1250邊緣的載氣在處理區域1025附近 形成氣想覆蓋層(gas blanket )。氣體覆蓋層可排除任何殘55 To the motor 1 Ο 8 Ο (for example, linear motor). The axial movement of the flow transport arm 1 4 Ο 6 allows it to selectively move closer to the substrate 1250 as needed. Figure 10 is a top plan view of the face-up electroless process chamber of Figure 9. The flow-through transport arm 1 406 of the 'fluid introduction system 1 200 is disposed in proportion to the mounting base 1 250. It is shown that the four supporting finger members 1 3 0 0 are the base material 1250. In this view, the fluid transport arm 1406 is rotated away from the substrate. The position of the substrate 1 250 can be raised by the finger member 1 300 by the substrate lifting assembly 1 60 described above. However, 'arrow 1 004 indicates a path through which the fluid delivery arm 1406 can be rotationally moved' to indicate that the fluid delivery arm iAOG can rotate the nozzle 1402 above the substrate 1250 during the process. In one aspect, the fluid delivery arm 1406 can perform rotational and/or vertical movement during dispensing of the fluid to the surface of the substrate to achieve a uniform or desired treatment fluid distribution on the surface of the substrate. The transfer arm 1 406 can be rotated and/or vertically moved by the distribution arm motor 1 404 and the axial motor 1 080. Movement of the fluid transfer arm 1406 over the substrate 1250 improves the condition of the fluid covering the substrate 1 250. Preferably, when the nozzle 1402 disperses the fluid, the substrate supports the finger member 1 300 and the substrate 1250 to be rotated. This enhances the uniformity of fluid dispersion and increases the productivity of the system. In another embodiment, the delivery of the treatment fluid is through one or more nozzles disposed on the fluid delivery arm 1406 adjacent the axis of rotation of the substrate while simultaneously utilizing the nozzles on the delivery arm 1406 adjacent the outer edge of the substrate. To transport carrier gas (such as gas or argon). During fluid transport, the substrate is preferably in a rotating state. A carrier gas that is advanced into the edge of the substrate 1250 forms a gas blanket near the processing region 1025. Gas blanket can exclude any residue

S 56 1343840 留在處理區域内的氧氣。一般熟知無電鍍製程之技藝者將 了解,氧氣會對特定步驟造成不良影響,如化學活化步驟。S 56 1343840 Oxygen remaining in the treated area. Those skilled in the art of electroless plating will understand that oxygen can adversely affect specific steps, such as chemical activation steps.

在一實施例中,喷嘴1402為超音波喷霧噴嘴或氣霧型 (air atomizing)喷嘴。第13圖為其中一種氣霧型喷嘴 1 4 02的剖面圖。其是一種内部流體混合型喷嘴。意即,流 體是在内部混合並產生完全霧化的處理流體喷霧。如此, 載氣會包含導向基材表面之小滴的處理溶液。在一實施例 中,載氣為一惰性氣體,例如氬氣、氮氣、或氦氣,其可 用來輸送霧化之處理流體至基材表面。In one embodiment, the nozzle 1402 is an ultrasonic spray nozzle or an air atomizing nozzle. Figure 13 is a cross-sectional view of one of the aerosol type nozzles 1 4 02. It is an internal fluid mixing nozzle. That is, the fluid is internally mixed and produces a fully atomized treatment fluid spray. As such, the carrier gas will contain a treatment solution that directs droplets of the surface of the substrate. In one embodiment, the carrier gas is an inert gas, such as argon, nitrogen, or helium, which can be used to deliver the atomized process fluid to the surface of the substrate.

參照第1 3圖的噴嘴1 402設計,噴嘴1 402包括一主體 1 426及一尖端1 424。尖端1424的直徑一般為約10微米至 約2 00微米。在一實施例中,尖端1 424的直徑為約10微 米至約5 0微米。當氣體供應源1 244送入高壓氣體時會引 起文式效應(venturi effect)而產生吸力,因此流體可流過 尖端1424。在第13圖中,主體1426具有分開的通道1422、 1420,以各自接收液體與氣體。液體通道1422與氣體通道 1 420在尖端1 424合併,而使液體與氣體混合。此可參考” 同心文式流量計(concentric venturi)之設計”。如此,喷嘴 1 4 0 2所分散之流體已預先混合並生成完全霧化之喷霧。第 13圖之特殊的尖端1424設計可形成圓形喷霧圖案。但也 可使用其他形式之喷嘴來產生其他喷霧圖案,如平面或扇 形喷霧圖案。 第14圖為另一種設計之氣霧型喷嘴14 02的剖面圖。 其為一種外部流體混合型喷嘴。參照第1 4圖中的喷嘴設 (S ) 57 14241343840Referring to the nozzle 1 402 design of FIG. 13 , the nozzle 1 402 includes a body 1 426 and a tip 1 424. Tip 1424 typically has a diameter of from about 10 microns to about 200 microns. In one embodiment, the tip 1 424 has a diameter of from about 10 microns to about 50 microns. When the gas supply source 1 244 feeds the high pressure gas, it causes a venturi effect to generate suction so that the fluid can flow through the tip 1424. In Figure 13, body 1426 has separate channels 1422, 1420 to each receive liquid and gas. The liquid passage 1422 merges with the gas passage 1 420 at the tip 1 424 to mix the liquid with the gas. This can be referred to as the "concentric venturi design". Thus, the fluid dispersed by the nozzle 1 4 2 2 is premixed and produces a fully atomized spray. The particular tip 1424 of Figure 13 is designed to form a circular spray pattern. However, other forms of nozzles can be used to create other spray patterns, such as flat or fan spray patterns. Figure 14 is a cross-sectional view of another design of the aerosol-type nozzle 142. It is an external fluid mixing type nozzle. Refer to the nozzle setting in Figure 14 (S) 57 14241343840

計’噴嘴1402包括一主體1426及一尖端1424。尖端 的直徑一般為約1 〇微米至約2 0 0微米’在另一實施分 尖端1424的直徑為約1 0微米至約50微米。如第14 示’主體1426具有分開的通道1422、1 420 ’以各自 液體與氣體。然而,液體通道1422此時是經由噴嘴 傳輪液體,而與氣體通道1420無關,故液體與氣體並 主體1426内混合,而是在尖端1424外部混合。此可: 平行文式流量計(parallel venturi)之設計”。此安排方 優點在於可分開控制氣體與液體的流入,故可提供更 度的液體及研磨懸浮液。内部混合型噴嘴則恰好相反 氣體流量會影響液體流量》 根據一實施例,使用傳統超音波噴嘴(類似第1 3 的喷嘴)可生成霧化之處理流體喷霧於基材之接收面」 較於液體流(stream),直接噴霧可節省昂貴的無電鍍 液的使用量。其亦更均勻地覆蓋接收面。並且,當基 撐指狀物件馬達1 052轉動基材1 250時會產生一流體 邊界層,由於邊界層在一旋轉盤表面的形狀一般為平 在任一方向均平行基材表面,故可改善霧化之處理流 基材1250表面的分佈情形。相較於傳統上產生液體流 基材表面的喷灑設計,一或多個喷嘴所產生的非均勻 圖案可藉由控制霧化流體輸送到基材表面上的邊界層 少’是以會產生邊界層效應的霧化處理流體較佳。 一流體供應源提供輸送至噴嘴丨402的流體。第 14圊繪示一貯槽1212。貯槽1212包括一流體入口 丨J中, 圖所 接收 1402 不在 參考” 式的 南黏 ,其 與14 L。相 處理 材支 動力 面或 體在 衝擊 噴霧 而減 13與 I2lg 58 1343840 與一排氣口 1214。排氣口 1214連通大氣壓。此外,還提 供一流體出口 1 2 1 6。在輸送流體時,氣體供應源1 2 44之 氣體為高速傳輸到喷嘴1402。因其透過排氣口 1214而連 通大氣壓,故可在流體通道1422產生一相對負壓。接著, 流體被迫流過出α 1 2 1 6而流入喷嘴1 402。The nozzle '140' includes a body 1426 and a tip end 1424. The diameter of the tip is typically from about 1 micron to about 200 microns. In another embodiment, the tip 1424 has a diameter of from about 10 microns to about 50 microns. As shown in Fig. 14, the body 1426 has separate channels 1422, 1 420' for the respective liquid and gas. However, the liquid passage 1422 now passes the liquid through the nozzle, regardless of the gas passage 1420, so that the liquid mixes with the gas and the body 1426, but mixes outside the tip 1424. This can be: Parallel venturi design. The advantage of this arrangement is that it can control the inflow of gas and liquid separately, so it can provide a more liquid and grinding suspension. The internal mixing nozzle is just the opposite gas. Flow rate affects liquid flow. According to one embodiment, a conventional ultrasonic nozzle (similar to the nozzle of the first 3) can be used to generate a sprayed atomized treatment fluid sprayed onto the receiving surface of the substrate. Compared to the liquid stream, direct spray It saves the use of expensive electroless plating solution. It also covers the receiving surface more evenly. Moreover, when the base member motor 1 052 rotates the substrate 1 250, a fluid boundary layer is generated. Since the shape of the boundary layer on a rotating disk surface is generally parallel to the surface of the substrate in either direction, the fog can be improved. The distribution of the surface of the processing substrate 1250. Compared to the spray design that traditionally produces a liquid flow substrate surface, the non-uniform pattern produced by one or more nozzles can be controlled by controlling the atomized fluid to be delivered to the boundary layer on the surface of the substrate. A layer effect atomized treatment fluid is preferred. A fluid supply provides fluid delivered to the nozzle 丨 402. Figure 14 shows a storage tank 1212. The sump 1212 includes a fluid inlet 丨J, and the receiving 1402 is not in the reference type of south viscous, and it is 14 L. The phase treatment material supporting surface or body is in the impact spray minus 13 and I2lg 58 1343840 with an exhaust port 1214. The exhaust port 1214 is in communication with the atmospheric pressure. In addition, a fluid outlet 1 2 16 is provided. When the fluid is delivered, the gas of the gas supply source 1 2 44 is transmitted to the nozzle 1402 at a high speed because it communicates through the exhaust port 1214. At atmospheric pressure, a relatively negative pressure can be created in the fluid passage 1422. Then, the fluid is forced to flow out of the α 1 2 16 and into the nozzle 1 402.

一般而言,流體引入系統1 200輸出的處理流體可為活 化液、無電鍍液、及/或在製程進行時分散到基材表面的清 洗液。在一實施例中,處理流體為活化液。活化液的例子 包括含氣化物、溴化物、氟化物、溴氟化物、蜗化物、硝 酸鹽類、硫酸鹽類 '羰基化物、金屬酸鹽類、及其組合物 之鈀鹽物。在一實施例中,鈀鹽物為氣化物,例如氣化鈀 (PdCl2)。在另一實施例中,鈀鹽物為硝酸鹽、烷基磺酸鹽、 或其他可溶解之Pd + 2衍生物,衍生物包含一未配位之陰離 子,且不會在溶液中或金屬表面產生聚集。在一實施例中, 結束使用銅清洗液以及開始使用活化液兩者之間的等待時 間一般少於約1 5秒,較佳少於約5秒。活化液一般是用來 沉積活化之金屬種層至特徵結構之暴露銅層上。因氧化銅 的電阻值大於銅,故在清洗銅後氧化暴露的銅層會對後續 製程步驟造成不良影響。縮短銅清洗與活化間的等待時間 可減少氡化反應,而如上所述,在流體處理室附近形成載 氣環境亦可避免暴露銅層的氧化。 在一實施例中,處理流體為無電鍍溶液。在一實施例 中,以無電鍵法沉積之覆蓋層’(capping layer)為金屬合 金,包括鈷磷合金(CoP)、鈷鎢磷合金(CoWP)、鈷硼合金 59 1343840In general, the treatment fluid output by fluid introduction system 1 200 can be an osmotic fluid, an electroless plating solution, and/or a cleaning fluid that is dispersed to the surface of the substrate as the process progresses. In an embodiment, the treatment fluid is an activation fluid. Examples of the activating liquid include palladium salts containing a vapor, a bromide, a fluoride, a bromine fluoride, a sulphate, a nitrate, a sulfate 'carbonyl compound, a metal salt, and a combination thereof. In one embodiment, the palladium salt is a vapor, such as palladium on gas (PdCl2). In another embodiment, the palladium salt is a nitrate, an alkyl sulfonate, or other soluble Pd + 2 derivative, the derivative comprising an uncoordinated anion and not in solution or on a metal surface Produce aggregation. In one embodiment, the waiting time between the end of the use of the copper cleaning fluid and the initiation of the use of the activating fluid is generally less than about 15 seconds, preferably less than about 5 seconds. The activation fluid is typically used to deposit an activated metal seed layer onto the exposed copper layer of the features. Since the resistance of copper oxide is greater than that of copper, oxidizing the exposed copper layer after cleaning the copper adversely affects subsequent processing steps. Shortening the waiting time between copper cleaning and activation reduces the deuteration reaction, and as described above, the formation of a carrier gas environment near the fluid processing chamber also avoids oxidation of the exposed copper layer. In one embodiment, the treatment fluid is an electroless plating solution. In one embodiment, the capping layer deposited by the electroless bonding method is a metal alloy including cobalt phosphorus alloy (CoP), cobalt tungsten phosphate alloy (CoWP), cobalt boron alloy 59 1343840

(CoB)、鈷鎢硼合金(coWB)、鈷鎢磷硼合金(C〇WPB)、鎳 硼合金(NiB)、或鎳鎢硼合金(NiWB),且較佳包括CoWP 或CoWPB。用以形成覆蓋層之無電链溶液可包括一或多種 金屬鹽類、及一或多種還原劑,視欲沉積的覆蓋層材料而 定。一般熟知,無電鍵溶液還可包括pH值調節劑,如酸 或鹼。若覆蓋層包含钻,則無電鍵溶液一般包括钻鹽。钻 鹽的例子包括氯化物、溴化物、氟化物、醋酸鹽類、溴氟 化物、碘化物、硝酸鹽類、硫酸鹽類、其他強酸或弱酸之 鹽類、及/或其祖合物。較佳地’鈷鹽包括硫酸钻、氣化钻、 或其組合物》若欲沉積含鎢之覆蓋層’則無電錢溶液包括 鎢鹽。較佳地’鎢鹽包括鎢酸鹽,例如鎢酸敍或鎢酸四甲 基銨、或由中和鎢酸反應所得之鹽類。若欲沉積含鎳之覆 蓋層,則無電镀溶液一般包括鎳鹽。鎳鹽的例子包括氣化 物、溴化物、氟化物、醋酸鹽類、溴氟化物、碘化物、硝 酸鹽類、硫酸建類、羰基化物、強酸或弱酸之鹽類、及/ 或其組合物。(CoB), cobalt tungsten boron alloy (coWB), cobalt tungsten boron boron alloy (C〇WPB), nickel boron alloy (NiB), or nickel tungsten boron alloy (NiWB), and preferably includes CoWP or CoWPB. The electroless chain solution used to form the cover layer may comprise one or more metal salts, and one or more reducing agents, depending on the cover material to be deposited. It is generally well known that electroless bond solutions can also include pH adjusting agents such as acids or bases. If the cover layer contains a drill, the electroless bond solution typically includes a drill salt. Examples of the drill salt include chlorides, bromides, fluorides, acetates, bromofluorides, iodides, nitrates, sulfates, salts of other strong or weak acids, and/or their progenitors. Preferably, the 'cobalt salt comprises a sulphuric acid drill, a gasified drill, or a combination thereof. If the tungsten-containing coating is to be deposited' then the electroless solution comprises a tungsten salt. Preferably, the <tungsten salt comprises a tungstate such as tungstic acid or tetramethylammonium tungstate or a salt obtained by the reaction of neutralizing tungstic acid. If a nickel-containing coating is to be deposited, the electroless plating solution generally includes a nickel salt. Examples of the nickel salt include gasification, bromide, fluoride, acetate, bromine fluoride, iodide, nitrate, sulfuric acid, carbonyl, strong acid or weak acid salts, and/or combinations thereof.

若覆蓋詹讨料包含峨,如CoP、CoWP、或CoWPB, 則還原劑較佳包括磷化合物,如次磷酸(H2PO2)。若覆蓋層 材料包含棚,如CoB'CoWB、或CoWPB’則還原劑一般 包括硼化合物、二甲基胺硼烷(DMAB)、硼氫陰離子(BH4-) 之非驗金屬鹽類、或其組合物》亦可加入其他還原劑或是 取代上述之還原劑,例如聯氨。在一實施例中,係利用一 爛坑共還原劑(co-reducing)來初步處理銅層。 須沒意的是,無電鍍溶液(處理流體)及/或基材可加熱 60 1343840If the covering material contains ruthenium, such as CoP, CoWP, or CoWPB, the reducing agent preferably includes a phosphorus compound such as hypophosphorous acid (H2PO2). If the cover material comprises a shed, such as CoB'CoWB, or CoWPB', the reducing agent generally comprises a boron compound, a dimethylamine borane (DMAB), a non-acceptable metal salt of a boron hydride anion (BH4-), or a combination thereof. It is also possible to add other reducing agents or to replace the above reducing agents, such as hydrazine. In one embodiment, a copper co-reducing is utilized to initially treat the copper layer. It should be unintentionally that the electroless plating solution (treatment fluid) and/or the substrate can be heated 60 1343840

到一預定溫度。溫度例如是介於約40°C至約95°C之間。 一方面,加熱無電鍍溶液(處理流體)及/或基材結構可提高 無電鍍速率。此亦可補償處理流體流出喷嘴1 402後所下降 的溫度。在一實施例中,覆蓋層的沉積速率為約1 0 0埃/ 分鐘或更快。在一實施例中,覆蓋層的沉積厚度為約100 埃至約3 0 0埃,較佳為約1 5 0埃至約2 0 0埃。既然無電鍍 製程的沉積速率與溫度有關,故期能將基材溫度維持在一 均溫下。因此,可使用第9圖中基底構件1 3 04的加熱線圈 1112及/或加熱器1164。To a predetermined temperature. The temperature is, for example, between about 40 ° C and about 95 ° C. On the one hand, heating the electroless plating solution (treatment fluid) and/or the substrate structure can increase the electroless plating rate. This also compensates for the temperature dropped by the process fluid after it exits nozzle 1 402. In one embodiment, the deposition rate of the cover layer is about 1000 angstroms per minute or faster. In one embodiment, the cover layer is deposited to a thickness of from about 100 angstroms to about 300 angstroms, preferably from about 150 angstroms to about 200 angstroms. Since the deposition rate of the electroless plating process is temperature dependent, the substrate temperature can be maintained at a uniform temperature. Therefore, the heating coil 1112 and/or the heater 1164 of the base member 1 3 04 in Fig. 9 can be used.

流體處理室1 0 1 0還包括一流體排出系統1 240。流體 排出系統1 240 —般包含一連接流體排出設備1 249的出口 管線1227。或者,可在處理室1010附近設置一個以上的 出口管線1227,以均勻地從處理室1010中柚出流體。參 照第1 0圖,其繪示四個一般等距設置的出口管線1 2 2 7。 多個出口管線 1 2 2 7可連接於一單一排放空間與流體排出 設備1 249。流體排出設備1 249隨後將處理室的流出物輸 送到一廢棄物收集排放設備(未繪示)。總言之,處理流體 一般為依序流經入口管1225、流體輸送臂1406上的喷嘴 1 402後,向外流經處理區域1 025並流向基材1 250,再流 出一或多個出口管線1227。 流體排出系統1 240包括一排氣設備。一排氣入口 1 246 延伸貫穿室主體1015壁面。一排氣系統1248抽引出處理 區域1 025中的氣體。在一實施例中,排氣入口 1 246為一 環狀空間,以均勻抽出基材1 2 5 0表面下方的氣體,進而改 61 1343840The fluid processing chamber 110 also includes a fluid discharge system 1 240. The fluid discharge system 1 240 generally includes an outlet line 1227 that connects the fluid discharge device 1 249. Alternatively, more than one outlet line 1227 can be provided adjacent the processing chamber 1010 to evenly dispense fluid from the processing chamber 1010. Referring to Figure 10, four generally equidistantly disposed outlet lines 1 2 2 7 are illustrated. A plurality of outlet lines 1 2 2 7 can be connected to a single discharge space and fluid discharge device 1 249. The fluid discharge device 1 249 then delivers the effluent from the process chamber to a waste collection and discharge device (not shown). In summary, the treatment fluid generally flows through the inlet tube 1225, the nozzle 1 402 on the fluid delivery arm 1406, flows outward through the treatment zone 1 025 and flows to the substrate 1 250, and then flows out of the one or more outlet lines 1227. . Fluid discharge system 1 240 includes an exhaust device. An exhaust inlet 1 246 extends through the wall of the chamber body 1015. An exhaust system 1248 draws gas from the treatment zone 1 025. In one embodiment, the exhaust gas inlet 1 246 is an annular space for uniformly extracting the gas below the surface of the substrate 1 250, and then changing 61 1343840

善靠近基材1250表面的氣流。 第11圖為一面朝上之流體處理室1010之另 的剖面圖。流體引入系統1 200是用來輸送流體至 之接收面。處理流體透過一或多個喷嘴1 402來仓 此實施例中,喷嘴1 402設置在上蓋組件1 〇33内 1030 中。 參照第9、9A-9B、11、及11A-11B圖,上蓋 包括一多孔盤1030。較佳地,多孔盤1030為具 孔而使流體流通其中的盤形物。多扎盤材料的例 瓷材料(如氧化鋁)、聚乙烯(PE)、聚丙烯、PVDF 洞於其中以使流體流通之材料。在一實施例中, 高效率微粒空氣(High Efficiency Particulate Ai 過濾系統。通常,HEPA過濾系統採用輾展成紙 纖維材料。第9、9A-9B、11、及11A-11B圖之多 是由一上支撐環1031所支撐。處理室上蓋組件 尚包括上蓋1 032'上支撐環1 〇3 1與多孔盤1 030。 在上蓋組件1 033與多孔盤1 030之間的空間形成 1034。根據一實施例,多孔盤i 03〇利用二個〇 墊1036'1037密接於上蓋1〇32。第11圖所配置之 是由多孔盤1030與上支撐環1〇31共同支撐。 如第11圖中上蓋組件1 〇 3 3之一實施例所示 體藉由入口管1 225從溶液源1202、1204、1 206 材1250’入σ管1225延伸貫穿上蓋1032,隨後 孔盤1 03 0中的—或多個喷嘴! 4〇2,以將處理溶 一實施例 基材1 250 f輸。然在 的多孔盤 組件1 0 3 3 孔洞或細 子包括陶 等具有孔 可配置一 r ; HEPA) 狀的玻璃 孔盤1 0 3 0 1 〇 3 3 —般 上蓋1 032 一充氣部 形環密封 上蓋1 032 ,處理流 輸送到基 分接至多 液導引至 (S ) 62 1343840Good airflow near the surface of the substrate 1250. Figure 11 is a cross-sectional view of another side of the fluid processing chamber 1010. Fluid introduction system 1 200 is used to deliver fluid to the receiving surface. The treatment fluid is passed through one or more nozzles 1 402. In this embodiment, the nozzles 1 402 are disposed in the upper cover assembly 1 〇 33 within the interior 1030. Referring to Figures 9, 9A-9B, 11, and 11A-11B, the upper cover includes a porous disk 1030. Preferably, the porous disk 1030 is a disk having a hole through which the fluid circulates. Examples of multi-disk materials are ceramic materials (such as alumina), polyethylene (PE), polypropylene, and PVDF in which the fluid circulates. In one embodiment, high efficiency particulate air (High Efficiency Particulate Ai filtration system. Typically, the HEPA filtration system uses a paper fiber material. Figures 9, 9A-9B, 11, and 11A-11B are mostly Supported by the upper support ring 1031. The process chamber upper cover assembly further includes a support ring 1 〇 3 1 on the upper cover 1 032' and a porous disk 1 030. The space between the upper cover assembly 1 033 and the porous disk 1 030 forms 1034. According to an implementation For example, the porous disk i 03 密 is closely attached to the upper cover 1 〇 32 by two cymbal pads 1036 ′ 1037. The configuration of Fig. 11 is supported by the porous disk 1030 and the upper support ring 1 〇 31. The upper cover assembly as shown in Fig. 11 1 〇3 3 The body shown in the embodiment extends from the solution source 1202, 1204, 1206 material 1250' into the σ tube 1225 through the inlet tube 1 225 through the upper cover 1032, and then - or more of the orifice plate 1 03 0 Nozzle! 4〇2, to process the substrate of the embodiment 1 250 f. The porous disk assembly of the porous disk assembly 1 0 3 3 holes or fines including ceramics and other holes with a hole configurable r; HEPA) Disk 1 0 3 0 1 〇3 3 General cover 1 032 One inflated ring seal upper cover 1 032 Process flow up to base the tap was directed to (S) 62 1343840

基材表面。一方面,為使處理區域1025中的氣流均勻,可 使用管線1040來提供氣體從氣體供應源1038經過充氣部 1034與多孔盤1030而至處理區域1025的流動路徑。連通 充氣部1 0 3 4與氣體供應源1 0 3 8的閥門1 0 3 5可選擇性打開 及關閉。根據一實施例,氣體供應源1 〇 3 8提供惰性氣體(如 氬氣、氮氣、氦氣、或其組合氣體)至處理區域1025。根 據另一實施例,氣體供應源1038提供含氧氣體給處理區域 1 025。須注意的是,對某些製程步驟而言,氧氣並不會造 成不良影響,例如,進行活化步驟時,可通入氧氣。較佳 地,含適當比例之氫氣與氧氣的載氣可藉由多孔盤 1030 傳輸到處理區域1 025。The surface of the substrate. In one aspect, to uniform the flow of gas in the treatment zone 1025, a line 1040 can be used to provide a flow path for gas from the gas supply source 1038 through the plenum 1034 and the porous disk 1030 to the treatment zone 1025. The valve 1 0 3 5 of the communication plenum 1 0 3 4 and the gas supply source 1 0 3 8 can be selectively opened and closed. According to an embodiment, the gas supply source 1 〇 38 provides an inert gas (e.g., argon, nitrogen, helium, or a combination thereof) to the treatment zone 1025. According to another embodiment, the gas supply source 1038 provides an oxygen-containing gas to the treatment zone 1 025. It should be noted that oxygen does not adversely affect some process steps. For example, oxygen can be supplied during the activation step. Preferably, a carrier gas containing a suitable proportion of hydrogen and oxygen can be transported to the processing zone 1 025 by the porous disk 1030.

充氣部1034和多孔盤1030為位在基材1250上方,以 使載氣以層流方式傳輸到基材1 2 5 0上。層流方式可產生均 勻且垂直基材1250的氣流。如此,沿著基材1250周圍會 產生均勻的邊界層。因此,在基材1250周圍的熱損失會變 得更平均,而可減少水與化學蒸氣凝結於晶圓上。多孔盤 1 0 3 0可當作氣流擴散元件。流經多孔盤1 0 3 0之氣體有助 於引導與均勻分散喷嘴1402流出之處理流體喷霧至基材 1 250接收面上。最後,氣體藉由排氣系統1 248而從排氣 入口 1 246排出。排氣系統1 248 —般可包含一柚氣扇或真 空幫浦,以將氣體排出流體處理室1 01 0外。值得注意的 是,排氣入口 1246有助於確保流經基材1250之氣體是以 層流方式流動。 在一實施例中,一加熱元件(未繪示)置於鄰近充氣部 S ) 63 1343840The plenum 1034 and the porous disk 1030 are positioned above the substrate 1250 to transport the carrier gas in a laminar flow onto the substrate 1 250. The laminar flow mode produces a uniform and vertical flow of substrate 1250. As such, a uniform boundary layer is created around the substrate 1250. As a result, heat loss around the substrate 1250 becomes more uniform, and water and chemical vapors are reduced to condense on the wafer. The porous disk 1 0 3 0 can be used as a gas flow diffusing element. The gas flowing through the porous disk 1 0 30 0 helps to direct the treatment fluid flowing out of the uniform dispersion nozzle 1402 to the receiving surface of the substrate 1 250. Finally, the gas is exhausted from the exhaust inlet 1 246 by the exhaust system 1 248. Exhaust system 1 248 may typically include a pomelo fan or vacuum pump to vent gas out of the fluid processing chamber 101. It is worth noting that the exhaust inlet 1246 helps to ensure that the gas flowing through the substrate 1250 flows in a laminar flow. In an embodiment, a heating element (not shown) is placed adjacent to the inflator S) 63 1343840

1 Ο 3 4的上蓋組件1 Ο 3 3中。例如,加熱線圈(未繪i 在多孔盤1 030内。如此可加熱管線1040輸出之氣 可減少基材1250上方發生冷凝及形成水滴。 在一實施例中,氣體管線 1 040連接於流體引 1 200 -而可推動流體(如處理流體)流過多孔盤1 03 0 使氣體流過。在此,多孔盤1 0 3 0可做為一喷灑頭, 送處理流體至基材1250表面。 在一實施例尹,氣體管線1 040不僅可當作一流 管線,其亦可利用真空源1 〇 3 9於充氣部1 0 3 4中形 而做為一流體移除管線。真空源1 0 3 9是用以避免附 孔盤1030上的流體在基材1250移出處理室1010前 下來。在此實施例中,真空源1 0 3 9,例如一真空文 計,是用來在充氣部1 03 4内產生真空,藉此,可使 1030下表面之流體往上抽入充氣部1034内。 第11A圖為第11圖中面朝上之無電製程室的 視圖。其中,一氣流轉向器1102設於處理室1010 流轉向器1 1 0 2可藉由習知的升降機制(未繪示)而選 升或下降。參照第11 A圖,氣流轉向器1 1 0 2為位 位置,如此基材1250可移進及/或移出流體處理室 第11B圖為第11圖中面朝上之無電製程室之 面側視圖,其具有一氣流轉向器11 02。在此,氣流 1102處於上升位置,故於製程進行時,其可用來”马 /或導引處理溶液(如處理溶液喷霧)從喷嘴 1402 1250的流向、以及氣體從氣體供應源1038與多孔^ 可設 體,故 入系統 ,而非 用以輸 體輸送 成真空 著於多 即掉落 式流量 多孔盤 剖面側 中。氣 擇性上 在下降 1010° 另一咅U 轉向器 Ρ正”及 到基材 盤 1030 64 13438401 Ο 3 4 upper cover assembly 1 Ο 3 3 in. For example, a heating coil (not shown) is within the porous disk 1 030. The gas output from the heatable line 1040 can reduce condensation and water droplet formation above the substrate 1250. In one embodiment, the gas line 1 040 is coupled to the fluid lead 1 200 - a fluid (such as a treatment fluid) can be pushed through the porous disk 1 03 0 to flow the gas. Here, the porous disk 1 0 30 can be used as a shower head to deliver a treatment fluid to the surface of the substrate 1250. In one embodiment, the gas line 1 040 can be used not only as a first-class pipeline, but also as a fluid removal line by using a vacuum source 1 〇3 9 in the plenum 1 0 3 4. Vacuum source 1 0 3 9 It is to prevent the fluid on the perforated disk 1030 from coming down before the substrate 1250 is removed from the processing chamber 1010. In this embodiment, a vacuum source 1 0 3 9, such as a vacuum gauge, is used in the inflator 1 03 4 A vacuum is generated therein, whereby the fluid on the lower surface of the 1030 can be drawn upward into the plenum 1034. Fig. 11A is a view of the upwardly facing electroless process chamber in Fig. 11. wherein a flow diverter 1102 is provided The processing chamber 1010 flow diverter 1 1 2 2 can be by a conventional lifting mechanism (not shown With reference to Figure 11A, the airflow diverter 1 1 0 2 is in the position, so that the substrate 1250 can be moved into and/or out of the fluid processing chamber. Figure 11B is the face-up powerless in Figure 11 A side view of the process chamber having an air flow diverter 102. Here, the air flow 1102 is in a raised position, so that it can be used to "horse" or direct the treatment solution (such as a treatment solution spray) during the process. The flow direction of the nozzles 1402 1250, and the gas from the gas supply source 1038 and the porous device, are introduced into the system, rather than being used to transport the vacuum into the profile side of the drop-flow flow porous disk. The top is down 1010°, the other 咅 U steering gear is ”" and to the substrate tray 1030 64 1343840

到基材1 2 5 0的流向。因此,氣流轉向器1 1 02可藉由 流動阻障物的數量與控制流體流動的情形來改善處理 與製程氣體流動的再現性與微粒性質。 另可依需求提供一裝置,以從處理室1010外觀察 1 2 5 0上之流體的分配情形。參照第1 1圖,一攝影裝置 設於處理室1010中。攝影裝置1360可置於室主體 壁面、多孔盤1 0 3 0下方、沿著上支撐環1 0 3 1、或其 合觀察基材1 2 5 0的位置。較佳地,攝影裝置1 3 60係 於上蓋之固定部位。根據第1 1圖之實施例,攝影裝置 固定在上支撐環1031。攝影裝置1360較佳為電荷耦 示(CCD)攝影機,其與控制器1 1 1連接,並使用一系 素來記錄數位影像。一螢幕(未繪示)設於處理室1 0 1 部,以提供基材1 2 5 0表面的光學影像。如此,可在分 理流體時或進行沉積製程時,即時觀察確認無電鍍處 覆蓋基材1250的情況。 為支援攝影裝置1 3 6 0,可增設一光源(未繪示)。 較佳為固設於上蓋,但其亦可置於鄰近處理區域1025 一位置。光源是於製程進行時,用以照射基材1 2 5 0。 一實施例,攝影裝置1 360是用來偵測可見光譜範圍 線。 觀察確認的方法較佳為人工監控方式。但在一實 中,可透過機械監控方式來進行觀察與確認。在此, 分覆蓋之基材1 2 5 0的影像被傳送到控制器1 1 1 (參見 之控制器1 1 1)。根據一實施例,控制器1 1 1接著監控 限制 流體 基材 1360 10 15 他適 ί-η. Φ ό又直 1360 合顯 列畫 0外 散處 理液 光源 的任 根據 的光 施例 一充 上述 流體 ¢5:) 65 1343840 分散過程中攝影裝置1 3 6 0所產生的晝素影像,並比較此影 像與預存之影像或其他資料,藉此,控制器111可決定製 程的各項參數。例如,直到攝影裝置1 3 60畫素所偵測的實 際基材影像符合預存影像前,不會停止分散流體。The flow direction to the substrate 1 250. Thus, the airflow diverter 1 102 can improve the reproducibility and particulate nature of the process and process gas flow by the amount of flow barrier and by controlling the flow of the fluid. A device may be provided as needed to observe the distribution of fluid on the 1250 from outside the processing chamber 1010. Referring to Fig. 1, a photographing apparatus is provided in the processing chamber 1010. The photographing device 1360 can be placed at the wall of the chamber body, below the porous disk 1 0 3 0 , along the upper support ring 1 0 3 1 , or at the position where the substrate 1 2 50 is observed. Preferably, the photographing device 136 is attached to a fixed portion of the upper cover. According to the embodiment of Fig. 1, the photographing device is fixed to the upper support ring 1031. The photographing device 1360 is preferably a charge coupled (CCD) camera that is coupled to the controller 1 1 1 and uses a facultite to record digital images. A screen (not shown) is provided in the processing chamber 10 1 to provide an optical image of the surface of the substrate 1 250. Thus, it is possible to immediately observe the case where the substrate 1250 is covered by the electroless plating when the fluid is being separated or when the deposition process is performed. In order to support the photographing device 1 3 6 0, a light source (not shown) may be added. Preferably, it is fixed to the upper cover, but it can also be placed adjacent to the processing area 1025. The light source is used to illuminate the substrate 1 250 when the process is performed. In one embodiment, photographic device 1 360 is used to detect visible spectral range lines. The method of observation and confirmation is preferably a manual monitoring method. However, in practice, observation and confirmation can be performed through mechanical monitoring. Here, the image of the sub-covered substrate 1 250 is transmitted to the controller 1 1 1 (see controller 1 1 1). According to an embodiment, the controller 1 1 1 then monitors the restriction fluid substrate 1360 10 15 适 - ό ό ό 360 360 360 360 360 360 360 360 360 360 0 0 0 0 0 0 上述 上述Fluid ¢5:) 65 1343840 The sputum image generated by the photographic device 1 306 during the dispersion process, and compares the image with the pre-stored image or other data, whereby the controller 111 can determine various parameters of the process. For example, the fluid will not stop dissipating until the actual substrate image detected by the camera's 132 pixels matches the pre-stored image.

在一實施例令,攝影裝置1 3 6 0可為紅外線攝影機。紅 外線攝影機可過濾掉可見光波長,而辨識熱波長。溫度可 轉換為顏色或偵測訊號強度,是以影像中的顏色差異可做 為物件(如基材1 2 5 0)的溫差指標。當分散流體的溫度不同 於基材1250表面溫度時,此溫度差異會轉換記錄為顏色差 異。故流體將持續被分配,直到消除溫差現象,藉此可做 為完全覆蓋基材1 2 5 0的指標。較佳地,可採用控制器及攝 影裝置1360等機械監控方式來觀察溫度差異性。如此可確 保基材被完全覆蓋。In an embodiment, the photographing device 1 360 can be an infrared camera. The infrared camera filters out the visible wavelength and recognizes the thermal wavelength. The temperature can be converted to color or the intensity of the detected signal. The difference in color in the image can be used as an indicator of the temperature difference of the object (eg substrate 1 2 50). When the temperature of the dispersion fluid is different from the surface temperature of the substrate 1250, this temperature difference is converted into a color difference. Therefore, the fluid will continue to be dispensed until the temperature difference is eliminated, thereby serving as an indicator of completely covering the substrate 1 250. Preferably, the temperature difference can be observed by a mechanical monitoring method such as a controller and a photographing device 1360. This ensures that the substrate is completely covered.

在另一實施例中,攝影裝置1360可為光譜儀,用以接 收入射光、及輸出各種光波長與強度之資料。例如,紅光 在可見光譜中的低波長範圍具有較強的光組成強度。光譜 儀一般包括一光學棱鏡(或光栅)介面,以光學地分離入射 訊號為光組成,且將其投射至線性C C D偵測器陣列上。光 譜儀之一實施例可包含一具數千個單獨之偵測元件(例如 畫素)的 C C D偵測器陣列,以接收棱鏡(或光柵)產生的光 譜。收集強度對應波長之資料,接著,與攝影裝置1360 連接的控制器111可比較目前接收之資訊與先前資訊或使 用者自行定義之值,藉以控制無電鍍製程步驟或製程變數 (如流體覆蓋性、處理時間、基材溫度、基材轉速等),進 66 1343840In another embodiment, the photographic device 1360 can be a spectrometer for receiving light, and outputting data of various wavelengths and intensities of light. For example, red light has a strong light composition intensity in the low wavelength range in the visible spectrum. Spectrometers typically include an optical prism (or grating) interface to optically separate the incident signal into a light component and project it onto a linear C C D detector array. An embodiment of the spectrometer can include a C C D detector array of thousands of individual detection elements (e. g., pixels) to receive the spectrum produced by the prism (or grating). Collecting the data of the intensity corresponding to the wavelength, and then the controller 111 connected to the photographing device 1360 can compare the currently received information with the previous information or the user-defined value, thereby controlling the electroless plating process step or the process variable (such as fluid coverage, Processing time, substrate temperature, substrate speed, etc.), enter 66 1343840

而使製程結果達最佳化。 在一實施例中,攝影裝置1 3 6 0係於閉路控制(c ]ο 〇 p c ο n t r ο 1 )下進行操作,而此閉路控制是利用軟趙 化流體輸送臂1 406的移動狀況與流體從喷嘴1 402流 情形,以確保基材1 2 5 0表面為持續被流體覆蓋。閉路 的執行可使用攝影裝置I 360、分配臂馬達I 404 '及流 入系統1200中的其他元件,其皆為彼此相連且由控 Π 1控制。 第12圖為面朝上之流體處理室1010之又一實施 剖面圖。在此,處理流體透過設於多孔盤1 0 3 0之喷嘴 而喷濃至基材1 2 5 0之接收面。在此實施例中,多孔盤 可相對於基材1250選擇性上升或下降。特別是,處理 蓋組件1033可相對於基材1250進行軸向移動。為達 向移動,可採用一處理室上蓋元件升降組件1079« — 上蓋組件1033的處理室上蓋元件啟動器1080’可視為 元件升降組件1079的一部份》啟動器1080’較佳為由 啟動,且在一實施例中,其為線性直流伺服馬達。然 器1080’亦可為一氣動式汽缸。在此結構中,藉由啟 動器1080’,處理室上蓋元件升降组件]079可控制位 孔盤1030與基材1250下方之間的處理區域1025體 小》如此可控制基材1 2 5 0表面附近的氣流與含氧量。 上述面朝上之無電鍍製程室的各實施例雖包含一 基材1 2 5 0,但在維修等情況下,處理室1 0 1 0亦可在 材放置於支揞指狀物件1300(或支撐環)上的狀態下進 〇 s e d 最佳 出的 控制 體引 制器 例的 1402 1030 室上 成轴 連接 上蓋 電力 啟動 動啟 在多 積大 處理 無基 行操 67 1343840 作。特別是,流體引入系統1 200與流體排出系統1 240可 在無基材放置於處理區域1 0 2 5内的狀態下進行操作。例 如,去離子水或其他清洗液或洗滌液可由流體輸送臂(如第 9圖之流體輸送臂1 406)或流體輸送盤(如第11圖之多孔盤 1 030)注入至基材支撐指狀物件 1 300及其他處理室元件 上。此步驟可用來清洗基材支撐指狀物件1300及其他處理 室元件,以減少處理室1010内的微粒含量。為進一步協助 清洗步驟的進行,可降低流體輸送臂(第9 B圖)、或降低流 體輸送頭(第12圊)、或提高基材支撐組件(第9A圖)。 雖然本發明已以實施例揭露如上,然其他實施例亦不 後 視 當 圍 範 護 保 之 明 發 本。 此準 因為 ’ 者 圍 定 範界 和所 神圍 精範 之利 明專 發請 本中 離之 脫附 【圖式簡單說明】 為讓本發明之上述特徵更明顯易僅,可配合參考實施 例說明,其部分乃繪示如附圖式。須注意的是,雖然所W 圖式揭露本發明特定實施例,但其並非用以限定本發明之 精神與範圍,任何熟習此技藝者,當可作各種之更動與满 飾而得等效實施例。 第1圖為基材處理系統之一實施例的平面圖。 第2圖為無電鍍系統和基材處理系統中的封閉區之一 實施例的立體圖。 第3圖是將封閉區移除後的無電鍍系統之一實施例的 立體圖。 68 1343840 第4圖為無電鍍系統和封閉區之一實施例的垂直剖面 圖。 第5 A圖為流體處理站之一實施例的垂直剖面圖。 第5 B圖為平台組件之一實施例的垂直剖面圖,其位 於第5A圖之流體處理站中。 第 5C圖為局部放大第 5B圊之平台組件的垂直剖面 圖。The process results are optimized. In one embodiment, the photographic device 1366 operates under closed circuit control (c) οpc ο ntr ο 1 ), and the closed circuit control utilizes the soft vibrating fluid transport arm 1 406 to move and fluid From the flow of nozzle 1 402, it is ensured that the surface of the substrate 1 250 is continuously covered by the fluid. The closure can be performed using the photographic device I 360, the distribution arm motor I 404', and other components in the inflow system 1200, all of which are connected to each other and controlled by the controller 1. Figure 12 is a cross-sectional view showing still another embodiment of the upward facing fluid processing chamber 1010. Here, the treatment fluid is sprayed through the nozzle provided on the porous disk 1 0 3 0 to be concentrated on the receiving surface of the substrate 1 250. In this embodiment, the porous disk can be selectively raised or lowered relative to the substrate 1250. In particular, the process lid assembly 1033 can be moved axially relative to the substrate 1250. For the upward movement, a processing chamber upper cover element lifting assembly 1079 can be used. - The processing chamber upper cover element starter 1080' of the upper cover assembly 1033 can be regarded as a part of the component lifting assembly 1079. The starter 1080' is preferably activated. And in an embodiment, it is a linear DC servo motor. The speaker 1080' can also be a pneumatic cylinder. In this configuration, by the actuator 1080', the processing chamber upper cover element lifting assembly 079 can control the processing area 1025 between the bit hole disk 1030 and the substrate 1250 to be small. Thus, the substrate 1 2 50 surface can be controlled. Nearby airflow and oxygen content. The embodiments of the upward-facing electroless plating process chamber include a substrate 1 250, but in the case of maintenance or the like, the processing chamber 10 0 0 may also be placed on the support member 1300 (or On the support ring), the sed is optimally controlled by the control unit. The 1402 1030 room is connected to the upper part of the shaft and the power is turned on. The multi-product processing is performed without the base operation 67 1343840. In particular, the fluid introduction system 1 200 and the fluid discharge system 1 240 can be operated without the substrate being placed within the treatment zone 1 0 2 5 . For example, deionized water or other cleaning or washing solution may be injected into the substrate support finger by a fluid delivery arm (such as fluid delivery arm 1 406 of Figure 9) or a fluid delivery tray (such as porous disk 1 030 of Figure 11). Object 1 300 and other processing chamber components. This step can be used to clean the substrate support fingers 1300 and other process chamber components to reduce the particulate content within the process chamber 1010. To further assist in the cleaning step, the fluid transfer arm (Fig. 9B), or the fluid delivery head (12th) can be lowered, or the substrate support assembly can be raised (Fig. 9A). Although the present invention has been disclosed above by way of example, the other embodiments are not intended to be exhaustive. This is because the singularity of the stipulations of the stipulations of the stipulations of the stipulations of the stipulations of the stipulations of the stipulations of the stipulations of the stipulations of the stipulations of the stipulations of the present invention. Portions are depicted in the drawings. It is to be understood that the specific embodiments of the present invention are not intended to limit the spirit and scope of the present invention, and any one skilled in the art can example. Figure 1 is a plan view of one embodiment of a substrate processing system. Figure 2 is a perspective view of one embodiment of an enclosure in an electroless plating system and substrate processing system. Fig. 3 is a perspective view showing an embodiment of an electroless plating system in which the closed region is removed. 68 1343840 Figure 4 is a vertical cross-sectional view of one embodiment of an electroless plating system and an enclosed area. Figure 5A is a vertical cross-sectional view of one embodiment of a fluid processing station. Figure 5B is a vertical cross-sectional view of one embodiment of a platform assembly located in the fluid handling station of Figure 5A. Figure 5C is a vertical cross-sectional view of the platform assembly partially enlarged 5B.

第5D圖為局部放大位於流體處理站中的平台組件之 另一實施例的垂直剖面圖。 第 5 E圖為平台組件之一實施例的垂直剖面圖,其位 於第5A圖之流體處理站中。 第5 F圖為流體處理站之一實施例的垂直剖面圖。 第6圖為基材支撐組件之一實施例的立體圖。 第7圖為流體處理站之一實施例的垂直剖面圖。Figure 5D is a vertical cross-sectional view of another embodiment of a platform assembly partially enlarged in a fluid processing station. Figure 5E is a vertical cross-sectional view of one embodiment of a platform assembly located in the fluid handling station of Figure 5A. Figure 5F is a vertical cross-sectional view of one embodiment of a fluid processing station. Figure 6 is a perspective view of one embodiment of a substrate support assembly. Figure 7 is a vertical cross-sectional view of one embodiment of a fluid processing station.

第8A圖為流體處理站之一實施例的放大垂直剖面圖。 第8 B圖為邊堤之一實施例的垂直剖面圖,其位於第 8A圖之流體處理站中。 第8 C圖為邊堤之另一實施例的剖面圖,其位於第8 A 圖之流體處理站中。 第8 D圖繪示第8 C圖之邊堤的剖面圖,其中邊堤係與 基材接觸。 第 8 E圊為晶圓支撐組件的指狀物件頂端之一實施例 的剖面圖,其位於第8A圖之流體處理站中。 第8F圖為晶圓支撐撐組件的指狀物件頂端之另一實 (S ) 69 1343840 施例的剖面圖,其位於第8 A圖之流體處理站中。 第9圖繪示一面朝上之無電製程室的垂直剖面圖,其 使用製程室内流體輸送臂上的喷嘴。 第9A圖為第9圖之無電製程室的垂直剖面圖,其中 基材支撐組件是處在上升位置。 第9B圖為第9圖之無電製程室之另一實施例的垂直 剖面圖。Figure 8A is an enlarged vertical cross-sectional view of one embodiment of a fluid processing station. Figure 8B is a vertical cross-sectional view of one embodiment of a side bank, which is located in the fluid processing station of Figure 8A. Figure 8C is a cross-sectional view of another embodiment of a bank, located in the fluid processing station of Figure 8A. Fig. 8D is a cross-sectional view showing the side bank of Fig. 8C, in which the side bank is in contact with the substrate. 8E is a cross-sectional view of one embodiment of the tip of the finger member of the wafer support assembly, which is located in the fluid processing station of Figure 8A. Figure 8F is a cross-sectional view of another embodiment of the finger support member of the wafer support struts (S) 69 1343840, which is located in the fluid processing station of Figure 8A. Figure 9 is a vertical cross-sectional view of an upwardly facing electroless process chamber using nozzles on the fluid transfer arms within the process chamber. Figure 9A is a vertical cross-sectional view of the electroless process chamber of Figure 9 with the substrate support assembly in a raised position. Fig. 9B is a vertical sectional view showing another embodiment of the electroless process chamber of Fig. 9.

第10圖為第9圖之無電製程室的橫向剖面圖。 第11圖為無電製程室之另一實施例的垂直剖面圖。 第11A圖為第11圖之無電製程室的剖面圖,其中一 氣流轉向器設於製程室内。 第11B圖為第11A圖之無電製程室之另一方向的垂直 剖面圖,其中氣流轉向器是處在上升位置。 第1 2圖為無電製程室之另一實施例的垂直剖面圖,其 中製程室上蓋元件為可移動的。Figure 10 is a transverse cross-sectional view of the electroless process chamber of Figure 9. Figure 11 is a vertical cross-sectional view of another embodiment of an electroless process chamber. Figure 11A is a cross-sectional view of the electroless process chamber of Figure 11 with a flow diverter disposed within the process chamber. Figure 11B is a vertical cross-sectional view of the other embodiment of the electroless process chamber of Figure 11A with the airflow diverter in a raised position. Figure 12 is a vertical cross-sectional view of another embodiment of an electroless process chamber in which the process chamber upper cover member is movable.

第1 3圖及第1 4圖分別繪示處理流體輸送系統之實施 例的剖面圖,其包括兩種實施例之噴嘴,且可連接至所述 之無電製程室。 【主要元件符號說明】 1 邊堤 1 A 内壁 1C 延長部 2 升降組件 3 流體源 4 碗狀組件 4A 開孔 4B 入口 70 1343840Sections 1 3 and 14 respectively illustrate cross-sectional views of an embodiment of a process fluid delivery system that includes nozzles of both embodiments and is connectable to the electroless process chamber. [Main component symbol description] 1 Side bank 1 A Inner wall 1C Extension 2 Lifting unit 3 Fluid source 4 Bowl assembly 4A Opening 4B Entrance 70 1343840

4C 底部 4D 侧壁頂端 4E 外壁 5 間隙 6 排放口 7 棺板 8 收集區 9 收集構件 9A 開孔 10 側壁 II 多孔盤 I I A 孔洞 12 螺栓組件 13 軸桿 1 3A 接口 1 4 密封組件 15 真空源 16 密封墊 1 6A 0形環 1 6B 彈性隔月 1 6C 上表面 1 6D 背面 1 6E 接觸點 1 6F 區域 17 通道 18 指狀物件 19、 20 馬達 2 1 排氣口 22 排水設備 23 排放系統 24 排放口 25 流體空間 26 分配口 27 基底 28 處理區間 29 區域 30 線性清座 3 1 導螺旋 32 > 33 間隙 34 區間 41、 42 加熱器 43 加熱元件 50 升降組件 100 系統 102、 104、 106、 108、 110、 112、 114、 116 製程室位置 10 5 檢測站 1 1 1 控制器4C bottom 4D side wall top 4E outer wall 5 gap 6 vent 7 raft 8 collection area 9 collection member 9A opening 10 side wall II porous plate IIA hole 12 bolt assembly 13 shaft 1 3A interface 1 4 seal assembly 15 vacuum source 16 sealed Pad 1 6A O-ring 1 6B Elasticity every month 1 6C Upper surface 1 6D Back 1 6E Contact point 1 6F Area 17 Channel 18 Finger 19, 20 Motor 2 1 Exhaust 22 Drainage 23 Discharge system 24 Discharge port 25 Fluid Space 26 Distribution port 27 Base 28 Treatment interval 29 Area 30 Linear cleaning 3 1 Leading spiral 32 > 33 Clearance 34 Section 41, 42 Heater 43 Heating element 50 Lifting assembly 100 System 102, 104, 106, 108, 110, 112 , 114, 116 Process chamber position 10 5 Inspection station 1 1 1 Controller

(S 71 1343840(S 71 1343840

113 主要平台 115 連結通道 120、 132 機械臂 122、 124 葉片 126 基材 130 工作介面 134 裝載台 135 退火室 136 冷卻盤 137 加熱盤 140 機械臂 150 軌道 302 封閉區 303 \ 皆頭 304 入口 305 傳輸裝置 308 中央内壁 3 10 凹槽或狹縫 3 12、 3 13 製程 空間 3 14 排放口 3 15 環控組件 400 沉積站 401 基材 402、 404 站 403 平台組件 405 擴散構件 405 A 上游側或 下表面 406、 408 分配臂 407 流通孔 409 供應管 409A 加熱器 409B 流體源 410 流體空間 4 11 環結構 412 指狀物件 413 升降組件 414 支撐組件 4 15 垂直桿件 415A 支撐面 416 擋板 417 基底構件 417A 内表面 418 ' 419 鉤環 420a 、420b 排出 421a ' 421b 末端 422 隔牆物件 423、 424 間隔 壁 425 上臂構件 72 1343840113 Main platform 115 Connecting channel 120, 132 Robot arm 122, 124 Blade 126 Substrate 130 Working interface 134 Loading station 135 Annealing chamber 136 Cooling plate 137 Heating plate 140 Robot arm 150 Track 302 Enclosed area 303 \ All head 304 Inlet 305 Transfer device 308 central inner wall 3 10 groove or slit 3 12, 3 13 process space 3 14 vent 3 15 environmental control assembly 400 deposition station 401 substrate 402, 404 station 403 platform assembly 405 diffusion member 405 A upstream or lower surface 406 408 Dispensing arm 407 Flow hole 409 Supply tube 409A Heater 409B Fluid source 410 Fluid space 4 11 Ring structure 412 Finger member 413 Lifting assembly 414 Support assembly 4 15 Vertical rod 415A Support surface 416 Bezel 417 Base member 417A Inner surface 418 '419 shackle 420a, 420b discharge 421a ' 421b end 422 partition member 423, 424 partition 425 upper member 72 1343840

1079 升 降 組 件 1080 馬 達 1 0805 啟 .動 器 1102 轉 向 器 1 108 狹 縫 1112 加 执 線 圈 1121 密 封 墊 1161、 11 64 加 熱器 1162 過 濾 器 1166 管 線 1170 除 氣 單 元 1200 引 入 系 統 1 202、 1204 1026 溶液源 1203 流 體 源 1207 氣 體 源 1208 幫 浦 1209 分 配 閥 1210 管 線 12 12 貯 槽 1214 排 氣 α 1216 (流體): 出口 12 18 (流體) 入 σ 1225 入 口 管 1227 出 口 管 線 1240 排 出 系 統 1244 (氣體)供 應源 1246 排 氣 入 σ 1248 排 氣 系 統 1249 排 出 設 備 1250 基 材 1299 支 撐 組 件 1300 指 狀 物 件 1301 支 撐 物 1302 擴 散 構 件 1304 基 底 構 件 1305 基 底 導 管 1306 流 道 1308 入 α 1310 流 體 空 間 13 12 熱 傳 1360 攝 影 裝 置 1402 喷 嘴 1404 馬 達 1406 輸 送 臂 1410 防 護 構 件 1420 · .1422 通 道 1424 尖 端 1426 主 體 74 1343840 Α 第二控溫流體 B 第一控溫流體 Di、 D〗、D3、 D4 直徑 L、 X 距離 L1長度 W 基材 Wi基材上表面(處理面) W2 基材背面 Η 1、Η 2 深度1079 Lifting assembly 1080 Motor 1 0805 Actuator 1102 Steering 1 108 Slit 1112 Adding coil 1121 Seal 1161, 11 64 Heater 1162 Filter 1166 Line 1170 Degassing unit 1200 Introducing system 1 202, 1204 1026 Solution source 1203 Fluid source 1207 Gas source 1208 Pump 1209 Distribution valve 1210 Line 12 12 Tank 1214 Exhaust α 1216 (Fluid): Outlet 12 18 (Fluid) Into σ 1225 Inlet tube 1227 Outlet line 1240 Discharge system 1244 (Gas) Supply 1246 Exhaust gas into σ 1248 exhaust system 1249 discharge device 1250 substrate 1299 support assembly 1300 finger member 1301 support 1302 diffusion member 1304 base member 1305 base conduit 1306 flow channel 1308 into α 1310 fluid space 13 12 heat transfer 1360 photography device 1402 Nozzle 1404 Motor 1406 Transport arm 1410 Guard member 1420 · .1422 Channel 1424 Tip 1426 Body 74 1343840 Α Second temperature control fluid B Temperature fluid Di, D〗, D3, D4 diameter L, the distance X on the surface of the substrate Wi length L1 of the substrate W (treated surface) of the substrate back surface Η W2 1, Η 2 Depth

7575

Claims (1)

13438401343840 第功L號專ill案的年丨diik » ·· . ·· ·> m λ 十、申請專利範圍: 1. 一種無電(electroless )製程室’具有適用以處理一基 材之一處理區域’包含: 一平台組件’位於該處理區域,該平台組件包含·· 一基底構件’具有貫穿其中而形成之一流通孔: 一流體擴散構件,密設於該基底構件且具有一上 游側與一下游側,其中該流體擴散構件具有複數個流 道’該些流道係在該上游側與該下游側之間為流體連 通(fluid communication); -流體空間’形成在該基底構件與該流趙擴散構 件的該上游側之間; -特徵結構(feature )’突出於該流體擴散構件的 該下游側上方一第一距離;以及 -可旋轉之基材支撐組件’位於該處理區域且具有— 基材支撑面,Μ該可旋轉之基材支揮纽件隸接該平台 組件並適用於相對該平台組件旋轉。 所述之無電製程室,其中該流體 且該特徵結構之一表面符合該盤 2.如申請專利範圍第丨項 擴散構件實質上為盤形, 形流體擴散構件之一外緣The first year of the No. L special ill case diik » ·· . ·· ·> m λ X. Patent application scope: 1. An electroless process chamber 'having a treatment area for processing one substrate' The method includes: a platform assembly 'located in the processing area, the platform assembly includes a base member having a flow through hole formed therein: a fluid diffusion member densely disposed on the base member and having an upstream side and a downstream a side, wherein the fluid diffusion member has a plurality of flow channels 'fluid communication between the upstream side and the downstream side; - a fluid space formed in the base member and the diffusion Between the upstream sides of the member; - a feature 'projecting a first distance above the downstream side of the fluid diffusion member; and - a rotatable substrate support assembly 'located in the processing region and having - a substrate A support surface, the rotatable base member pivot member is attached to the platform assembly and adapted to rotate relative to the platform assembly. The electroless process chamber, wherein the fluid and one surface of the feature conforms to the disk. 2. The diffusion member is substantially disk-shaped, one of the outer edges of the fluid diffusion member. 3·如申翊專利範圍第1項所述之無電製程室,, 距離為約0.5毫米至約25毫米。 S: 76 13438403. The electroless process chamber of claim 1, wherein the distance is from about 0.5 mm to about 25 mm. S: 76 1343840 4.如申請專利範圍第1項所述之無電製程室,其中該流體 擴散構件的該下游惻具有一表面粗糙度(Ra)為約1 · 6微米 至約20微米。 5. —種無電製程室,具有適用以處理一基材之一處理區 域,包含-:4. The electroless process chamber of claim 1, wherein the downstream crucible of the fluid diffusion member has a surface roughness (Ra) of from about 1.6 microns to about 20 microns. 5. An electroless process chamber having a processing area suitable for processing a substrate, including - 一平台組件,位於該處理區域,該平台組件包含: 一基底構件,具有貫穿其中而形成之一流通孔; 一流體擴散構件,密設於該基底構件且具有一上 游側與一下游側; 一流體空間,形成在該基底構件與該流體擴散構 件的該上游側之間;以及a platform assembly, located in the processing area, the platform assembly comprising: a base member having a flow through hole formed therein; a fluid diffusion member densely disposed on the base member and having an upstream side and a downstream side; a fluid space formed between the base member and the upstream side of the fluid diffusion member; 複數個流道,形成於該流體擴散構件中,其中該 複數個流道係在該流體擴散構件的該上游側與該下游 側之間為流體連通,且該複數個流道中之至少一者更 包含: 一第一特徵結構,與該上游側為流體連通, 且具有一第一載面積;以及 一第二特徵結構,具有一第二戴面積,其中 該第一特徵結構與該第二特徵結構彼此為流體連 通;以及 一可旋轉之基材支撐組件,位於該處理區域且具有一 77 1343840a plurality of flow passages formed in the fluid diffusion member, wherein the plurality of flow passages are in fluid communication between the upstream side and the downstream side of the fluid diffusion member, and at least one of the plurality of flow passages is further The method includes: a first characteristic structure in fluid communication with the upstream side and having a first loading area; and a second characteristic structure having a second wearing area, wherein the first characteristic structure and the second characteristic structure Fluidly connected to each other; and a rotatable substrate support assembly located in the processing region and having a 77 1343840 12. 〇 ι 基材支撐面,其中該可旋轉之基材支撐組件係耦接 組件並適用於相對該平台組件旋轉。 6.如申請專利範圍第5項所述之無電製程室,其中 截面積大於該第一截面積。 7.如申請專利範圍第5項所述之無電製程室,其中 個流道包含: 由至少四流道組成之一陣列,係實質平均分布 游側;以及 一環形特徵結構,突出於該下游側上方一第一 其中該第一距離為約0.5毫米至約2 5毫米。 8.如申請專利範圍第5項所述之無電製程室,其中 個流道包含由多個流道組成之一陣列排列呈方形、 放射狀、或六方緊密堆積方位(hexagonal close orientation)。 9.如申請專利範圍第5項所述之無電製程室,其中 中的至少二個或多個流道更包含從該上游側部分延 第一圓柱狀結構,以及與該第一圓柱狀結構為流體 一第二圓柱狀結構,其中該第二圓柱狀結構的一截 於該第一圓柱狀結構。 該平台 該第二 該複數 於該下 距離, 該複數 矩形、 packed 該流道 伸的一 連通的 面積大 78. 134384012. A substrate support surface, wherein the rotatable substrate support assembly is coupled to the assembly and adapted to rotate relative to the platform assembly. 6. The electroless process chamber of claim 5, wherein the cross-sectional area is greater than the first cross-sectional area. 7. The electroless process chamber according to claim 5, wherein the one flow channel comprises: an array consisting of at least four flow paths, which is a substantially evenly distributed swim side; and an annular feature structure protruding from the downstream side The first one above the first distance is from about 0.5 mm to about 25 mm. 8. The electroless process chamber of claim 5, wherein the one of the flow channels comprises an array of a plurality of flow channels arranged in a square, radial, or hexagonal close orientation. 9. The electroless process chamber of claim 5, wherein at least two or more of the flow channels further comprise a first cylindrical structure extending from the upstream side, and the first cylindrical structure is The fluid is a second cylindrical structure, wherein a portion of the second cylindrical structure is intercepted by the first cylindrical structure. The second plurality of the platform is at the lower distance, and the plurality of rectangular and packed channels are connected to each other with a large area. 78. 1343840 ;曰修(更)正替換頁 10. —種無電製程室,適用以處理一基材,包含: 一可旋轉之基材支撐組件,位於該無電製程室的一處 理區域,且具有一或多個基材支撐面;曰修(more) replacement page 10. An electroless process chamber suitable for processing a substrate comprising: a rotatable substrate support assembly located in a processing region of the electroless process chamber and having one or more Substrate support surface; 一邊堤,位於該處理區域且具有一第一表面,其中該 邊堤及/或該一或多個基材支撐面上的一基材係可定位,而 於該邊堤的該第一表面與該基材的一邊緣之間形成一間 隙;以及 一流體源,係定位而用來輸送一無電處理溶液至該基 材支撐組件上的一基材之一表面。 11.如申請專利範圍第10項所述之無電製程室,其中該流 體源更包含一流體加熱器,係與由該流體源輸送出的該無 電處理溶液為熱連通(thermal communication)。a bank, located in the processing region and having a first surface, wherein the substrate and/or a substrate on the one or more substrate supporting surfaces are positionable, and the first surface of the bank is A gap is formed between an edge of the substrate; and a fluid source is positioned to transport an electroless treatment solution to a surface of a substrate on the substrate support assembly. 11. The electroless process chamber of claim 10, wherein the fluid source further comprises a fluid heater in thermal communication with the electroless treatment solution delivered by the fluid source. 12.如申請專利範圍第10項所述之無電製程室,其中該邊 堤更包含一升降組件,該升降組件係適用以相對於該一或 多個基材支撐面上的該基材之一表面來定位該邊堤。 13. —種無電製程室,係適用以處理一基材,包含: 一可旋轉之基材支撐組件,位於該無電製程室的一處 理區域,其中該可旋轉之基材支撐組件具有一或多個基材 支撐特徵結構,且每一特徵結構具有一基材支撐面; 79 1343840 抑日修(更)正替骑 一碗狀組件,位於該處理區域,且具有一或多個内壁 而形成一流體空間,其中該流體空間係按照可使該一或多 個基材支撐特徵結構浸沒在該流體空間内的一流體中之尺 寸而製作;以及 一流體源,與該流體空間及設置於該一或多個基材支 撐面上的一基材為流體連通。12. The electroless process chamber of claim 10, wherein the side bank further comprises a lifting assembly adapted to be adapted to one of the substrates relative to the one or more substrate support surfaces. The surface is used to position the side bank. 13. An electroless process chamber adapted to process a substrate, comprising: a rotatable substrate support assembly disposed in a processing region of the electroless process chamber, wherein the rotatable substrate support assembly has one or more a substrate supporting feature structure, and each feature structure has a substrate supporting surface; 79 1343840 a day-repair (more) riding a bowl-shaped component, located in the processing area, and having one or more inner walls to form a a fluid space, wherein the fluid space is fabricated in a size that immerses the one or more substrate support features in a fluid within the fluid space; and a fluid source, the fluid space, and the one Or a substrate on a plurality of substrate support surfaces is in fluid communication. 14.如申請專利範圍第13項所述之無電製程室,其中該無 電製程室更包含一流體加熱器,係與位於該流體空間内的 該流體為熱連通。 15. 如申請專利範圍第13項所述之無電製程室,其中該無 電製程室更包含一升降組件,該升降組件係適用以相對於 該碗狀組件的該一或多個内壁而定位該可旋轉之基材支撐 組件。14. The electroless process chamber of claim 13, wherein the electroless process chamber further comprises a fluid heater in thermal communication with the fluid located in the fluid space. 15. The electroless process chamber of claim 13, wherein the electroless process chamber further comprises a lifting assembly adapted to position the one or more inner walls relative to the bowl assembly Rotating substrate support assembly. 16. 如申請專利範圍第13項所述之無電製程室,其中該可 旋轉之基材支撐組件更包含: 一充氣部(plenum),係與該基材支撑面為流體連通; 以及 一真空源,與該充氣部及設置於該基材支撐面上的一 基材為流體連通。 80 1343840 摯—’曰修(更上換買I 17. —種無電製程室,係適用以處理一基材,包含: 一基材支撐組件,位於該無電製程室的一處理區域, 其中該基材支撐組件具有一或多個相隔的基材支撐特徵結 構,且每一特徵結構具有一基材支撐面;16. The electroless process chamber of claim 13, wherein the rotatable substrate support assembly further comprises: a plenum in fluid communication with the substrate support surface; and a vacuum source And being in fluid communication with the inflating portion and a substrate disposed on the substrate supporting surface. 80 1343840 挚 曰 曰 曰 更 更 更 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. 17. The material support assembly has one or more spaced apart substrate support features, and each feature structure has a substrate support surface; 一碗狀組件,位於該處理區域,且具有一或多個内壁 而形成一流體空間,其中該流體空間係按照可使該一或多 個相隔的基材支撐特徵結構浸沒在該流體空間内的一流體 中之尺寸而製作; 一馬達,係適用以旋轉該一或多個相隔的基材支撐特 徵結構; 一間隙,形成在設置於該一或多個相隔的基材支撐特 徵結構上的一基材之一表面與該碗狀組件的該一或多個内 壁之一表面之間;以及 一流體源,係與該流體空間及設置在該一或多個基材 支撑面上的該基材之該表面為流想連通。a bowl-shaped assembly, located in the processing region, and having one or more inner walls to form a fluid space, wherein the fluid space is immersed in the fluid space in accordance with the one or more spaced apart substrate support features a motor in a size; a motor adapted to rotate the one or more spaced apart substrate support features; a gap formed in the one or more spaced apart substrate support features a surface of one of the substrates and a surface of the one or more inner walls of the bowl assembly; and a fluid source associated with the fluid space and the substrate disposed on the one or more substrate support surfaces The surface is connected to the flow. 18. —種無電製程室,係適用以處理一基材,包含: 一平台組件,位於一處理區域,該平台組件包含: 一流體擴散構件,具有一上游側、一下游側和適 用以提供該上游惻與該下游側之間為流體連通的複數 個流道; 一第一基底構件,具有貫穿其中而形成之一第一 流通孔,其中該第一基底構件密設於該流體擴散構 8118. An electroless process chamber adapted to process a substrate, comprising: a platform assembly located in a processing region, the platform assembly comprising: a fluid diffusion member having an upstream side, a downstream side, and adapted to provide the a plurality of flow passages in fluid communication between the upstream weir and the downstream side; a first base member having a first flow passage therethrough formed therein, wherein the first base member is densely disposed in the fluid diffusion structure 81 1343840 件’且該第’流通孔舆形成於該流體擴散搆件中的該 複數個流道中之至少一者為流體連通:以及 一第;基底構件,具有貫穿其中而形成之一第二 流通扎,其_該第二基底構件密設於該流趙擴散構 件,且該第二流通孔與形成於該流體擴散構件中的該 複數個流道中之至少一者為流體連通:以及 —可旋轉之基材支撐組件,位於該處理區域且具有一 基材支撐面,其中該可旋轉之基材支撐組件係耦接該平台 組件並適用於相對該平台組件旋轉。 19· 一種於一無電製程室中處理一基材的方法,包含: 將一基材定位於一基材支撐物上的一基材接收面: 將該基材支推物定位於與一擴散構件有一距離之處; 經由該擴散構件中的複數個流道流入一控溫流趙,使 該控溫流體接觸該基材的一第一表面; 相對該擴散構件旋轉該基材與該基材支撐物;以及 分配一無電鍍(electroless deposition)處理流體至該 基材的一第二表面,以沉積一無電層於該第二表面上。 20.如申請專利範圍第19項所述之方法,其令分配一無電 鍍處理流體的步驟更包含: 從一來源流入一無電鍍處理流體; 利用一可移動之臂組件來配置一喷嘴於該基材的該第 82 1343840 日修(更)正替換頁 二表面上方;以及 從該喷嘴分配該無電鍍處理流體至該基材上。 21.如申請專利範圍第19項所述之方法,更包含在分配該 無電鍍處理流體至該基材的該第二表面之前,去除該無電 鍍處理流體中的氣體。1343840 and at least one of the plurality of flow passages formed in the fluid diffusion member is in fluid communication: and a base member having a second flow through therethrough The second base member is densely disposed in the flow diffusion member, and the second flow hole is in fluid communication with at least one of the plurality of flow channels formed in the fluid diffusion member: and - rotatable A substrate support assembly is disposed in the processing region and has a substrate support surface, wherein the rotatable substrate support assembly is coupled to the platform assembly and adapted to rotate relative to the platform assembly. 19. A method of processing a substrate in an electroless process chamber, comprising: positioning a substrate on a substrate receiving surface on a substrate support: positioning the substrate support with a diffusion member a distance from the plurality of flow channels in the diffusion member, the temperature control fluid is brought into contact with a first surface of the substrate; and the substrate is supported against the substrate by the diffusion member And dispensing an electroless deposition treatment fluid to a second surface of the substrate to deposit an electroless layer on the second surface. 20. The method of claim 19, wherein the step of dispensing an electroless plating treatment fluid further comprises: flowing an electroless plating treatment fluid from a source; and configuring a nozzle by using a movable arm assembly. The 822134340 of the substrate is repaired (more) over the surface of the page 2; and the electroless plating treatment fluid is dispensed from the nozzle onto the substrate. 21. The method of claim 19, further comprising removing the gas in the electroless plating treatment fluid prior to dispensing the electroless plating treatment fluid to the second surface of the substrate. 22. —種於具有一處理區域之一無電製程室中處理一基材 的方法,包含: 將一基材定位至保持在該處理區域中之一基材支撐物 上的一基材接收面; 將該基材接收面定位於與保持在該處理區域中之一擴 散構件有一距離之處; 相對該擴散構件旋轉該基材與該基材支撐物; 流入源自一製程氣體源的一氣體至該處理區域;22. A method of processing a substrate in an electroless process chamber having a processing region, comprising: positioning a substrate to a substrate receiving surface held on a substrate support in the processing region; Positioning the substrate receiving surface at a distance from a diffusion member held in the processing region; rotating the substrate and the substrate support relative to the diffusion member; flowing a gas from a process gas source to The processing area; 1 經由形成於該擴散構件中的複數個流道流入一流體; 將該基材接收面定位於與該擴散構件有一距離之處, 使得該基材的一第一表面接觸該流體;以及 分配一第一無電鍵處理流體至該基材的一第二表面。 831 flowing a fluid through a plurality of flow channels formed in the diffusion member; positioning the substrate receiving surface at a distance from the diffusion member such that a first surface of the substrate contacts the fluid; and dispensing a The first no-charge bond processes the fluid to a second surface of the substrate. 83 s 1343840 12. 〇 ιs 1343840 12. 〇 ι 第4圖 cFigure 4 c
TW095124386A 2005-07-06 2006-07-04 Apparatus for electroless deposition of metals onto semiconductor substrates TWI343840B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/175,251 US7654221B2 (en) 2003-10-06 2005-07-06 Apparatus for electroless deposition of metals onto semiconductor substrates

Publications (2)

Publication Number Publication Date
TW200800412A TW200800412A (en) 2008-01-01
TWI343840B true TWI343840B (en) 2011-06-21

Family

ID=37656337

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095124386A TWI343840B (en) 2005-07-06 2006-07-04 Apparatus for electroless deposition of metals onto semiconductor substrates

Country Status (4)

Country Link
JP (1) JP2007046156A (en)
KR (1) KR101246838B1 (en)
CN (1) CN1900358A (en)
TW (1) TWI343840B (en)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7966968B2 (en) 2007-04-27 2011-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Electroless plating apparatus with non-liquid heating source
NL1035265C2 (en) * 2008-04-07 2009-10-08 Meco Equip Eng Method and device for the electroplating of non-metallic glassy substrates.
TWI427196B (en) * 2009-05-22 2014-02-21 Hon Hai Prec Ind Co Ltd Apparatus and method for fabricating nanoscaled metal particles/metal composite coating
US8198547B2 (en) 2009-07-23 2012-06-12 Lexmark International, Inc. Z-directed pass-through components for printed circuit boards
JP5251941B2 (en) * 2010-09-01 2013-07-31 東京エレクトロン株式会社 Liquid processing apparatus, liquid processing method, and storage medium
US20130341078A1 (en) 2012-06-20 2013-12-26 Keith Bryan Hardin Z-directed printed circuit board components having a removable end portion and methods therefor
US8943684B2 (en) 2011-08-31 2015-02-03 Lexmark International, Inc. Continuous extrusion process for manufacturing a Z-directed component for a printed circuit board
US8658245B2 (en) * 2011-08-31 2014-02-25 Lexmark International, Inc. Spin coat process for manufacturing a Z-directed component for a printed circuit board
US10269615B2 (en) * 2011-09-09 2019-04-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
JP5666419B2 (en) * 2011-11-28 2015-02-12 東京エレクトロン株式会社 Plating processing apparatus, plating processing method, and storage medium
TWI458546B (en) 2011-12-14 2014-11-01 Ind Tech Res Inst Chemical bath deposition (cbd) apparatus
US9752231B2 (en) * 2012-05-11 2017-09-05 Lam Research Corporation Apparatus for electroless metal deposition having filter system and associated oxygen source
JP6168273B2 (en) * 2012-10-16 2017-07-26 株式会社Screenホールディングス Substrate processing apparatus and substrate processing method
CN105551956A (en) * 2015-12-29 2016-05-04 中国电子科技集团公司第五十五研究所 Chemical palladium plating method for back through hole metallization seed layer of semiconductor
JP2017168528A (en) * 2016-03-14 2017-09-21 東芝メモリ株式会社 Semiconductor manufacturing method
SG11201811476XA (en) * 2016-07-20 2019-02-27 Technic Electro-depositing metal layers of uniform thickness on semiconducting wafers
JP6963524B2 (en) * 2018-03-20 2021-11-10 キオクシア株式会社 Electroplating equipment
CN109985745B (en) * 2019-04-10 2020-07-28 业成科技(成都)有限公司 Spraying device capable of increasing the uniformity of spraying curved surface

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3450179B2 (en) * 1998-03-31 2003-09-22 京セラ株式会社 Surface treatment equipment
JP3639151B2 (en) * 1999-03-11 2005-04-20 株式会社荏原製作所 Plating equipment
JP2003115474A (en) * 2001-10-03 2003-04-18 Ebara Corp Substrate processor and processing method
JP3985858B2 (en) * 2001-10-17 2007-10-03 株式会社荏原製作所 Plating equipment
KR101087633B1 (en) * 2002-11-15 2011-11-30 가부시키가이샤 에바라 세이사꾸쇼 Substrate processing apparatus and substrate processing method
JP2004214508A (en) * 2003-01-07 2004-07-29 Ebara Corp Method and apparatus for forming wiring
JP2004346399A (en) * 2003-05-23 2004-12-09 Ebara Corp Method and apparatus for treating substrate
JP2005060722A (en) * 2003-08-08 2005-03-10 Ebara Corp Method and apparatus for treating substrate
EP1676295A2 (en) * 2003-10-06 2006-07-05 Applied Materials, Inc. Apparatus to improve wafer temperature uniformity for face-up wet processing
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process

Also Published As

Publication number Publication date
TW200800412A (en) 2008-01-01
CN1900358A (en) 2007-01-24
KR20070005511A (en) 2007-01-10
KR101246838B1 (en) 2013-03-28
JP2007046156A (en) 2007-02-22

Similar Documents

Publication Publication Date Title
TWI343840B (en) Apparatus for electroless deposition of metals onto semiconductor substrates
TWI345806B (en) Electroless deposition system and plating cell for processing semiconductor substrates
US20050260345A1 (en) Apparatus for electroless deposition of metals onto semiconductor substrates
JP4644676B2 (en) Equipment to improve wafer temperature uniformity for face-up wet processing
US6824612B2 (en) Electroless plating system
US6871773B2 (en) Composite metallic ultrafine particles and process for producing the same
TWI302170B (en) Substrate electroless plating apparatus and method
US20040065540A1 (en) Liquid treatment using thin liquid layer
US20070199507A1 (en) Apparatus to improve wafer temperature uniformity for face-up wet processing
US20070141251A1 (en) Method of forming thin metal films on substrates
US6742279B2 (en) Apparatus and method for rinsing substrates
US7341634B2 (en) Apparatus for and method of processing substrate
US7323058B2 (en) Apparatus for electroless deposition of metals onto semiconductor substrates
TWI355298B (en) Apparatus for electroless deposition of metals ont
TW200300573A (en) Substrate processing method and substrate processing apparatus
US20080024762A1 (en) Raman spectroscopy as integrated chemical metrology
US20050072526A1 (en) Heating apparatus to heat wafers using water and plate with turbolators
TWI265216B (en) Multi-chemistry plating system
KR20150059118A (en) Pre-treatment method for plating and storage medium
KR20150059117A (en) Pre-treatment method for plating and storage medium
KR102541121B1 (en) Pre-dispense home port, substrate processing apparatus, and method of processing a substrate using the same
US6709555B1 (en) Plating method, interconnection forming method, and apparatus for carrying out those methods
TWI539037B (en) Electroplating treatment, electroplating treatment and memory media
TWI307943B (en) Apparatus and method for thermally controlled processing of microelectronic workpieces
CN209216927U (en) Application processing apparatus

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees