CN1922344A - 用于无电沉积的装置 - Google Patents

用于无电沉积的装置 Download PDF

Info

Publication number
CN1922344A
CN1922344A CNA2004800300528A CN200480030052A CN1922344A CN 1922344 A CN1922344 A CN 1922344A CN A2004800300528 A CNA2004800300528 A CN A2004800300528A CN 200480030052 A CN200480030052 A CN 200480030052A CN 1922344 A CN1922344 A CN 1922344A
Authority
CN
China
Prior art keywords
substrate
fluid
processing
chamber
electroless
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2004800300528A
Other languages
English (en)
Inventor
德米特里·鲁博弥尔斯克
阿拉库玛·山姆戈萨卓姆
伊恩·A·帕查姆
瑟戈伊·洛帕汀
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1922344A publication Critical patent/CN1922344A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1619Apparatus for electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1619Apparatus for electroless plating
    • C23C18/1628Specific elements or parts of the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1635Composition of the substrate
    • C23C18/1639Substrates other than metallic, e.g. inorganic or organic or non-conductive
    • C23C18/1642Substrates other than metallic, e.g. inorganic or organic or non-conductive semiconductor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1675Process conditions
    • C23C18/1678Heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1675Process conditions
    • C23C18/1682Control of atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1851Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material
    • C23C18/1872Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/20Pretreatment of the material to be coated of organic surfaces, e.g. resins
    • C23C18/28Sensitising or activating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemically Coating (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明的实施例一般地提供了一种流体处理平台。该平台包括具有衬底传输机械手的主机、主机上的至少一个衬底清洁室、以及至少一个处理外壳。处理外壳包括定位成与处理外壳的内部流体连接的气体供应、定位在外壳中的第一流体处理室、定位成支撑衬底用于在第一流体处理室中进行处理的第一衬底头组件设置、定位在外壳中的第二流体处理室、定位成支撑衬底用于在第二流体处理室中进行处理的第二头组件、以及定位在第一和第二流体处理室之间并设置为将衬底在流体处理室和主机机械手之间传输的衬底梭。

Description

用于无电沉积的装置
技术领域
本发明的实施例一般地涉及用于进行无电沉积处理的处理平台。
背景技术
对尺寸在100纳米以下的特征的金属化是目前和未来几代集成电路制造工艺的基础技术。更具体地说,在例如超大规模集成电路器件的器件中,即具有超过一百万个逻辑门的集成电路的器件中,处于这些器件中心的多级互连通常是用导体材料例如铜填充互连特征而形成的,所述互连特征具有较高的长宽比,即大于约10∶1。传统上,例如化学气相沉积和物理气相沉积的沉积技术已经用于填充互连特征。但是,随着互连尺寸的减小和长宽比的增加,用传统的金属化技术进行无空洞的互连填充变得越来越困难。因此,已经出现了镀技术即电化学镀和无电沉积,作为集成电路制造工艺中用于对尺寸在100纳米以下的高长宽比互连特征进行无空洞填充的很有前途的工艺。而且,镀处理以及具体而言的无电沉积处理已经作为对后沉积层例如覆盖层(capping layer)进行沉积的有前途的处理而出现。
但是,对于无电沉积处理,传统的处理装置和方法面临着对无电沉积处理以及所得沉积层中的缺陷率进行精确控制的挑战。此外,需要一种用于无电沉积处理的功能集成平台,它能够进行衬底沉积前后的清洁、以最小限度的缺陷沉积均匀的无电层并对衬底进行退火。
发明内容
本发明的实施例一般地提供了一种无电衬底处理平台。该平台通常包括一般称为工厂接口的衬底接口部分,以及主机处理部分。衬底接口部分通常用于将衬底传递到处理主机和从处理主机取出衬底,其中沉积处理在所述处理主机处进行。主机通常包括斜边清洁室、可以与斜边清洁室结合的衬底清洗和干燥室、以及无电沉积组件,所有这些都可以由主机机械手操作。此外,退火台可以定位与主机相连或与衬底接口部分相连。
本发明的实施例一般地提供了一种流体处理平台。该流体处理平台包括带有衬底传输机械手的主机、定位在主机上的至少一个衬底清洁室、以及包含无电沉积组件的至少一个处理外壳。该处理外壳包括定位成与处理外壳的内部流体连接的气体供应组件、定位在外壳中的第一流体处理室、定位成支撑衬底用于在第一流体处理室中进行处理的第一衬底头组件、定位在外壳中的第二流体处理室、定位成支撑衬底用于在第二流体处理室中进行处理的第二头组件、以及定位在第一和第二流体处理室之间并设置为将衬底在流体处理室与主机机械手之间进行传输的衬底梭。
本发明的实施例还可以提供用于在衬底上沉积金属的方法。该方法一般地包括将衬底定位于设在处理外壳中的梭上、使惰性的气体流入沉积外壳以在外壳中提供小于约100ppm的氧气含量、用梭将衬底传输到第一流体处理室用于活化处理、以及用梭将衬底传输到第二流体处理室用于无电沉积处理。
附图说明
本发明在上面进行了简要概括,参考实施例可以对本发明有更具体的说明,以便可以详细地理解本发明的上述特征,附图图示了所述实施例中的一些。但是应当明白,附图只是图示了本发明的典型实施例,因此不应被认为对其范围的限制,因为本发明可以采用其他等效的实施例。
图1图示了本发明的无电沉积系统一种实施例的平面图。
图2图示了本发明的无电沉积平台另一实施例的平面图。
图3图示了本发明的无电沉积平台另一实施例的平面图。
图3A图示了本发明的无电沉积平台另一实施例的平面图。
图4图示了本发明的无电沉积平台另一实施例的平面图。
图5图示了图4所示的无电沉积外壳的立体图。
图6图示了本发明的流体处理室的局部剖视立体图。
图7图示了本发明的头组件的立体图。
图8图示了本发明的衬底梭的立体图。
具体实施方式
本发明的实施例一般地提供了一种集成的无电沉积系统或平台。该平台通常包括工厂接口(FI)和定位成与FI相连通的主机处理部分。主机处理部分通常包括衬底清洁室、无电沉积组件和机械手,所述机械手设置为对清洁室和无电沉积组件中的处理室进行操作。退火台可以定位成与主机相连通或与衬底接口部分相连通,一旦沉积和/或清洁处理结束,就对衬底进行退火,或者如果愿意,也可以在沉积处理之前进行退火。
图1图示了本发明的示例性处理系统100的俯视平面图。系统100包括FI 130,所述FI 130具有多个设置为与衬底容纳盒对接的衬底装载台134。机械手132定位在FI 130中并设置为对位于装载台134上的盒中容纳的衬底进行操作。此外,机械手132还延伸到连接通道115中,所述连接通道115将FI 130连接到处理主机113。机械手132的位置允许其可以对装载台134进行操作以从其取回衬底然后将衬底传输到定位于处理主机113上的处理位置114、116之一,或者传输到退火台135。类似地,机械手132可以用于在衬底处理程序完成之后从处理位置114、116或退火台135取回衬底。在这种情况下,机械手132可以将衬底传输回定位于装载台134上的盒中的一个以便从系统中除去。FI 130还可以包括度量/检验台160,所述度量/检验台160可以用于在处理步骤之前和/或之后对衬底进行检验。度量/检验台可以用于例如对衬底上所镀材料的特性如厚度、平面度、颗粒结构等进行分析。可以将度量信息传送到后续处理部件用于控制在衬底上进行的后续处理,或者也可以将度量信息用于控制处理室中的后续处理步骤,其中所测量的衬底是来自所述处理室的。可用于本发明的实施例中的示例性度量/检验台包括BX-30高级互连测量系统和CD-SEM或DR-SEM检验台,它们都可以从加利福尼亚州Santa Clara的AppliedMaterials,Inc.买到。
退火台135通常包括双位置退火台,其中冷却板136和加热板137定位成彼此邻近,衬底传输机械手140定位成与它们接近,例如设在两个台之间。机械手140设置成使衬底在各个加热板137与冷却板136之间移动。此外,尽管图示的退火台135设置为使之可以从连接通道115操作,但本发明的实施例不限于任何具体的结构或位置。同样,退火台135可以设置为与处理主机113直接相连,即由主机机械手120操作,或者,退火台135也可以设置为与处理主机113相连,即退火台可以设置在与处理主机113同一个系统上,但不是与处理主机113直接接触或可由主机机械手120操作。例如,如图1所示,退火台135可以定位成直接与连接通道115相连,这使得可以对处理主机113进行操作,同样,退火台113图示为与处理主机113相连。对退火台135的另外的说明可以在共同受让的美国专利申请No.60/463,860中找到,它提交于2003年4月18日,题为“TwoPosition Anneal Chamber”,其全部内容通过引用而结合于此。
处理主机113包括对中定位的衬底传输机械手120。对中定位的衬底传输机械手120通常包括一个或多个臂/托板122、124,所述臂/托板122、124设置为在处理主机113上的不同位置和邻近的处理位置之间对支撑和传输衬底。另外,机械手120和附带的托板122、124通常设置为延伸、转动、围绕节点枢转(水平运动)和垂直运动,以使机械手120可以将衬底插入定位在处理主机113上的多个处理位置102、104、106、108、110、112、114、116或从这些位置将衬底取下。机械手120的托板122、124可以共同作用,即它们可以同时从邻近的处理位置传输衬底,或者,托板也可以独立操作,即在同一时间每个托板可以对主机不同侧上的不同处理位置进行操作。类似地,工厂接口机械手132也可以包括使其衬底支撑托板进行转动、延伸、枢转和垂直运动,同时仍然允许沿机械手导轨150的直线行进,所述机械手导轨150从工厂接口130延伸到处理主机113。通常,处理位置102、104、106、108、110、112、114、116可以包括衬底处理系统中使用的任意数量的处理室。更具体地说,处理室可以设置为电化学镀室、清洗室、斜边清洁室(bevel clean cell)、旋转清洗干燥室、衬底表面清洁室(其共同地包括了清洁、清洗和刻蚀室)、无电沉积室(包括预清洁和后清洁室、清洗室、活化室、沉积室等)、度量检验台和/或可能有利于与沉积平台结合使用的其他处理室。各处理室和机械手中的每个通常都与处理控制器111相连,处理控制器111可以是基于微处理器的控制系统,它设置为接收来自用户和/或定位在系统100上的各种传感器的输入,并根据输入对系统100的操作进行适当的控制。此外,处理室还与流体传递系统相连,所述流体传递系统设置为在处理期间向每个室分别供给必须的处理流体。示例性的处理流体传递系统可以在共同受让的美国专利申请No.10/438,624中找到,它提交于2003年5月14日,题为“Multi-Chemistry Electrochemical Processing System”,其全部内容通过引用而结合于此。
在图1所示的示例性无电沉积系统中,处理位置可以如下设置。处理位置114和116可以设置为处理主机113上的湿处理台与连接通道115、退火台135和FI 130中通常的干处理区域之间的接口。位于接口室处的处理室可以是例如旋转清洗干燥室和/或衬底清洁室。更具体地说,每个位置114和116可以包括堆叠布置的旋转清洗干燥室和衬底清洁室。可以用于本发明的实施例中的示例性旋转清洗干燥室的详细说明可以在共同转让的美国专利申请No.60/463,862中找到,它提交于2003年4月18日,题为“Spin Rinse Dry Cell”,其全部内容通过引用而结合于此。
处理位置106、108可以设置为斜边清洁室,即设置为在沉积处理完成之后从衬底的周边去除多余的沉积物的室。一种示例性斜边清洁室可以在共同受让的美国专利申请No.60/463,970中找到,它提交于2003年4月18日,题为“Integrated Bevel Clean Chamber”,其全部内容通过引用而结合于此。
处理位置102、104、110和112可以设置为镀室或镀支撑室,例如电化学镀室、无电沉积室、活化室和/或衬底清洗或清洁室。在处理室是无电沉积室的实施例中,平台100每侧有一个室通常是活化室,而平台同侧的另一个室是无电沉积室。这种设置通常可以镜像到平台100的相反侧。例如,处理位置102和112可以设置为活化室(将在下文中进一步讨论),而处理位置104和110可以设置为无电沉积室(也将在下文中进一步讨论)。在这种实施例中,被处理的衬底通常由主机机械手120定位在活化室位置102、112中,其上分配有表面活性溶液。之后,衬底可以在处理室位置114、116处进行清洗或清洁,然后由主机机械手120传输到无电沉积室位置104、110,在该处将无电沉积溶液分配到活化和清洁的表面上。或者,衬底可以在处理室位置102、104、110、112之一处进行清洗。之后,衬底可以在处理室位置114、116、122、124中的一个或多个处,或者在活化室位置102、112中进行清洁和/或清洗。
在图1所示的实施例中,主机机械手120用于将衬底定位在各处理室位置110、112、102、104以便处理。各处理室位置可以包括衬底支撑组件,所述衬底支撑组件设置为将用于处理的衬底支撑在各个室中,因而,机械手120可以用于将衬底传递到用于各个室的衬底支撑,并且机械手120还可以用于将衬底从一个室传输到另一个以用于后续处理步骤。可用于此实施例中的示例性衬底支撑元件或头组件在本文中参考图2-7进行进一步的说明。在这种实施例中,衬底被支撑为面朝下的结构用于处理,因而,如果主机机械手120没有接触衬底的产品面,则将衬底从主机机械手120传递到衬底支撑通常需要中间支撑步骤。在衬底被支撑为面朝上的结构用于处理的实施例中,主机机械手可以将衬底定位在各个室中以便处理和收回。可以使用的示例性处理室可以在共同受让的美国专利No.6,258,223以及共同受让的美国专利申请No.10/036,321中找到,前者授权于2001年7月10日,题为“In-Situ Electroless Copper Seed LayerEnhancement in an Electroplating System”,后者提交于2001年12月26日,题为“Electroless Plating System”,二者的全部内容通过引用而结合于此。
图2图示了本发明的无电沉积平台200的另一种实施例。图2所示的实施例大体上类似于图1所示的实施例,因此,在适当位置已经使用了共同的编号。但是,平台200包括定位在每个处理室位置102、104与处理室位置110、112之间的衬底头组件202(将在下文中进一步讨论)。头组件202通常可枢转地安装在点点204处并具有从其延伸的衬底支撑组件206。头组件202的枢转运动使支撑组件206在邻近定位的室位置(室位置102、104或室位置110、112)之间运动,如箭头“A”所指示。因此,在这种结构中,主机机械手120可用于将衬底定位在头组件202上,然后可以用所述头组件202将衬底在活化室位置102、112与无电沉积室位置104、110之间传输。这种结构提供了衬底从活化室(例如室位置112)到无电沉积室(例如室位置110)的有效传输、在传输期间活化层的污染最小,并使主机机械手120能够对电镀溶液保持清洁。
图3图示了本发明的无电沉积处理平台300的另一种实施例。平台300类似于图2所示的平台,同样,在适当的位置保留了编号。平台300包括流体沉积处理外壳302,所述外壳302定位成对活化室位置102、112和无电沉积室位置104、110进行封闭。处理外壳302通常包括定位在处理主机113上、具有至少一个操作端口304的环境控制外壳,所述操作端口304例如狭缝阀门定位使得主机机械手120可以对各外壳302进行选择性操作,以从其插入或取下衬底。
处理外壳302连接到处理气源306,所述气源306定位成与其流体连接。处理气源306设置为向处理外壳302内部提供处理气体。更具体地说,气源306可以设置为在衬底处理步骤之前、之中或之后向处理外壳302的内部提供惰性的气体,例如氮、氦、氩、氢、其混合物或半导体处理中常用的其他气体。更具体地说,在衬底处理步骤之前,例如,可以向处理外壳302持续地供给处理气体并由排气系统或泵(未示出)从所述处理外壳302排出。当打开操作端口304以从处理外壳302插入或取下衬底时,流向处理外壳302的处理气体可能增加,使得维持处理气体从操作端口302向外正流动。处理气体向外的正流动使衬底插入外壳和从外壳取下的过程中进入处理外壳302的氧气最小化。一旦关闭操作端口304并将衬底定位于处理外壳302中用于处理,例如,就可以减少处理气体流动并对处理外壳的内部进行净化。净化处理包括持续地使处理气体流入处理外壳302并由排气口或泵从处理外壳302排出处理气体。处理气体的这种持续流动和排出起着从处理外壳清除残余氧气的作用。在本发明的实施例中,处理气体流通常被引入处理位置上方的处理外壳302上部。处理气体向下流向各处理位置并从各处理位置中或其下方位置处的处理外壳抽气或排出。
向处理外壳302供给处理气体的典型处理可以包括以约10slm到约25slm之间的流率供给惰性的气体,或者更具体地说,在约12slm到约20slm之间。当处理外壳关闭,即当操作端口304关闭时,惰性的气体的流率可以减小。处理外壳302还可以包括真空泵(未示出),所述真空泵定位成与处理外壳302的内部体积流体连接。真空泵可以用于通过清除抽气过程进一步减少处理体积中存在的不期望的气体。处理外壳302的内部还可以选择性地与制造设备排气系统流体连接。因此,排气系统可以用于从处理外壳302内部排出或抽出处理气体,以防由于在密闭体积中引入处理气体而造成外壳增压。系统控制器111可以用于控制从处理外壳引入和去除处理气体的速率,使处理外壳302内部的环境得到控制。此外,如果特定的处理技术需要进一步的环境控制,发明人预期可以将其他控制机构例如加热器、冷却器、空气过滤器或净化器、加湿器等包括在处理外壳302内部或与其相连并由控制器111控制。
对于图1-3所示的平台的化学品结构,这种结构的平台通常使用一次性使用的化学品室,即一份处理化学品将用于一个衬底,然后被丢弃而不用于处理另外的衬底。例如,平台200和300可以使用共同的室来对衬底进行活化、清洁和/或后处理。由于这些处理每个都可能使用不同的化学品,所以室通常设置为在需要时向衬底供给所需的每种化学品。但是,室通常不设置为重新收集化学品,因为从一个室中重新收集不同的化学品可能存在实际的污染问题。
例如,处理室位置102、112可以设置为活化室和清洁室,而处理室位置104、110可以设置为无电沉积室。在这种设置中,首先将衬底定位于活化/清洁室位置102、112,在该处,衬底可以具有分配于其上的预活化清洁溶液、清洗溶液和/或活化溶液。在使用预活化清洁溶液的实施例中,通常在使用清洁溶液之后对衬底进行清洗,以使衬底表面在使用活化溶液之前没有清洁溶液。一旦使用了活化溶液,通常将衬底从活化/清洁室位置102、112传输到无电沉积室位置104、110。室位置104、110设置为将无电沉积溶液分配到衬底上,以在其上沉积期望的层。一旦无电沉积处理完成,则可以将衬底传输回活化/清洁室,在该处,在衬底上对其进行后沉积清洁处理。一旦后沉积清洁处理完成,则可以将衬底运送到斜边清洁室位置106、108之一或旋转清洗干燥室位置114、116之一。由于无电沉积室位置104、110在这个实施例中没有使用不同的化学品,无电室可以设置为多用化学品室,例如可以将用于该室的化学品重新收集并重新用于多个衬底,直到化学品特性不再适用于处理。不过,如果愿意,沉积室可以用于另外的处理,例如后沉积清洁处理。在这种结构中,沉积室通常将设置为一次性使用型的化学品室,因为重新收集的溶液混合物通常不适用于后续的处理。
图3A图示了本发明的无电沉积平台350的另一种实施例。图3A类似于图3,因此,在适当的位置保留了两图之间的编号。与图3所示一样,平台350包括处理外壳302,但是外壳302中的元件在此实施例中设置不同。例如,用附图下部的外壳302来讨论(平台350对面一侧的外壳302包括相同但是镜像的结构),处理室位置112包括专用头组件353,它设置为处理室位置112服务。类似地,处理室位置110包括专用头组件352,它设置只为处理室位置110服务。因此,在这种结构中,衬底梭351定位和设置为从主机机械手120接收衬底。将衬底定位于梭351上,梭351枢转到头组件352、353之一下面的位置。各个头组件352、353降低到定位在梭351上的衬底正上方的位置,头组件通过真空夹具、重力或半导体处理领域中已知的其他衬底固定处理来与衬底接合并将其固定到所述头组件。一旦衬底被固定到头组件352、353,梭351即可从头组件352、353下方枢转出去。
然后,头组件352、353可以将衬底降低到各个处理室位置110、112中用于流体处理步骤。一旦流体处理步骤结束,就可以由头组件352、353通过将衬底抬高离开流体而将衬底从流体中取走。此后,梭351可以枢转,使得其定位于衬底之下,头组件352、353可以降低,以将衬底定位于梭351上,并解除真空夹紧力以释放衬底使之由梭351支撑。可以抬高头组件352、353并使梭351枢转到邻近的处理室,在该处,可以进行另一个流体处理步骤,或者,梭351也可以定位使得主机机械手120可以从处理外壳302取出衬底。
图3A所示的部件结构可以在无电沉积处理中实施。例如,处理室位置102和112可以设置为进行无电预清洁处理、无电活化处理和无电后活化清洁处理,而处理室位置104、110可以设置为无电沉积室和无电后沉积清洁室。在这种结构中,可以进行对来自各个处理的化学品的回收。图3A所示结构的一个优点是衬底可以在惰性环境中从活化溶液传输到无电沉积溶液,因为用于流体处理室位置102、104、110、112的处理空间在环境受控的处理外壳302中,即在传输步骤期间操作端口304保持关闭,因此,处理外壳中的氧含量可以被控制并维持在较低程度。此外,处理外壳302充满来自气源306的惰性的气体,因此,处理外壳302内部具有大大降低的氧气百分率,例如氧气小于约100ppm,或者更具体地说,氧气小于约50ppm,或者再进一步,氧气小于约10ppm。此外,处理室位置、梭351和头组件352、353的设置允许衬底在小于约10秒钟的时间内从活化室传输到无电沉积室。这些处理都有助于基本防止将要由无电处理来镀的表面在沉积处理本身之前就被氧化。
通常,图3和图3A所示的本发明的实施例可能用于相容或不相容的化学品。例如,在使用不相容的化学品例如酸性和碱性溶液的处理程序中,酸性溶液通常唯一地用于一个室中,而碱性溶液唯一地用于另一个室中。这些室可以邻近定位,衬底可以由梭之一在各个室之间传输。当使用相容的化学品时,可以用一个流体处理室进行处理的每个步骤。例如,可以用一个室来进行预清洁衬底、活化衬底、清洁活化后的衬底、进行无电沉积处理以及进行后沉积清洁处理。此外,当使用不相容的化学品时,衬底可以在第一室中进行处理,然后在将衬底传输到使用与第一室中的化学品不相容的化学品的另一个流体处理室之前,在该室或另一个邻近定位的清洁室中进行清洗或清洁。清洗步骤可以在衬底在使用不相容化学品的后续室中进行处理之前,将不相容的化学品从衬底表面除去。此外,发明人预期清洗步骤优选地在原位进行,即在使用最初的化学品的同一个室中,因为这种结构使化学元素对处理系统中其他元件例如衬底梭351造成污染的可能性减至最小,所述化学元素是后来可能与到达梭351上的其他污染物反应的。
图4和5图示了本发明的无电沉积平台400的另一种实施例。沉积平台400通常包括与图1-3A中所示平台类似的平台特征,因此,在适当的位置保留了编号。但是,平台在平台400的室位置102、104、110、112处有部分差异。更具体地说,平台400包括与供气源406相连的外壳402,以及用于将衬底插入外壳和从其中取出的操作端口404,它们的样式与前述平台类似。但是,与平台300中外壳302中图示的两个处理位置相比,例如,平台400在每个外壳402中包括四个处理位置。外壳402中的四个处理位置包括室位置414、416、418、420。这些处理位置可以设置为活化室、清洁室、清洗室和无电沉积室的组合。例如,室位置414和418可以设置为活化室,室位置416和420可以设置为无电沉积室(这些室的结构和设置将在下文中进一步讨论)。在这种设置中,平台400还包括第一衬底传输梭408(也图示于图8中),它定位成在外部处理室位置414、418(即定位于最接近主机机械手120的室)之间传输衬底。在这种设置中,梭408可以用于从主机机械手120接收衬底并将衬底传输到室位置414、418之一以便处理。类似地,梭可以用于从室位置414、418取下衬底,以使主机机械手120可以从外壳120取出衬底。
衬底梭408在图8中有更详细的图示,它通常包括可枢转地安装的臂元件804(安装在枢轴点802处),所述臂元件804包括远侧的衬底支撑表面806。衬底支撑表面806设置为通过与衬底外周边的接触将衬底支撑为面朝下的方式,以免损坏衬底的产品面。一旦衬底定位于衬底支撑表面806上,臂就可以枢转到处理室位置414、418之一上方的位置,头组件可以在该处将衬底从支撑表面806取走用于处理。
衬底支撑头组件410、412通常定位在各个处理室位置418、420与414、416之间。这些头组件410、412通常设置为在定位于头组件410、412每一侧的处理室之间传输衬底并在各个室中处理期间支撑衬底。例如,头组件410设置为在处理室418与处理室420之间传输衬底,类似地,头组件412设置为在处理室414与处理室416之间传输衬底。
尽管此处图示的头组件被一般地图示为面朝下形式的头组件,但本发明的实施例不应当限定为这种结构。例如,本发明的头组件可以设置为将衬底支撑为面朝上设置,如本领域一般所知的。类似地,尽管此处图示的处理室被一般地图示为以面朝下设置接收和处理衬底,但本发明的实施例不应当限定为这种结构,因为处理室可以设置为面朝上或面朝下型处理室。
在图4和5图示的平台设置中,头组件410、412可以用于以如下方式支撑单一化学品无电沉积设置。例如,处理室位置414、418可以设置为活化/清洗室,处理室位置416、420可以设置为无电沉积室。在这种设置中,衬底可以由主机机械手120传递到处理外壳402。之后,衬底可以由梭408输送到活化和清洗室位置414、418之一,头组件410、412之一在该处支撑衬底以便处理。在活化清洗室位置414、418,可以在活化之前对衬底进行清洁和/或清洗。之后,可以将无电活化溶液分配到衬底表面上。一旦衬底表面被活化,即可对其进行清洗和/或清洁并由相应的头组件410、412传输到无电沉积室416、420,衬底表面可以在该处暴露于无电沉积溶液以便沉积。一旦沉积处理结束,即可由头组件410、412将衬底传输回活化/清洗室位置414、418,如果需要,可以用于另一个活化层,或者用于清洗处理。如果需要另外的沉积,可以将活化的衬底输送到另一个沉积室用于进一步处理。如果需要的沉积处理结束于此处,则可以由梭408从活化室位置414、418取下衬底,然后接着由主机处理机械手120从外壳402取下。在这种结构中,可以经过外壳402同时处理两个衬底,即一个衬底使用室位置414、416,同时第二个衬底使用室位置418、420。
但是,在本发明的另一种实施例中,图4和5所示的平台可以设置为单一衬底多化学品处理外壳。更具体地说,外壳402可以设置为用每个室位置414、416、418、420处理一个衬底。每个室可以包括不同的化学品,例如酸性无电沉积和/或活化化学品以及碱性无电沉积和/或活化化学品。在这种实施例中,可以首先将衬底定位于设在室位置418处的活化室中,在该处,降温或升温头组件可以用于在将酸性反应预清洗化学品分配到衬底上的同时支撑衬底。降温头组件可以包括设置为吸热元件的衬底支撑表面,例如使冷却流体流经头组件的内部部分以降低衬底支撑表面的温度。升温头组件可以包括具有定位于其内的流体加热器或电阻加热器的衬底支撑表面,所述加热器设置为控制衬底支撑表面的温度。然后可以将衬底传输到定位在室位置420处的无电活化室,在该处将酸性活化溶液分配到衬底上。之后,衬底可以回到室位置418用于后活化清洁处理,然后可以将衬底(通过梭408和头组件412)传输到室位置414处的强碱性或碱性的预清洁室,在该处将强碱性或碱性清洁溶液分配到衬底表面上。
支撑衬底用于酸性活化、清洁或沉积处理的头组件412可以包定位在其中的加热器,所述加热器设置为加热头组件的衬底支撑表面,并从而在活化、清洁或沉积处理中加热衬底。加热的清洁处理还可以包括将清洁流体加热到例如约80℃到约90℃之间的温度,和/或将与衬底接触的支撑元件加热到上述加热后温度。然后可以在衬底回到室位置414用于后处理(即后沉积清洗和/或清洁)之前将衬底传输到室位置402处的无电沉积室,所述无电沉积室可能还包括碱性或强碱性无电溶液。在这种实施例中,每个单独的室可以包括特定的化学品,例如活化化学品、无电化学品、预清洁化学品等等,这使室中的化学品可以用于不止一个衬底,因为与在单个室中交叉化学品污染有关的问题被消除了。
图6图示了本发明的示例性流体处理室600和头组件604下部的局部剖视立体图。流体处理室600可以在此处所述的任意实施例中用作无电沉积室、活化室和/或清洁/清洗室。流体处理室600通常包括室体602,它具有可动地定位在室体602上方的头组件604。室体可以由已知不与流体处理(无电或ECP)溶液反应的各种物质来制造,例如塑料、聚合物和陶瓷。头组件604也图示于图7中,它通常包括衬底支撑元件606,所述衬底支撑元件606设置为转动、水平或枢转地动作以及垂直地动作,并且其尺寸适于容纳在室体602的开口中。衬底支撑元件606包括基本平面的支撑表面608,它通常也称为压板,其中具有形成于其中的多个真空孔610。支撑表面608可以镀有不与流体处理溶液发生反应的材料或由其制成,例如陶瓷或塑料。真空孔610选择性地与真空源(未示出)流体连接,同样,真空孔610可以用于将衬底614真空吸附到衬底表面608。环形密封件621,例如o形圈型的密封件,定位在衬底支撑表面608的周边附近。密封件621通常设置为接合到真空吸附到衬底支撑表面608上的衬底614的背面,以在衬底支撑表面608与衬底614之间产生真空密封以便真空吸附处理,同时还防止流体接触到衬底背面。
衬底支撑元件606的内部可以包括加热器组件,所述加热器组件可以包括多个集中布置的加热带612。加热带612可以包括电阻加热器、设置为使被加热的流体流过其的流体通道,或半导体处理方法所用的加热衬底支撑元件的其他方法。如果需要,可以对多个加热带612进行独立的控制,以在处理过程中更精确地控制衬底温度。更具体地说,对加热带612的独立控制允许对沉积温度的精密控制,这对于无电沉积处理是关键的。衬底支撑元件606还可以包括设置为在处理期间向衬底614赋予兆声(megasonic)或其他振动能量的激励器或振动器件(未示出)。
室体602的底部中心部分包括流体处理盆615。盆615通常包括基本平面的盆表面616,所述盆表面616具有围绕在盆表面616周围的环形流体堰618。流体堰618通常具有约2mm到约20mm之间的高度,并通常设置为使处理流体在处理区域620中的盆表面616上维持泥浆式(puddle-type)设置。盆表面616还包括形成于其中的多个流体孔622。流体孔622通常与多个处理流体源流体连接,所述处理流体源例如清洗溶液源、活化溶液源、清洁溶液源、无电沉积溶液源以及可以用于无电沉积处理中的其他流体源。因此,孔622可以用于向处理区域620供给处理流体。处理流体通常将向上流经孔622,然后向外经过处理区域620流向堰618,如箭头“B”所示。流体排出管624通常定位在室体602外侧下部,通常在流体堰618的外侧。因此,流体排出管624设置为收集溢出堰618的流体。
头组件604也图示于图7中,它通常包括可枢转地安装到处理主机113的垂直安装柱714,通常位于两个处理室之间的位置处,即头组件604定位成使得柱元件714可以围绕轴704转动以将衬底支撑元件606选择性地定位在每个邻近定位的处理室上。头组件604还包括结构臂元件716,所述结构臂元件716安装到柱元件714并从其延伸。结构臂元件716可移动地定位到柱元件714,即臂元件716的垂直位置可以由例如齿轮装置相对于柱元件714调整,所述齿轮装置中第一齿轮电机708与柱714侧面上的垂直轨706啮合,以使臂元件716沿着轨706选择性地垂直移动。第二电机712定位在臂元件716上并与衬底支撑元件606相连。第二电机设置为向衬底支撑元件606赋予旋转运动。
在本发明的另一种实施例中,头组件604可以额外地设置为使衬底支撑元件606倾斜,即不止是垂直、枢转(水平)和转动运动衬底。在这种实施例中,第二电机712的电机轴线可以定位在衬底支撑元件606的转动轴线上,电机712和衬底支撑元件606的组合可以绕轴线718枢转地安装到臂元件716。这种设置使衬底平面可以在处理步骤之前、期间或之后由水平倾斜以便例如需要时进行倾斜浸没处理。
在本发明的另一种实施例中,头组件604可以包括多区真空吸附式衬底支撑表面,它设置为对衬底背面上多个区上的吸附力进行控制。通常,这种类型的头组件使用定位在衬底支撑表面上的薄膜,薄膜定位成靠在衬底背面并在周边处密封。然后在衬底支撑表面与薄膜之间施加真空,从而使薄膜被拉向衬底支撑表面并进入形成于其中的一个或多个凹陷中。这在薄膜与衬底之间产生了真空,用于将衬底吸附到薄膜和衬底支撑表面。对使用薄膜来吸附衬底的头组件的详细说明可以在共同受让的美国专利No.6,183,354中找到,它提交于1997年5月21日,授权于2001年2月6日,题为“Carrier Head with Flexible Membrane for a Chemical MechanicalPolishing System”,其全部内容通过引用而结合于此。
在操作中,本发明的实施例,具体地说是平台400,可以用于通过无电沉积处理在衬底上沉积覆盖层。这种处理开始于用平台接收带有介质层的衬底,所述介质层具有形成于介质层中的特征以及在其上填充特征的导体材料(通常是铜)。此外,衬底通常具有基本平面的产品面,它将介质层暴露于场效应区和填充特征的铜的上表面。介质层和特征的基本平的上表面的原因通常是完成了化学机械抛光处理或通常在另外的处理平台上进行的其他后特征填充平面化处理。
衬底126由装载台134之一接收到平台400中并由机械手132输送到处理室位置114、116之一。在通常设置为衬底旋转清洗干燥室的处理室位置114、116处,可以用清洁溶液对衬底126进行初始清洗和/或清洁。或者,可以使衬底126简单地通过室位置114、116并由主机机械手120拾取。主机机械手接合衬底126并通过例如处理阀门404将衬底传输到处理外壳402。在传输处理期间,处理气源406使惰性的气体流入处理外壳402内部,所述惰性的气体通常是氮气或氮气与另一种气体例如氢气的混合物。此气流通常用于用惰性的气体充满处理外壳402的内部,并在接收衬底的同时使通过开启的操作阀门404进入处理外壳不期望的含氧的环境空气减至最小。
一旦将衬底126插入处理外壳402中,衬底126就被以面朝下取向定位在衬底梭408上。主机机械手托板120从处理外壳402缩回,操作阀门404关闭。如果需要,处理气源可以用氮气继续将处理外壳402的内部体积充满一段时间,以便进一步减小处理外壳402中的氧气含量。这可以通过将与处理空间402内部相连的一个或多个排气口或排气通道向环境开启而实现。
在衬底126定位在梭408上并且处理外壳用氮气充满的情况下,处理继续,用梭408将衬底126输送到头组件412。更具体地说,梭408的枢转使衬底126定位于头组件412下方,头组件412将衬底支撑表面608降低到紧挨着衬底126背面的位置,如同它位于衬底梭408上一样。可以使衬底支撑表面608与衬底126的背面接触。一旦表面608定位成紧挨着衬底126的背面,即可使真空孔610动作,将衬底126真空吸附并密封到支撑表面608。然后,头组件412将衬底126垂直升高离开梭408,梭408枢转离开衬底126。
然后,可以将衬底126降低到处理室位置414的流体盆620中,在该处可以通过将介质清洁溶液施加到衬底126而对衬底126进行清洁。介质清洁溶液可以包括一种或多种酸(例如柠檬酸、HF和/或HCl)并可以包括一种或多种阻蚀剂。阻蚀剂可以包括各种化合物中的任意一种,例如包含唑基的有机化合物如苯并三唑、巯基苯并三唑或5-甲基-1-苯并三唑中。介质清洁步骤可以在加热器612动作使衬底温度在约20℃和约60℃之间的情况下进行。
通常,介质清洁溶液设置为从介质层的暴露部分除去金属残余物。也可以理解,阻蚀剂在介质清洁处理期间保护铜层的暴露部分。如果未除去金属残余物,则通常会在介质上的这些金属残余物上发生不期望的无电沉积。
在本发明的另一种实施例中,在已进行介质清洁步骤的情况下,将衬底传递到处理平台400。在这种实施例中,本发明的处理步骤将简单地跳过介质处理步骤而前进到铜清洁步骤,这将在下面进行说明。
一旦介质清洁步骤完成,则处理继续进行到铜清洁处理。这部分处理通常也是在处理室414中进行的。更具体地说,可以升高头组件412以将衬底126从处理流体中取出,然后处理流体可以从介质清洁溶液改变为铜清洁溶液。一旦将铜清洁溶液定位于处理体积620中,即可将衬底126降低到溶液中。一种适当的铜清洁溶液示例是可以从加利福尼亚州SantaClara的Applied Materials,Inc.购买到的Electra CleanTM溶液。另一种适当的铜清洁溶液示例包括硫酸和HCl。另一种适当的铜清法溶液示例包括柠檬酸和过氧化氢的混合物。
铜清洁溶液通常设置为除去铜氧化物和任何残余的介质清洁溶液。例如,铜清洁溶液可以除去残留在铜层的暴露部分上的介质清洁溶液的阻蚀剂。残留在铜层的暴露部分上的阻蚀剂可能阻止覆盖材料在后续处理步骤中形成和/或粘附于其上。在一种实施例中,由铜清洁溶液刻蚀的铜层厚度小于约50埃,优选为小于约30埃,蚀去的介质层厚度小于约50埃,优选为小于约30埃。
在铜清洁步骤后,衬底由头组件412传输到处理室416,在该处可以将活化溶液施加到衬底结构。处理室416类似于处理室414(如图6所示),因此,将跳过头组件412将衬底126浸没在处理体积420中的具体情况。可用于活化室位置416中的活化溶液的一种示例包括钯盐。钯盐的例子包括氯化物、溴化物、氟化物、氟硼酸盐、碘化物、硝酸盐、硫酸盐、羰基物、金属酸盐及其组合。优选的钯盐是氯化物,例如氯化钯(PdCl2)、氯铂酸(H2PtCl6)及其组合。铜清洁溶液施加到室位置414中的结束时间与活化溶液施加到室位置416中的开始时间之间的等待时间通常小于约15秒,优选为小于约5秒。因此,头组件412从室位置414中的铜清洁溶液无延迟地直接移动到室位置416中的活化溶液。
活化溶液通常用于将活化金属种层沉积到特征中暴露的铜上。因而,铜层暴露部分的氧化在其清洁后可能不利于将金属种层沉积到铜层暴露部分上方,因为已知铜的氧化物比铜具有更高的电阻率。铜清洁与活化之间较短的等待时间使氧化减至最少,同时惰性的气体环境也有助于防止铜层的暴露部分氧化。
一旦活化处理完成,即可将衬底126移回室位置414或室位置416,在该处可以通过将后活化清洁溶液施加到衬底126来进行后活化清洁。后活化清洁溶液可以包括一种或多种酸(例如柠檬酸、HF和/或HCl)。施加活化溶液的结束时间与施加后活化清洁溶液的开始时间之间的等待时间可以小于约15秒,优选为小于约5秒。
后活化清洁溶液通常用于从介质层的暴露部分除去任何活化金属种层,以使活化金属种层只保留在铜层的暴露部分上。留在介质层的暴露部分上的活化金属种层可能在其上引起覆盖材料的不期望的无电沉积。
一旦活化层得到清洁,就将衬底传输到室位置420,在该处可以通过将无电沉积溶液施加到衬底结构,在暴露的铜层的活化部分上方进行选择性的无电沉积而沉积覆盖层。覆盖层可以包括CoP、CoWP、CoB、CoWB、CoWPB、NiB或NiWB,并优选为包括CoWP或CoWPB。无电沉积溶液可以包括一种或多种金属盐和一种或多种还原剂,这取决于待沉积的覆盖层材料。如本领域通常所知的,无电沉积溶液还可以包括pH调节成分,例如酸或碱。
当选择的覆盖层包含钴时,无电沉积溶液通常包括钴盐。钴盐的例子包括氯化物、溴化物、氟化物、氟硼酸盐、碘化物、硝酸盐、硫酸盐、羰基物、金属酸盐及其组合。优选的钴盐包括硫酸钴、氯化钴或其组合。如果要沉积含钨的覆盖材料,则无电沉积溶液包括钨盐。钨盐的例子包括氯化物、溴化物、氟化物、氟硼酸盐、碘化物、硝酸盐、硫酸盐、羰基物、金属酸盐及其组合。优选的钨盐包括钨酸盐,例如钨酸铵或钨酸四甲基铵。优选的钨盐包括钨酸铵。如果要沉积含镍的覆盖材料,则无电沉积溶液包括镍盐。镍盐的例子包括氯化物、溴化物、氟化物、氟硼酸盐、碘化物、硝酸盐、硫酸盐、羰基物、金属酸盐及其组合。
当选择的覆盖材料包含磷时,例如CoP、CoWP或CoWPB,则还原剂优选为包括磷化合物,例如次磷酸钠。如果覆盖材料包括硼,例如CoB、CoWB、CoWPB,则还原剂通常包括硼化合物,例如硼氢化钠、二甲胺硼烷(DMAH)或其组合物。除了上述还原剂之外或作为选择的大还原剂,也可以使用其他的还原剂,例如联氨。
无电沉积溶液和/或衬底可以加热到约40℃到约85℃之间的温度。一方面,加热无电沉积溶液和/或衬底结构增加了无电沉积率。在一种实施例中,覆盖材料的沉积率约为100埃/分钟或更高,优选为约200埃/分钟或更高。在一种实施例中,覆盖材料沉积的厚度在约100埃到200埃之间,优选为约150埃。但是,重要的是将整个衬底上的温度维持在一个均匀的温度,因为众所周知无电处理的沉积率高度取决于温度。因此,可以使用图6所示的加热器612的环形带和/或温度受控的处理流体供应源。
一旦通过无电沉积处理形成了所需厚度的覆盖层,即可通过对衬底结构进行后沉积清洁而进行后沉积清洁步骤。后沉积通常在室418中进行,因此,衬底可以由头组件410传输到该处。在一种实施例中,后沉积清洁可以包括一种或多种酸(例如柠檬酸、HF和/或HCl)。后沉积清洁通常设置为除去介质层的暴露部分上存在的覆盖材料。
一旦后沉积清洁步骤结束,即可将衬底126从处理外壳402取出。这通常包括头组件410将衬底126传输到衬底梭408,以及主机机械手120进入外壳402并从梭408取下衬底126。
此后,主机机械手可以将衬底传输到处理室位置106、108之一用于斜边清洁处理,在该处可以通过向斜边缘提供刻蚀溶液对衬底126的斜边缘进行清洁以从其除去堆积的材料(常称为边珠)。刻蚀溶液的一个例子包括硫酸、过氧化氢和去离子水的溶液。刻蚀溶液的另一个例子包括硝酸溶液。在本发明的一种实施例中,处理室位置106、108不包括斜边清洁台,或者更确切地说,可以将如此处所述的可用于活化和沉积的另一个处理外壳定位在位置106、108。
一旦斜边清洁处理结束,则通常由主机机械手120将衬底126传输到处理室114、116之一,在该处进行衬底的清洗和干燥处理。在一种实施例中,可以在旋转清洗干燥室中对衬底结构进行干燥,在另一种实施例中,由蒸汽干燥室对衬底结构进行干燥。蒸汽干燥包括将减小表面张力的挥发性化合物(例如挥发性有机化合物(VOC))引入衬底结构。例如,可以用载运气体(例如氮气)将VOC引入粘附到衬底结构的液体附近。引入VOC引起表面张力梯度,它使液体流动离开衬底而使之干燥。在一种实施例中,VOC是异丙醇(IPA)。一个方面,由蒸汽干燥对衬底结构进行干燥减少了其他干燥方法在衬底上留下的水印的形成。
一旦衬底126得到清洗和干燥,则用机械手132将衬底126传输到退火台135。然后对衬底126进行退火以帮助将吸收进介质层或金属层的小孔中的任何水分或湿气除去。可以由电阻加热器或加热灯将衬底结构加热到约200℃到约350℃之间的温度。
尽管前述针对的是本发明的实施例,但是在不脱离本发明基本范围的情况下,可以设计出其他的以及进一步的实施例,本发明的范围由所附权利要求来确定。

Claims (22)

1.一种用于半导体处理的流体沉积系统,包括:
主机,所述主机具有定位在其上的衬底传输机械手;以及
定位在所述主机上并且对于所述衬底传输机械手是可操作的至少两个衬底处理外壳,每个所述衬底处理外壳具有定位在其中的至少一个衬底流体处理室。
2.根据权利要求1所述的流体沉积系统,其中,所述至少一个衬底流体处理室包括定位在所述衬底处理外壳中的彼此邻近的无电流体活化室和无电流体沉积室。
3.根据权利要求2所述的流体沉积系统,还包括定位在所述无电流体活化室与所述无电流体沉积室之间的衬底传输梭,所述衬底传输梭设置为将衬底在所述无电流体活化室与所述无电流体沉积室之间传输。
4.根据权利要求2所述的流体沉积系统,其中,所述无电流体活化室和所述无电流体沉积室各包括衬底支撑元件,所述衬底支撑元件设置为在相应室中的流体处理期间支撑衬底,所述衬底支撑元件中具有温度控制组件。
5.根据权利要求4所述的流体沉积系统,其中,所述温度控制组件包括定位在所述衬底支撑元件中的多个独立控制的加热元件。
6.根据权利要求1所述的流体沉积系统,还包括与所述衬底处理外壳流体连接的处理气源和处理气体排出系统。
7.根据权利要求1所述的流体沉积系统,还包括定位在所述主机上的衬底清洁室。
8.根据权利要求6所述的流体沉积系统,还包括系统控制器,所述系统控制器设置为对所述处理气源和所述处理气体排出系统进行控制,以在所述衬底处理外壳中产生小于约100ppm的氧气含量。
9.根据权利要求6所述的流体沉积系统,其中,所述处理气源包括氮气源、氦气源、氩气源和氢气源中的至少一个。
10.根据权利要求1所述的流体沉积系统,还包括定位成与所述主机相连的退火台。
11.一种无电流体处理组件,包括:
定位在衬底处理系统上的衬底处理外壳,所述衬底处理外壳具有操作端口,所述操作端口设置为使得可以由外部机械手对所述处理外壳的内部部分进行操作;
定位在所述处理外壳的所述内部部分的无电活化室;
定位在所述处理外壳的所述内部部分的无电沉积室;
定位在所述处理外壳的所述内部部分中、所述无电活化室与所述无电沉积室之间的衬底传输梭。
12.根据权利要求11所述的无电流体处理组件,还包括:
与所述处理外壳的所述内部部分有选择性流体连接的处理气体供应;
与所述处理外壳的所述内部部分有选择性流体连接的处理气体排出系统;以及
与所述处理气体供应和所述处理气体排出系统电连接的控制器。
13.根据权利要求12所述的无电流体处理组件,其中,所述控制器设置为对所述处理气体供应和所述处理气体排出系统进行协同控制,以在衬底处理期间在所述处理外壳的所述内部部分中产生小于约100ppm的氧气含量。
14.根据权利要求11所述的无电流体处理组件,其中,所述无电活化室与所述无电沉积室中的至少一个包括加热的衬底支撑元件。
15.根据权利要求14所述的无电流体处理组件,其中,所述加热的衬底支撑元件包括设置为支撑衬底以便处理的基本平面的衬底支撑压板,所述压板具有定位在其中的多个独立控制的加热源。
16.根据权利要求11所述的无电流体处理组件,还包括定位成与所述衬底处理系统相连的退火台。
17.一种用于在半导体衬底的层上无电沉积金属的方法,包括:
将衬底定位于设在衬底处理外壳中的流体处理室中;
同时地使处理气体流入所述衬底处理外壳和将所述处理气体从所述衬底处理外壳排出,以在所述衬底处理外壳中产生小于约100ppm的氧气含量;
将活化溶液分配到所述流体处理室中的所述衬底上;
将无电沉积溶液分配到所述流体处理室中的所述衬底上;以及
从所述衬底处理外壳取出所述衬底。
18.根据权利要求17所述的方法,其中,分配活化溶液还包括:
将清洁溶液在所述活化溶液之前分配到所述衬底上;
将清洗溶液在所述活化溶液之后分配到所述衬底上。
19.根据权利要求17所述的方法,其中,将无电沉积溶液分配到所述衬底上还包括在所述活化溶液之后将清洁溶液和清洗溶液中至少一个分配到所述衬底上。
20.根据权利要求17所述的方法,还包括在分配所述活化溶液和分配所述无电沉积溶液中至少一个的过程中用加热的衬底支撑元件支撑所述衬底,以在相应的分配过程中控制所述衬底的温度。
21.根据权利要求17所述的方法,其中,分配所述活化溶液包括将所述衬底定位于设在所述衬底处理外壳中的无电活化室中。
22.根据权利要求21所述的方法,其中,分配所述无电沉积溶液包括将所述衬底定位于无电沉积室中,所述无电沉积室定位在所述衬底处理外壳中的所述无电活化室附近。
CNA2004800300528A 2003-10-15 2004-10-15 用于无电沉积的装置 Pending CN1922344A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US51123603P 2003-10-15 2003-10-15
US60/511,236 2003-10-15

Publications (1)

Publication Number Publication Date
CN1922344A true CN1922344A (zh) 2007-02-28

Family

ID=34465201

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2004800300528A Pending CN1922344A (zh) 2003-10-15 2004-10-15 用于无电沉积的装置

Country Status (7)

Country Link
US (2) US7465358B2 (zh)
EP (1) EP1685280A2 (zh)
JP (1) JP4875492B2 (zh)
KR (1) KR20060097029A (zh)
CN (1) CN1922344A (zh)
TW (1) TWI368665B (zh)
WO (1) WO2005038094A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009114965A1 (en) * 2008-03-19 2009-09-24 Acm Research (Shanghai) Inc. Electrochemical deposition system
CN101294291B (zh) * 2007-04-27 2011-12-14 环太铜业株式会社 电解淀积金属的移载装置
CN106024660A (zh) * 2015-03-31 2016-10-12 朗姆研究公司 使用无电沉积以突出杂质、残留和不完全导孔蚀刻
CN109314070A (zh) * 2016-07-01 2019-02-05 卡本有限公司 用于旋涂多层薄膜的具有液体保存特征的方法和系统

Families Citing this family (201)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US6884145B2 (en) * 2002-11-22 2005-04-26 Samsung Austin Semiconductor, L.P. High selectivity slurry delivery system
US7323058B2 (en) 2004-01-26 2008-01-29 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
KR20060129410A (ko) * 2004-01-26 2006-12-15 어플라이드 머티어리얼스, 인코포레이티드 반도체 기판 상의 금속 무전해 증착 장치
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
WO2005105322A1 (ja) * 2004-04-28 2005-11-10 Ebara Corporation 基板処理ユニット及び基板処理装置
US8485120B2 (en) 2007-04-16 2013-07-16 Lam Research Corporation Method and apparatus for wafer electroless plating
US8844461B2 (en) * 2007-04-16 2014-09-30 Lam Research Corporation Fluid handling system for wafer electroless plating and associated methods
US8069813B2 (en) * 2007-04-16 2011-12-06 Lam Research Corporation Wafer electroless plating system and associated methods
US7829152B2 (en) * 2006-10-05 2010-11-09 Lam Research Corporation Electroless plating method and apparatus
US20060292846A1 (en) * 2004-09-17 2006-12-28 Pinto Gustavo A Material management in substrate processing
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
US8882914B2 (en) * 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7749881B2 (en) * 2005-05-18 2010-07-06 Intermolecular, Inc. Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US7390739B2 (en) * 2005-05-18 2008-06-24 Lazovsky David E Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US20060060301A1 (en) * 2004-09-17 2006-03-23 Lazovsky David E Substrate processing using molecular self-assembly
WO2006058034A2 (en) * 2004-11-22 2006-06-01 Intermolecular, Inc. Molecular self-assembly in substrate processing
US7879710B2 (en) * 2005-05-18 2011-02-01 Intermolecular, Inc. Substrate processing including a masking layer
JP2006241580A (ja) * 2005-03-07 2006-09-14 Ebara Corp 基板処理方法及び基板処理装置
TWI343840B (en) * 2005-07-06 2011-06-21 Applied Materials Inc Apparatus for electroless deposition of metals onto semiconductor substrates
US7410899B2 (en) * 2005-09-20 2008-08-12 Enthone, Inc. Defectivity and process control of electroless deposition in microelectronics applications
US8776717B2 (en) 2005-10-11 2014-07-15 Intermolecular, Inc. Systems for discretized processing of regions of a substrate
US7955436B2 (en) * 2006-02-24 2011-06-07 Intermolecular, Inc. Systems and methods for sealing in site-isolated reactors
US7902063B2 (en) 2005-10-11 2011-03-08 Intermolecular, Inc. Methods for discretized formation of masking and capping layers on a substrate
KR100859259B1 (ko) * 2005-12-29 2008-09-18 주식회사 엘지화학 캡층 형성을 위한 코발트 계열 합금 무전해 도금 용액 및이를 이용하는 무전해 도금 방법
WO2007095194A2 (en) * 2006-02-10 2007-08-23 Intermolecular, Inc. Method and apparatus for combinatorially varying materials, unit process and process sequence
US8772772B2 (en) * 2006-05-18 2014-07-08 Intermolecular, Inc. System and method for increasing productivity of combinatorial screening
US20070190788A1 (en) * 2006-02-15 2007-08-16 Manoj Balachandran Wafer removing force reduction on cmp tool
US8127395B2 (en) * 2006-05-05 2012-03-06 Lam Research Corporation Apparatus for isolated bevel edge clean and method for using the same
US8322299B2 (en) * 2006-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster processing apparatus for metallization processing in semiconductor manufacturing
US7704306B2 (en) * 2006-10-16 2010-04-27 Enthone Inc. Manufacture of electroless cobalt deposition compositions for microelectronics applications
US8011317B2 (en) * 2006-12-29 2011-09-06 Intermolecular, Inc. Advanced mixing system for integrated tool having site-isolated reactors
US20080279672A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods of stack to array work-piece transfer for photovoltaic factory
US20080279658A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods within equipment work-piece transfer for photovoltaic factory
US7496423B2 (en) * 2007-05-11 2009-02-24 Applied Materials, Inc. Method of achieving high productivity fault tolerant photovoltaic factory with batch array transfer robots
US20080292433A1 (en) * 2007-05-11 2008-11-27 Bachrach Robert Z Batch equipment robots and methods of array to array work-piece transfer for photovoltaic factory
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US20090155468A1 (en) * 2007-12-17 2009-06-18 Enthone Inc. Metrology in electroless cobalt plating
WO2009110515A1 (ja) * 2008-03-04 2009-09-11 エウレカ・ラボ株式会社 溶存水素と溶存酸素との反応の評価方法および溶存水素による水中の活性酸素消去能の評価方法
US7810697B2 (en) * 2008-08-22 2010-10-12 Honda Motor Co., Ltd. Turntable welding system with light curtain protection
US20100062164A1 (en) * 2008-09-08 2010-03-11 Lam Research Methods and Solutions for Preventing the Formation of Metal Particulate Defect Matter Upon a Substrate After a Plating Process
US8703546B2 (en) * 2010-05-20 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Activation treatments in plating processes
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9752231B2 (en) 2012-05-11 2017-09-05 Lam Research Corporation Apparatus for electroless metal deposition having filter system and associated oxygen source
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9068272B2 (en) * 2012-11-30 2015-06-30 Applied Materials, Inc. Electroplating processor with thin membrane support
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
KR101278711B1 (ko) * 2013-02-14 2013-06-25 (주)티에스피에스 반도체 웨이퍼 도금 장치 및 이를 이용한 도금 방법
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9669552B2 (en) * 2013-05-20 2017-06-06 Varian Semiconductor Equipment Associates, Inc. System and method for quick-swap of multiple substrates
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9851337B2 (en) * 2013-12-06 2017-12-26 The University Of Akron Universal water condition monitoring device
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
JP6338904B2 (ja) * 2014-03-24 2018-06-06 株式会社Screenホールディングス 基板処理装置
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020196506A1 (ja) * 2019-03-28 2020-10-01 東京エレクトロン株式会社 基板処理装置および基板処理方法
US11752518B2 (en) 2021-06-03 2023-09-12 Sst Systems, Inc. Robot-centered coating system with multiple curing workstations
US20230061513A1 (en) * 2021-08-27 2023-03-02 Applied Materials, Inc. Systems and methods for adaptive troubleshooting of semiconductor manufacturing equipment

Family Cites Families (125)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3664354A (en) * 1968-11-12 1972-05-23 Udylite Corp Apparatus for processing workpieces
US3649509A (en) * 1969-07-08 1972-03-14 Buckbee Mears Co Electrodeposition systems
US3934054A (en) * 1969-08-25 1976-01-20 Electro Chemical Engineering Gmbh Electroless metal plating
US3900599A (en) * 1973-07-02 1975-08-19 Rca Corp Method of electroless plating
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US4397812A (en) 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4232060A (en) 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US4006047A (en) 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US3937857A (en) 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4265943A (en) 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
JPS6016517B2 (ja) * 1979-12-29 1985-04-25 上村工業株式会社 無電解めつき制御方法
US4276323A (en) * 1979-12-21 1981-06-30 Hitachi, Ltd. Process for controlling of chemical copper plating solution
IT1130955B (it) 1980-03-11 1986-06-18 Oronzio De Nora Impianti Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti
US4368223A (en) 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
US4684545A (en) * 1986-02-10 1987-08-04 International Business Machines Corporation Electroless plating with bi-level control of dissolved oxygen
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
US4868071A (en) 1987-02-24 1989-09-19 Polyonics Corporation Thermally stable dual metal coated laminate products made from textured polyimide film
US4810520A (en) 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US4808431A (en) * 1987-12-08 1989-02-28 International Business Machines Corp. Method for controlling plating on seeded surfaces
US4922277A (en) * 1988-11-28 1990-05-01 The United States Of America As Represented By The Secretary Of The Air Force Silicon wafer photoresist developer
US4998068A (en) * 1989-05-16 1991-03-05 In-Situ, Inc. Bias current control for providing accurate potentiometric measurements
US5172332A (en) * 1989-12-22 1992-12-15 American Sigma, Inc. Automatic fluid sampling and monitoring apparatus and method
US5147692A (en) 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
JP2787142B2 (ja) 1991-03-01 1998-08-13 上村工業 株式会社 無電解錫、鉛又はそれらの合金めっき方法
US5203911A (en) 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US5240497A (en) 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
US5380560A (en) 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
WO1995002900A1 (en) 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
US5468597A (en) 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5368718A (en) * 1993-09-13 1994-11-29 Enthone-Omi, Inc. Electrowinning of direct metallization accelerators
US5384284A (en) 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5488984A (en) * 1994-06-03 1996-02-06 A. O. Smith Corporation Electric motor rotor lamination treatment to prevent rotor soldering
US6197364B1 (en) 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US5910340A (en) 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5648125A (en) 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
US5733816A (en) 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
JPH11510219A (ja) 1995-12-19 1999-09-07 エフエスアイ インターナショナル インコーポレイテッド スプレー・プロセッサを用いる金属膜の無電解めっき
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5798940A (en) * 1996-07-05 1998-08-25 Bratton; Wes In situ oxidation reduction potential measurement of soils and ground water
US5904827A (en) 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5830805A (en) 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
DE19700231C2 (de) 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Vorrichtung zum Filtern und Trennen von Strömungsmedien
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US5885749A (en) 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5933757A (en) 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6113769A (en) * 1997-11-21 2000-09-05 International Business Machines Corporation Apparatus to monitor and add plating solution of plating baths and controlling quality of deposited metal
US6077780A (en) 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
JPH11226387A (ja) * 1998-02-13 1999-08-24 Karasawa Fine:Kk 流体による処理方法および装置
US6171661B1 (en) 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
DE69929607T2 (de) 1998-06-30 2006-07-27 Semitool, Inc., Kalispell Metallisierungsstrukturen für mikroelektronische anwendungen und verfahren zur herstellung dieser strukturen
US6436816B1 (en) 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6165912A (en) 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
JP2000124156A (ja) * 1998-10-12 2000-04-28 Sony Corp 半導体製造装置
US6180523B1 (en) 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6107199A (en) 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
US20040065540A1 (en) * 2002-06-28 2004-04-08 Novellus Systems, Inc. Liquid treatment using thin liquid layer
JP3064268B2 (ja) 1998-10-29 2000-07-12 アプライド マテリアルズ インコーポレイテッド 成膜方法及び装置
US6251236B1 (en) 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6267853B1 (en) * 1999-07-09 2001-07-31 Applied Materials, Inc. Electro-chemical deposition system
US6228233B1 (en) 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6015747A (en) 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6010962A (en) 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6144099A (en) 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6110530A (en) 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6516815B1 (en) 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6432819B1 (en) 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6632335B2 (en) * 1999-12-24 2003-10-14 Ebara Corporation Plating apparatus
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
JP2001355074A (ja) 2000-04-10 2001-12-25 Sony Corp 無電解メッキ処理方法およびその装置
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
US6436267B1 (en) 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features
JP3883802B2 (ja) * 2000-10-26 2007-02-21 株式会社荏原製作所 無電解めっき装置
US6291348B1 (en) 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
EP1405336A2 (en) * 2000-12-04 2004-04-07 Ebara Corporation Substrate processing method
JP3772973B2 (ja) * 2000-12-11 2006-05-10 株式会社荏原製作所 無電解めっき装置
JP3707394B2 (ja) * 2001-04-06 2005-10-19 ソニー株式会社 無電解メッキ方法
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
JP2004533123A (ja) 2001-06-14 2004-10-28 マトソン テクノロジー インコーポレーテッド 銅接続用の障壁エンハンスメント工程
JP4010791B2 (ja) * 2001-08-10 2007-11-21 株式会社荏原製作所 無電解めっき装置及び無電解めっき方法
TW554069B (en) 2001-08-10 2003-09-21 Ebara Corp Plating device and method
CN1329972C (zh) 2001-08-13 2007-08-01 株式会社荏原制作所 半导体器件及其制造方法
US6605874B2 (en) 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
US20030116439A1 (en) 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6913651B2 (en) 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
US20030190426A1 (en) 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6616967B1 (en) 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US6528409B1 (en) 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6699380B1 (en) * 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
JP4245996B2 (ja) 2003-07-07 2009-04-02 株式会社荏原製作所 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置
JP4644676B2 (ja) 2003-10-06 2011-03-02 アプライド マテリアルズ インコーポレイテッド フェイスアップウェット処理用のウェーハ温度均一性を改善する装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101294291B (zh) * 2007-04-27 2011-12-14 环太铜业株式会社 电解淀积金属的移载装置
WO2009114965A1 (en) * 2008-03-19 2009-09-24 Acm Research (Shanghai) Inc. Electrochemical deposition system
CN106024660A (zh) * 2015-03-31 2016-10-12 朗姆研究公司 使用无电沉积以突出杂质、残留和不完全导孔蚀刻
CN106024660B (zh) * 2015-03-31 2019-03-22 朗姆研究公司 使用无电沉积以突出杂质、残留和不完全导孔蚀刻
CN109314070A (zh) * 2016-07-01 2019-02-05 卡本有限公司 用于旋涂多层薄膜的具有液体保存特征的方法和系统
CN109314070B (zh) * 2016-07-01 2022-10-18 卡本有限公司 用于旋涂多层薄膜的具有液体保存特征的方法和系统

Also Published As

Publication number Publication date
EP1685280A2 (en) 2006-08-02
WO2005038094A2 (en) 2005-04-28
US20050081785A1 (en) 2005-04-21
KR20060097029A (ko) 2006-09-13
JP2007509236A (ja) 2007-04-12
TWI368665B (en) 2012-07-21
US20050084615A1 (en) 2005-04-21
JP4875492B2 (ja) 2012-02-15
TW200523391A (en) 2005-07-16
WO2005038094A3 (en) 2005-08-25
US7465358B2 (en) 2008-12-16
US7341633B2 (en) 2008-03-11

Similar Documents

Publication Publication Date Title
CN1922344A (zh) 用于无电沉积的装置
US6969456B2 (en) Method of using vertically configured chamber used for multiple processes
JP4067307B2 (ja) 回転保持装置
US6790763B2 (en) Substrate processing method
KR101246838B1 (ko) 반도체 기판들 상으로의 금속들의 무전해 증착을 위한 장치
WO2001048800A1 (fr) Procede et appareil de traitement de tranche de semi-conducteur
WO2006081290A2 (en) Apparatus for electroless deposition of metals onto semiconductor substrates
JP2003147538A (ja) 基板処理装置及び方法
JP2006501360A (ja) 無電界メッキシステム
US7323058B2 (en) Apparatus for electroless deposition of metals onto semiconductor substrates
US20050236268A1 (en) Substrate processing apparatus
WO2007016218A2 (en) Integrated electroless deposition system
KR20140138161A (ko) 도금 처리 방법, 도금 처리 시스템 및 기억 매체
CN1246494C (zh) 喷镀装置
JP2007531322A (ja) 互いに適合性を有する薬剤を用いた基板のブラシスクラブおよび近接洗浄乾燥手順、近接基板前処理手順、並びに、その方法、装置、および、システム
JP2008013851A (ja) 回転保持装置及び半導体基板処理装置
US8011116B2 (en) Substrate proximity drying using in-situ local heating of substrate
JP2003193246A (ja) 無電解めっきの前処理方法及び前処理液
TW201925530A (zh) 基板液處理裝置,基板液處理方法及記錄媒體
KR20220148247A (ko) 기판 처리 장치 및 기판 처리 방법
KR20170069938A (ko) 기판 처리 장치, 기판 처리 방법 및 기억매체
JP2004015028A (ja) 基板処理方法及び半導体装置
JP2018133560A (ja) 半導体基板を電気化学的に処理するための装置
JP2004052108A (ja) 基板処理装置
KR20220136385A (ko) 기판 처리 방법 및 기판 처리 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20070228