CN106024660A - 使用无电沉积以突出杂质、残留和不完全导孔蚀刻 - Google Patents

使用无电沉积以突出杂质、残留和不完全导孔蚀刻 Download PDF

Info

Publication number
CN106024660A
CN106024660A CN201610176740.XA CN201610176740A CN106024660A CN 106024660 A CN106024660 A CN 106024660A CN 201610176740 A CN201610176740 A CN 201610176740A CN 106024660 A CN106024660 A CN 106024660A
Authority
CN
China
Prior art keywords
substrate
etch
metallicity
metal
metal impurities
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610176740.XA
Other languages
English (en)
Other versions
CN106024660B (zh
Inventor
拉里·赵
阿图·克里克斯
普拉文·纳拉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN106024660A publication Critical patent/CN106024660A/zh
Application granted granted Critical
Publication of CN106024660B publication Critical patent/CN106024660B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemically Coating (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明涉及使用无电沉积以突出杂质、残留和不完全导孔蚀刻。一种用于检测图案化衬底上的杂质的方法包括:在衬底上进行通孔蚀刻操作,其中所述通孔蚀刻操作被配置为限定衬底上的通孔特征并暴露在通孔特征的底部的蚀刻终止层;在衬底上进行蚀刻终止去除操作,其中所述蚀刻终止去除操作被配置为用于除去通孔特征的底部的蚀刻终止层,以暴露在蚀刻终止层下的金属特征;将无电沉积溶液施加在衬底上,所施加的无电沉积溶液被配置为用于在所暴露的金属特征上以及在衬底的所暴露的表面上的金属杂质上选择性地沉积金属材料,所述金属杂质是在蚀刻终止去除操作过程中由金属特征产生的;在衬底上进行检测操作以确定已经沉积有金属材料的金属杂质。

Description

使用无电沉积以突出杂质、残留和不完全导孔蚀刻
技术领域
本发明总体上涉及半导体制造,并且更具体地,涉及使用无电沉积作为计量工具来突出杂质、残留和不完全通孔蚀刻以用于检测目的。
背景技术
集成电路使用导电互连以将在半导体衬底上的各个装置有线连接在一起,或以从外部与所述集成电路通信。用于通孔和沟槽的互连金属可包括铝合金和铜。在双镶嵌工艺中,沟槽和孔(用于触头和通孔)被蚀刻在电介质中,然后用阻隔材料例如钽(Ta)、氮化钽(TaN)或这两种膜的组合作为衬里,接着沉积铜晶种层,电镀铜,使用CMP使铜平坦化,并且然后沉积电介质叠层,例如SiC/低k/SiC。
由于当铜被暴露在空气中时易于在铜上形成氧化物,所以在用SiC封盖铜之前需要适当的CMP后清洁和除去氧化铜,以确保铜与SiC之间的良好附着力。在SiC沉积之前除去氧化铜对于良好的EM性能和降低所得金属的电阻率而言是至关重要的。在SiC电介质阻隔层之前,可以用例如CoWP(钴钨磷化物)、CoWB(钴钨硼化物)或CoWBP(钴钨硼磷化物)等钴合金的覆盖层封盖铜,以与铜上的SiC相比较而言提高电迁移(electro-migration)性能。
金属杂质、有机残留物和不完全通孔蚀刻是导致半导体制造中产率和可靠性问题的主要问题中的一些。然而,目前的计量工具不足以用于检测图案化晶片上的这些问题。
全反射x射线荧光(TXRF)被用作用于检测原晶片(blanket wafer)上的杂质的标准计量工具。然而,TXRF不适合在图案化晶片上使用,因为TXRF提供来自金属的信号信息,但不提供关于信号的源的精确信息。因此,当通过TXRF检测时,表面上的金属杂质将会与图案化晶片表面下的金属不能区分。
自上而下扫描电子显微镜(SEM)是用于检测晶片的另一种工具。然而,金属杂质可能太小以至于自上而下SEM看不到。该蚀刻过程可产生有机残留物,并且水平低,这些残留物可能不会被自上而下SEM检测到。如果这样的残留物位于通孔底部,那么它们将不会被自上而下SEM看到。目前,没有用于检测通孔/触头的不完全蚀刻或检测通孔/触头底部的残留物的技术。
在此背景下,产生了本发明的实施方式。
发明内容
本发明公开了使用无电沉积作为计量工具突出杂质、残留物和不完全通孔蚀刻以用于检测目的的方法和系统。
本发明公开内容的实施方式使得能在双镶嵌图案化之后突出和检测晶片表面上的金属杂质以及还指示这些金属杂质出现的位置。在无杂质的晶片上,预计例如Co之类金属的选择性沉积仅在通孔区域中生长,因为每个通孔下部的金属提供用于无电沉积的成核位点。然而,如果存在杂质的话,沉积也将发生在例如沟槽或场等其他位置中。因此,根据本文公开的实施方式,无电沉积被用于扩大/突出/点缀杂质位置,使它们在临界尺寸SEM(CD-SEM)下容易看到。可以产生晶片图以显示杂质位置。
本公开内容的实施方式还使得能在双镶嵌图案化之后突出和检测通孔底部的不完全蚀刻通孔或残留物。通孔底部的不完全蚀刻通孔或残留物将阻止无电沉积过程在通孔中沉积金属,这也可以使用CD-SEM容易地检测。
在一个实施方式中,提供了用于检测图案化衬底上的杂质的方法,其包括:在衬底上进行通孔蚀刻操作,其中所述通孔蚀刻操作被配置为限定衬底上的通孔特征并暴露通孔特征底部的蚀刻终止层;在衬底上进行蚀刻终止去除操作,其中所述蚀刻终止去除操作被配置为用于除去通孔特征的底部的蚀刻终止层,以暴露蚀刻终止层下部的金属特征;将无电沉积溶液施加在衬底上,所施加的无电沉积溶液被配置为用于在所暴露的金属特征上以及在衬底的暴露的表面上的金属杂质上选择性地沉积金属材料,所述金属杂质是在蚀刻终止去除操作过程中由金属特征产生的;在衬底上进行检测操作以确定已经沉积有金属材料的金属杂质。
在一个实施方式中,所述方法进一步包括:在蚀刻终止去除操作之后且在施加无电沉积溶液之前在衬底上进行清洁操作,其中所述清洁操作被配置为在蚀刻终止去除操作之后用于除去衬底的所暴露的表面的金属杂质;其中所施加的无电沉积溶液被配置为用于在于清洁操作之后保留在所述衬底的所暴露的表面上的金属杂质上选择性地沉积。
在一个实施方式中,金属杂质在施加无电沉积溶液之前不能通过检测操作检测到,并且其中金属杂质限定用于金属材料的选择性沉积的成核位点,其中在所述金属杂质上的金属材料的选择性沉积使得金属杂质的尺寸扩大,从而通过检测操作能检测到。
在一个实施方式中,在衬底上进行检测操作包括将临界尺寸扫描电子显微镜(CD-SEM)技术应用在衬底上以生成图像,并分析该图像以确定已经沉积有金属材料的金属杂质。
在一个实施方式中,进行检测操作进一步包括限定检测模板,所述检测模板被配置为确定图像的包括通孔特征的区域和图像的不包括通孔特征的区域,对图像的不包括通孔特征的区域进行分析以确定金属杂质。
在一个实施方式中,检测操作进一步被配置为确定通孔特征底部是否存在堵塞,所述堵塞至少部分地阻止无电沉积溶液将金属材料沉积在金属特征上。
在一个实施方式中,在衬底上进行检测操作包括将临界尺寸扫描电子显微镜(CD-SEM)技术应用在衬底上以生成图像,并分析所述图像以确定通孔特征的底部的金属特征上的金属材料的沉积量,金属特征上的金属材料的沉积量指示通孔特征的底部是否存在堵塞。
在一个实施方式中,通孔特征底部的堵塞是由于一种或更多种有机残留物或通孔特征的不完全蚀刻造成的。
在一个实施方式中,金属特征和由金属特征产生的金属杂质被铜金属限定。
在一个实施方式中,金属材料被含有钴、铜、镍和/或钯中的一种或更多种的金属或合金限定。
在另一个实施方式中,提供了一种用于检测图案化衬底上的杂质的方法,其包括:在衬底上进行通孔蚀刻操作,其中所述通孔蚀刻操作被配置为限定在衬底上的多个通孔特征,并且暴露在每个通孔特征的底部的蚀刻终止层;在衬底上进行蚀刻终止去除操作,其中所述蚀刻终止去除操作被配置为用于去除每个通孔特征的底部的蚀刻终止层,以暴露在蚀刻终止层下部的金属特征;将无电沉积溶液施加在衬底上,所施加的无电沉积溶液被配置为在所暴露的金属特征上以及在衬底的所暴露的表面上的金属杂质上选择性地沉积金属材料,所述金属杂质是在蚀刻终止去除操作过程中从金属特征产生的;在衬底上进行检测操作以确定已经沉积有金属材料的金属杂质,其中所述检测操作进一步被配置为确定在通孔特征中的一个或更多个的底部是否存在堵塞,所述堵塞至少部分地阻止无电沉积溶液在金属特征上沉积金属材料。
在一个实施方式中,所述方法进一步包括:在蚀刻终止去除操作之后且在施加无电沉积溶液之前在衬底上进行清洁操作,其中所述清洁操作被配置为在蚀刻终止去除操作之后用于除去衬底的所暴露的表面的金属杂质;其中所施加的无电沉积溶液被配置为用于在于清洁操作之后保留在衬底的所暴露的表面上的金属杂质上选择性地沉积。
在一个实施方式中,金属杂质在施加无电沉积溶液之前不能通过检测操作检测到,并且其中金属杂质限定用于金属材料的选择性沉积的成核位点,其中在所述金属杂质上的所述金属材料的所述选择性沉积使得金属杂质的尺寸扩大,从而通过检测操作能检测到。
在一个实施方式中,在衬底上进行检测操作包括将临界尺寸扫描电子显微镜(CD-SEM)技术应用在衬底上以生成图像,并分析该图像以确定已经沉积金属材料的金属杂质,并进一步分析所述图像以确定通孔特征的底部的金属特征上的金属材料的沉积量,在金属特征上的金属材料的沉积量指示通孔特征的底部是否存在堵塞。
在一个实施方式中,进行检测操作进一步包括限定检测模板,所述检测模板被配置为确定图像的包括通孔特征的区域和图像的不包括通孔特征的区域,对图像的包括通孔特征的区域进行分析以确定是否存在堵塞,并且对图像的不包括通孔特征的区域进行分析以确定金属杂质。
在一个实施方式中,通孔特征的底部的堵塞是由于一种或更多种有机残留物或通孔特征的不完全蚀刻造成的。
在一个实施方式中,其中金属特征和由金属特征产生的金属杂质被铜金属限定;并且其中金属材料被含有钴、铜、镍和/或钯中的一种或更多种的金属或合金限定。
具体而言,本发明的一些方面可以描述如下:
1.一种用于检测图案化衬底上的杂质的方法,其包括:
在衬底上进行通孔蚀刻操作,其中所述通孔蚀刻操作被配置为限定所述衬底上的通孔特征并暴露所述通孔特征的底部的蚀刻终止层;
在所述衬底上进行蚀刻终止去除操作,其中所述蚀刻终止去除操作被配置为用于除去所述通孔特征的所述底部的所述蚀刻终止层,以暴露在所述蚀刻终止层下部的金属特征;
将无电沉积溶液施加在所述衬底上,所施加的所述无电沉积溶液被配置为用于在所暴露的所述金属特征上以及在所述衬底的所暴露的表面上的金属杂质上选择性地沉积金属材料,所述金属杂质是在所述蚀刻终止去除操作过程中由所述金属特征产生的;
在所述衬底上进行检测操作以确定已经沉积有所述金属材料的金属杂质。
2.根据条款1所述的方法,其进一步包括:
在所述蚀刻终止去除操作之后且在施加所述无电沉积溶液之前在所述衬底上进行清洁操作,其中所述清洁操作被配置为用于在所述蚀刻终止去除操作之后从所述衬底的所暴露的表面除去所述金属杂质;
其中所施加的所述无电沉积溶液被配置为用于在于所述清洁操作之后保留在所述衬底的所暴露的表面上的所述金属杂质上选择性地沉积。
3.根据条款1所述的方法,其中所述金属杂质在施加所述无电沉积溶液之前不能通过检测操作检测到,并且其中所述金属杂质限定用于所述金属材料的选择性沉积的成核位点,其中在所述金属杂质上的所述金属材料的所述选择性沉积使得所述金属杂质的尺寸扩大,从而通过检测操作能检测到。
4.根据条款1所述的方法,其中在所述衬底上进行所述检测操作包括将临界尺寸扫描电子显微镜(CD-SEM)技术应用在所述衬底上以生成图像,并分析该图像以确定已经沉积有所述金属材料的所述金属杂质。
5.根据条款4所述的方法,其中进行所述检测操作进一步包括限定检测模板,所述检测模板被配置为确定所述图像的包括所述通孔特征的区域和所述图像的不包括所述通孔特征的区域,对所述图像的不包括所述通孔特征的区域进行分析以确定所述金属杂质。
6.根据条款1所述的方法,其中所述检测操作进一步被配置为确定所述通孔特征的所述底部是否存在堵塞,所述堵塞至少部分地阻止所述无电沉积溶液将所述金属材料沉积在所述金属特征上。
7.根据条款6所述的方法,其中在所述衬底上进行所述检测操作包括将临界尺寸扫描电子显微镜(CD-SEM)技术应用在所述衬底上以生成图像,并分析该图像以确定在所述通孔特征的所述底部的所述金属特征上的所述金属材料的沉积量,所述金属特征上的所述金属材料的所述沉积量指示所述通孔特征的所述底部是否存在所述堵塞。
8.根据条款6所述的方法,其中所述通孔特征的所述底部的所述堵塞是由于一种或更多种有机残留物或所述通孔特征的不完全蚀刻造成的。
9.根据条款1所述的方法,其中所述金属特征和由所述金属特征产生的所述金属杂质被铜金属限定。
10.根据条款1所述的方法,其中所述金属材料被含有钴、铜、镍和/或钯中的一种或更多种的金属或合金限定。
11.一种用于检测图案化衬底上的杂质的方法,其包括:
在衬底上进行通孔蚀刻操作,其中所述通孔蚀刻操作被配置为限定所述衬底上的多个通孔特征,并且暴露每个通孔特征的底部的蚀刻终止层;
在所述衬底上进行蚀刻终止去除操作,其中所述蚀刻终止去除操作被配置为用于去除每个通孔特征的所述底部的所述蚀刻终止层,以暴露在所述蚀刻终止层下部的金属特征;
将无电沉积溶液施加在所述衬底上,所施加的所述无电沉积溶液被配置为在所暴露的所述金属特征上以及在所述衬底的所暴露的表面上的金属杂质上选择性地沉积金属材料,所述金属杂质是在所述蚀刻终止去除操作过程中由所述金属特征产生的;
在所述衬底上进行检测操作以确定已经沉积有所述金属材料的所述金属杂质,其中所述检测操作进一步被配置为确定所述通孔特征中的一个或更多个的底部是否存在堵塞,所述堵塞至少部分地阻止所述无电沉积溶液在所述金属特征上沉积所述金属材料。
12.根据条款11所述的方法,其进一步包括:
在所述蚀刻终止去除操作之后且在施加所述无电沉积溶液之前在所述衬底上进行清洁操作,其中所述清洁操作被配置为用于在所述蚀刻终止去除操作之后从所述衬底的所暴露的表面除去所述金属杂质;
其中所施加的所述无电沉积溶液被配置为用于在于所述清洁操作之后保留在所述衬底的所暴露的表面上的所述金属杂质上选择性地沉积。
13.根据条款11所述的方法,其中所述金属杂质在施加所述无电沉积溶液之前不能通过所述检测操作检测到,并且其中所述金属杂质限定用于所述金属材料的选择性沉积的成核位点,其中在所述金属杂质上的所述金属材料的所述选择性沉积使得金属杂质的尺寸扩大,从而通过所述检测操作能检测到。
14.根据条款11所述的方法,其中在所述衬底上进行所述检测操作包括将临界尺寸扫描电子显微镜(CD-SEM)技术应用在所述衬底以生成图像,并分析该图像以确定已经沉积有所述金属材料的所述金属杂质,并进一步分析所述图像以确定所述通孔特征的底部的所述金属特征上的所述金属材料的沉积量,所述金属特征上的所述金属材料的所述沉积量指示所述通孔特征的所述底部是否存在所述堵塞。
15.根据条款14所述的方法,其中进行所述检测操作进一步包括限定检测模板,所述检测模板被配置为确定所述图像的包括所述通孔特征的区域和所述图像的不包括所述通孔特征的区域,对所述图像的包括所述通孔特征的区域进行分析以确定是否存在所述堵塞,并且对所述图像的不包括所述通孔特征的区域进行分析以确定所述金属杂质。
16.根据条款11所述的方法,其中所述通孔特征的所述底部的所述堵塞是由于一种或更多种有机残留物或所述通孔特征的不完全蚀刻造成的。
17.根据条款11所述的方法,
其中所述金属特征和由所述金属特征产生的所述金属杂质被铜金属限定;并且
其中所述金属材料被含有钴、铜、镍和/或钯中的一种或更多种的金属或合金限定。
附图说明
参照以下描述并结合附图可最好地理解本发明及其进一步的优点。
图1根据本发明的一实施方式示出了正进行通孔蚀刻操作的衬底的一部分的横截面图。
图2根据本发明的一实施方式示出了在蚀刻终止去除操作过程中的衬底100。
图3根据本发明的一实施方式示出了在衬底100上的无电沉积溶液300的施加。
图4A是根据本发明的实施方式的在施加用于突出金属杂质并检测通孔中的堵塞的无电沉积溶液之后的衬底表面的一部分的SEM图像。
图4B是根据本发明的实施方式的在施加用于突出金属杂质并检测通孔中的堵塞的无电沉积溶液之后的衬底表面的一部分的SEM图像。
图5A是根据本发明的实施方式的在施加用于突出金属杂质并检测通孔中的堵塞的无电沉积溶液之后的衬底表面的一部分的SEM图像。
图5B和5C分别根据图5A的实施方式示出了其中通孔被限定的特征区域502的一部分的逐步放大的视图。
图6A是根据本发明的一实施方式的在施加用于突出金属杂质并检测通孔中的堵塞的无电沉积溶液之后的衬底表面的一部分的SEM图像。
图6B和6C分别根据图6A的一实施方式示出了其中通孔被限定的特征区域602的一部分的逐步放大的视图。
图7根据本发明的一实施方式示意性示出了进行无电沉积操作的无电沉积系统。
图8根据本发明的一实施方式示出了临界尺寸扫描电子显微镜(CD-SEM)系统。
图9根据本发明的一实施方式示出了其中通孔蚀刻是不完全的衬底的表面的一部分。
图10A根据本发明的一实施方式示出了其中去除通孔底部的蚀刻终止层是不完全的衬底的表面的一部分。
图10B根据图10A的实施方式示出了在施加选择性无电沉积溶液之后的衬底的表面的一部分。
图11根据本发明的一实施方式示出了用于确定图案化衬底上的蚀刻后的杂质的方法。
具体实施方式
本发明公开了使用无电沉积作为计量工具以突出杂质、残留物和不完全通孔蚀刻以用于检测目的的方法和系统。
在下面的描述中,阐述了许多具体细节以便提供对本发明的透彻理解。然而,对于本领域技术人员而言将显而易见的是,本发明可以在没有这些具体细节中的一些的情况下实施。在其他示例中,未详细描述公知的工艺操作和实施细节,以避免不必要地模糊本发明。
图1根据本发明的一实施方式示出了正进行通孔蚀刻操作的衬底的一部分的横截面图。在所示的实施方式中,衬底包括具有限定于其中的金属特征104的介电层102。在一些实现方式中,金属特征104由金属/合金例如铜组成。覆盖金属特征104的是蚀刻终止层106。使金属特征104与覆盖特征连接,并且因此介电层108被限定在衬底上,其将被蚀刻以限定覆盖特征。硬掩模层110被限定在介电层上,并且光致抗蚀剂层112被限定在硬掩模层110上。
在衬底100上进行蚀刻处理以限定沟槽114和通孔116。在一些实施方式中,沟槽114被首先蚀刻,随后蚀刻通孔116;而在其它实现方式中,通孔116被首先蚀刻,随后蚀刻沟槽114。作为蚀刻过程的结果,会生成聚合物残留物118。这些残留物会附着于衬底100的各个暴露表面上,包括附着于沟槽114和通孔116的壁和底面。这些残留物可能是难以去除的并且甚至在后续的清洁和处理步骤之后仍可能保留在衬底上,特别是保留在沟槽/通孔结构中。
在通孔蚀刻操作之后,光致抗蚀剂112可以通过例如灰化操作去除。
图2根据本发明的一实施方式示出了在蚀刻终止去除操作过程中的衬底100。在此操作过程中,通孔116底部的蚀刻终止层106的一部分被蚀刻掉以露出下伏的金属特征104。然而,如发生这种情况,金属杂质/颗粒200会从金属特征104产生并被输送到衬底100的暴露表面,包括被输送到沟槽114和通孔116的壁和底面上以及衬底100的场表面上。这些金属杂质200甚至在进行蚀刻后清洁操作之后可仍然存在于衬底100的暴露表面上。因为金属杂质200的尺寸可以是原子级的,所以它们可能是常规计量方法例如自上而下SEM所检测不到的。如果不进行处理的话,那么这些金属颗粒可导致产率损失。
图2中还示出了聚合物残留物118,其可能是在通孔蚀刻操作和/或随后的操作过程中产生的。残留物在沟槽/通孔中和周围的存在可能是有问题的,因为它们可能会阻碍材料的用以形成互连的后续沉积(例如阻隔/衬里沉积(例如Ta/N、Ti/N)、籽晶层沉积(例如,Cu晶种)和体填充金属沉积(例如Cu填充))。如果不进行处理的话,那么这种情况可能导致高电阻或根本没有通过互连的连接,并且因此可能会导致产率损失。
图3根据本发明的一实施方式示出了在衬底100上的无电沉积溶液300的施加。无电沉积溶液300被配置为将金属材料沉积到衬底的用作该金属材料沉积的成核位点的其他金属区域上。无电沉积溶液300可被配置为沉积可适用于突出如本文所述的金属杂质的任何金属/合金,包括但不限于如下所列:钴、铜、镍、钯及其合金。
当通孔116是干净的并且基本上不含残留物,并且金属特征104充分暴露于通孔116的底部时,那么无电沉积溶液300的施加将金属材料的金属填充层302沉积在金属特征104的暴露部分上。在这种情况下(金属特征暴露并且通孔不含残留物),无电沉积溶液300的施加在一些实施方式中被配置为提供用于使金属填充物302在金属特征104上沉积至约300埃至600埃的厚度。在一些实现方式中,沉积被配置为提供用于使金属填充物302在金属特征104上沉积至约400至500埃的厚度。在还有的其它的实施方式中,沉积被配置为提供用于使金属填充物302在金属特征104上沉积至约200至700埃的厚度。
在一些实现方式中,无电沉积溶液300被配置为沉积钴金属,并且因而沉积在金属特征104上创建了钴填充物302。在一些实现方式中,金属特征104由铜金属组成,并且因而钴填充物302在铜特征104上形成。
应当理解的是,如果残留物例如聚合物残留物118(图2所示)存在于通孔116中时,那么它们将减少或甚至阻止金属材料从无电沉积溶液300沉积在通孔116中。因此,在这种情况下通孔116中的金属填充层302将减少或可能去除。
如果金属颗粒污染衬底100的表面,例如在所示的场区域中,那么这些金属杂质将用作从无电沉积溶液300沉积金属材料的成核位点。因此,无电沉积溶液300将金属材料304沉积在金属杂质/颗粒200上,从而有效地增大了金属杂质的尺寸,以便通过CD-SEM或另一种检测方法(例如,亮场/暗场检测工具)可看到。
金属杂质200因此通过将金属材料选择性沉积在例如由金属杂质提供200的金属区域上的无电沉积溶液的施加而扩大。当这样预期时通孔116中的残留物影响也将能通过观察通孔116中无金属材料沉积来检测。这些影响可在衬底100的CD-SEM图像中看出。
应当理解的是,无电沉积溶液可被配置为具有适用于突出衬底表面上的金属杂质的目的的任何配方。更具体地,无电沉积溶液应被配置为将金属材料选择性地沉积在金属表面(例如由金属杂质提供的表面和金属特征的暴露表面)上,而未沉积在衬底的其它表面(例如由硬掩模、电介质、蚀刻终止层、聚合物残留物等等提供的表面)。因此,根据多个实施方式,无电沉积溶液被配置为是稳定的,使得它不在非金属表面上涂覆,同时使得能在用作沉积的成核位点的金属表面上选择性地涂覆。
应当理解的是,参照所提供的附图所示和所描述的具体结构仅通过示例的方式提供,而不是限制。本领域技术人员将理解的是,可以存在被限定为衬底结构的一部分的其他层,例如阻挡层、衬里层、封盖层等,并且这可在不背离本发明实施方式的范围的情况下实施。
图4A是根据本发明的一实施方式的在施加用于突出金属杂质并检测通孔中的堵塞的无电沉积溶液之后衬底表面的一部分的SEM图像。如图所示,在衬底表面中几个特征已被蚀刻,并且无电钴沉积溶液已被施加在衬底表面上。蚀刻特征400具有限定在端部的通孔的孔的细长形状。通孔的孔的蚀刻被配置为暴露下伏的铜特征的表面。因此,无电钴沉积溶液的施加被预期将钴金属沉积在下伏的铜特征上面的通孔中。在所示的实施方式中,可以看到在通孔的底部淀积的钴的存在(参考402),因此表明通孔未被阻塞(例如未被聚合物残留物或不完全蚀刻阻塞)。但是,如果通孔被阻塞,那么通孔中沉积的钴将减少或去除,并且这将反映在SEM图像中。
在理想情况下,衬底表面上没有金属杂质。然而,如果存在这样的杂质,那么无电钴沉积溶液将钴沉积在存在于衬底表面上的金属杂质上。在图4A的SEM图像中,可以看出例如颗粒404是金属杂质,其已被来自无电沉积溶液中的钴涂敷。
图4B是根据本发明的实施方式的在施加用于突出金属杂质并检测通孔中的堵塞的无电沉积溶液之后衬底表面的一部分的SEM图像。如图所示,晶片的场区域包括许多金属杂质404,已经通过从无电沉积过程沉积的钴而被扩大。这些金属杂质在不使用选择性地在金属表面上进行沉积的无电沉积过程时将不能通过目视检查检测到。
图5A是根据本发明的实施方式的在施加用于突出金属杂质并检测通孔中的堵塞的无电沉积溶液之后衬底表面的一部分的SEM图像。所示的部分包括衬底的场区域500和包含蚀刻特征(包括通孔)的特征区域502。在场区域500中,图像中可见颗粒504,这是已经沉积来自无电沉积溶液的钴的金属杂质。金属杂质可以是已经在通孔蚀刻过程中从下伏的铜特征去除并传送到场区域500的铜颗粒。
图5B和5C分别示出了其中限定了通孔的特征区域502的一部分的逐步放大的视图。钴填充物506已经通过无电沉积过程沉积在通孔中,因此表明通孔基本上不含残留物。
图6A是根据本发明的一实施方式的在施加用于突出金属杂质并检测通孔中的堵塞的无电沉积溶液之后衬底表面的一部分的SEM图像。所示的部分包括衬底的场区域600和包含蚀刻特征(包括通孔)的特征区域602。图6B和6C分别示出了其中限定了通孔的特征区域602的一部分的逐步放大的视图。图6A、6B和6C中所示的衬底的通孔位于与图5A、5B和5C中所示的衬底的通孔类似的位置中。然而,如图6A、6B和6C所示,由于阻碍无电沉积溶液进入通孔并在其中淀积钴的残留物的存在,因此通孔中无沉积物。
图7示意性地示出了根据本发明的一实施方式的用于进行无电沉积操作的无电沉积系统。提供室700,其中半导体晶片702转动。晶片702位于被配置为赋予晶片702旋转运动的衬底支撑件704(或卡盘)上。室700配备排放装置718,其允许提供到室700的各种液体流被去除以用于废物处理和/或再循环。泵/再循环模块720可被控制器操作以管理无电沉积溶液714的泵送、处理和再循环。应当理解的是,在其他实施方式中,所使用的无电沉积溶液不进行再循环,而是作为废物由泵模块720排出系统。
电机706控制衬底支撑704的旋转。电机706应当容易控制并且应当在多个旋转速度之间平缓地过渡。它可以位于室700内或室700外。在一些实施方式中,为了防止被室中存在的液体破坏,电机706位于室700的外面,并且通过让旋转轴穿过的密封件与室700分开。该轴在旋转时的任何摆动均应当小(例如,约<0.05毫米),以便相对于晶片而言流体喷嘴的位置基本上不发生变化,在晶片没有被对准件或夹紧件约束时,也不会使晶片摇动离开其中心。电机706可以以介于0至约2000rpm之间的转动速率(以受控的方式)使衬底支撑704和晶片702迅速加速和减速。电机速度和其它操作应当由控制器716控制。
衬底支撑件704可以是属于在各种转速下保持晶片702在合适位置的任何合适的设计。它也可有利于校准用于无电沉积过程的晶片702。衬底支撑704可包括被配置为沿晶片外边缘牢固地夹住晶片的辊子或其他形状的保持器。
室700可以是属于约束其内部的液体并允许各流体输送到晶片702的任何合适的设计。其应当由可耐受将被施加到晶片的液体的材料制成,并且包括用于在沉积和清洁过程中所用的各种液体和气体流的端口和喷嘴。室的内表面的轮廓可被设置成使从衬底的顶表面逸出的无电沉积溶液偏转,并且引导偏转的无电沉积溶液远离衬底表面并朝向排放装置。
气体氮由氮源722提供到室700,以提供基本上不含氧的受控的周围环境。
无电沉积溶液714通过喷嘴708被施加到晶片702。喷嘴708被耦合到可调节的臂710上,臂710的运动由致动器712控制。臂710可被配置为允许精确控制喷嘴708的定位和方向。另外,喷嘴708可以是可调节的,以提供具有预定流速、速度和分散角度的流或喷雾,从而在晶片上提供均匀分布的无电沉积溶液。臂710和喷嘴708上的控制的组合可提供0至0.2mm精度的溶液布局,使得无电沉积溶液可被高精度地施加在晶片上。
图8示出了根据本发明的一实施方式的CD-SEM系统。该系统包括可编程控制器800,可编程控制器800可被配置为控制CD-SEM系统的操作。台阶802被配置为支持晶片804。在一些实现方式中,台阶802是可移动的,以用于定位晶片804,从而产生晶片804的特定部分的SEM图像。电子束28由光束产生器806产生,并且被引导朝向晶片804。光束产生器可包括用于产生、成形、聚焦和引导电子束的各个元件,例如发光元件、电磁透镜和扫描仪。
电子束产生从晶片表面释放的二次电子和背散射电子,二次电子和背散射电子由检测器808收集。检测器808基于所收集的电子产生检测信号,并且这些信号随后由信号分析仪/成像模块810处理以生成图像和/或测量信息。应当理解的是,检测信号可以是数字化的,并且经过过滤或其他类型的信号处理以产生SEM图像。
图像分析仪812被配置为分析由系统产生的SEM图像以确认金属杂质和/或聚合物残留物。图像分析仪812可设置有晶片模板,晶片模板提供有关衬底的蚀刻特征(例如,通孔)和场区的位置信息,以使图像分析仪能检测预期由无电沉积操作沉积的金属材料的位置(例如通孔中)和这些沉积的金属材料表明金属杂质的位置。图像分析仪812可被配置为根据上述分析方法确定金属杂质的位置以及被阻塞的通孔的位置。
可能会阻碍互连的适当形成的另一个问题是不完全蚀刻。图9示出了根据本发明的实施方式的衬底表面的一部分,其中通孔蚀刻是不完全的。如图所示,通孔116的蚀刻尚未完全去除通孔116底部的电介质108以暴露蚀刻终止层106。这样的通孔不完全蚀刻将阻止互连的适当形成并引起产率损失。根据本文中所讨论的方法,这也将阻止无电沉积溶液在通孔116中沉积,这将如上面已经讨论的容易从SEM图像中确定。
图10A示出了根据本发明的一实施方式的衬底表面的一部分,其中通孔底部的蚀刻终止层的去除是不完全的。如图所示,蚀刻终止层106的蚀刻尚未完全去除通孔116底部的蚀刻终止层106以暴露下部的金属特征104。不完全去除蚀刻终止层将阻止互连的适当形成并引起产率损失。根据本文中所讨论的方法,这也将阻止无电沉积溶液在通孔116中沉积,正如上面已经讨论过,这将如上面已经讨论的容易从SEM图像中确定。
应当理解的是,目前描述的用于检测金属杂质、聚合物残留物和不完全蚀刻的系统和方法在工艺开发过程尤其有用,以确定可导致产率损失或负面地影响电路性能和可靠性的晶片加工问题。如在上述实施方式中所应用的,金属杂质和/或被堵塞的通孔可以在形成时被确定,而不需要完成晶片处理以形成用于测试目的的电路。这可以显著减少确定这些问题所需要的时间和努力。举例而言,金属杂质或聚合物残留物被认为是有问题的,然后这可导致进一步改进蚀刻工艺和/或清洁工艺。
图10B示出了根据图10A的实施方式在施加选择性的无电沉积溶液之后衬底表面的一部分。如可见的,无电沉积溶液将金属材料选择性地沉积在金属表面上,包括将金属材料304沉积在金属杂质颗粒200(其可能在相同衬底上的相邻/其他通孔的蚀刻过程中已经产生)上。然而,通孔116中未出现金属材料的沉积,因为下伏的金属特征104未被暴露,而这是因为蚀刻终止层106未从通孔底部完全去除。并且因此通孔116中没有作为用于从无电沉积溶液沉积金属材料的成核位点的金属表面。如上所述,这可以通过在无电沉积之后的衬底的SEM图像分析来确定。已充分蚀刻以完全去除电介质和蚀刻终止层以暴露下伏的金属特征的通孔将显示出来自无电沉积溶液的沉积,而未被充分蚀刻的通孔将不显示出来自无电沉积溶液的沉积。
图11根据本发明的一实施方式示出了用于确定图案化衬底上蚀刻后杂质的方法。在方法操作1100,在衬底上进行通孔蚀刻操作,其中通孔蚀刻操作被配置为限定衬底上的通孔特征并暴露通孔特征底部的蚀刻终止层。在方法操作1102,在衬底上进行蚀刻终止去除操作,其中蚀刻终止去除操作被配置为用于去除通孔特征底部的蚀刻终止层以暴露在蚀刻终止层下部的金属特征。在方法操作1104,在衬底上进行清洁操作,其中清洁操作被配置为在蚀刻终止去除操作之后从衬底的暴露表面去除金属杂质。
在方法操作1106,将无电沉积溶液施加到衬底上,其中所施加的无电沉积溶液被配置为将金属材料选择性地沉积在暴露的金属特征上和保留在衬底的暴露表面上的金属杂质上。在方法操作1108,检测操作是在衬底上进行的,以确定已经沉积有金属材料的金属杂质。检测操作1108被进一步配置为确定在通孔特征底部是否存在阻塞,该阻塞至少部分地阻止无电沉积溶液在金属特征上沉积金属材料。
尽管已经根据几个实施方式描述了本发明,但是应当理解的是,本领域技术人员在阅读前面的说明书和研究附图时将实现各种改变、增加、置换及其等同方案。因此,本发明旨在包括落入本发明的真实精神和范围内的所有这些改变、添加、置换和等同方案。

Claims (10)

1.一种用于检测图案化衬底上的杂质的方法,其包括:
在衬底上进行通孔蚀刻操作,其中所述通孔蚀刻操作被配置为限定所述衬底上的通孔特征并暴露所述通孔特征的底部的蚀刻终止层;
在所述衬底上进行蚀刻终止去除操作,其中所述蚀刻终止去除操作被配置为用于除去所述通孔特征的所述底部的所述蚀刻终止层,以暴露在所述蚀刻终止层下部的金属特征;
将无电沉积溶液施加在所述衬底上,所施加的所述无电沉积溶液被配置为用于在所暴露的所述金属特征上以及在所述衬底的所暴露的表面上的金属杂质上选择性地沉积金属材料,所述金属杂质是在所述蚀刻终止去除操作过程中由所述金属特征产生的;
在所述衬底上进行检测操作以确定已经沉积有所述金属材料的金属杂质。
2.根据权利要求1所述的方法,其进一步包括:
在所述蚀刻终止去除操作之后且在施加所述无电沉积溶液之前在所述衬底上进行清洁操作,其中所述清洁操作被配置为用于在所述蚀刻终止去除操作之后从所述衬底的所暴露的表面除去所述金属杂质;
其中所施加的所述无电沉积溶液被配置为用于在于所述清洁操作之后保留在所述衬底的所暴露的表面上的所述金属杂质上选择性地沉积。
3.根据权利要求1所述的方法,其中所述金属杂质在施加所述无电沉积溶液之前不能通过检测操作检测到,并且其中所述金属杂质限定用于所述金属材料的选择性沉积的成核位点,其中在所述金属杂质上的所述金属材料的所述选择性沉积使得所述金属杂质的尺寸扩大,从而通过检测操作能检测到。
4.根据权利要求1所述的方法,其中在所述衬底上进行所述检测操作包括将临界尺寸扫描电子显微镜(CD-SEM)技术应用在所述衬底上以生成图像,并分析该图像以确定已经沉积有所述金属材料的所述金属杂质。
5.根据权利要求4所述的方法,其中进行所述检测操作进一步包括限定检测模板,所述检测模板被配置为确定所述图像的包括所述通孔特征的区域和所述图像的不包括所述通孔特征的区域,对所述图像的不包括所述通孔特征的区域进行分析以确定所述金属杂质。
6.根据权利要求1所述的方法,其中所述检测操作进一步被配置为确定所述通孔特征的所述底部是否存在堵塞,所述堵塞至少部分地阻止所述无电沉积溶液将所述金属材料沉积在所述金属特征上。
7.根据权利要求6所述的方法,其中在所述衬底上进行所述检测操作包括将临界尺寸扫描电子显微镜(CD-SEM)技术应用在所述衬底上以生成图像,并分析该图像以确定在所述通孔特征的所述底部的所述金属特征上的所述金属材料的沉积量,所述金属特征上的所述金属材料的所述沉积量指示所述通孔特征的所述底部是否存在所述堵塞。
8.根据权利要求6所述的方法,其中所述通孔特征的所述底部的所述堵塞是由于一种或更多种有机残留物或所述通孔特征的不完全蚀刻造成的。
9.根据权利要求1所述的方法,其中所述金属特征和由所述金属特征产生的所述金属杂质被铜金属限定。
10.一种用于检测图案化衬底上的杂质的方法,其包括:
在衬底上进行通孔蚀刻操作,其中所述通孔蚀刻操作被配置为限定所述衬底上的多个通孔特征,并且暴露每个通孔特征的底部的蚀刻终止层;
在所述衬底上进行蚀刻终止去除操作,其中所述蚀刻终止去除操作被配置为用于去除每个通孔特征的所述底部的所述蚀刻终止层,以暴露在所述蚀刻终止层下部的金属特征;
将无电沉积溶液施加在所述衬底上,所施加的所述无电沉积溶液被配置为在所暴露的所述金属特征上以及在所述衬底的所暴露的表面上的金属杂质上选择性地沉积金属材料,所述金属杂质是在所述蚀刻终止去除操作过程中由所述金属特征产生的;
在所述衬底上进行检测操作以确定已经沉积有所述金属材料的所述金属杂质,其中所述检测操作进一步被配置为确定所述通孔特征中的一个或更多个的底部是否存在堵塞,所述堵塞至少部分地阻止所述无电沉积溶液在所述金属特征上沉积所述金属材料。
CN201610176740.XA 2015-03-31 2016-03-25 使用无电沉积以突出杂质、残留和不完全导孔蚀刻 Active CN106024660B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/675,350 2015-03-31
US14/675,350 US9287183B1 (en) 2015-03-31 2015-03-31 Using electroless deposition as a metrology tool to highlight contamination, residue, and incomplete via etch

Publications (2)

Publication Number Publication Date
CN106024660A true CN106024660A (zh) 2016-10-12
CN106024660B CN106024660B (zh) 2019-03-22

Family

ID=55450231

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610176740.XA Active CN106024660B (zh) 2015-03-31 2016-03-25 使用无电沉积以突出杂质、残留和不完全导孔蚀刻

Country Status (4)

Country Link
US (1) US9287183B1 (zh)
KR (1) KR102559158B1 (zh)
CN (1) CN106024660B (zh)
TW (1) TW201705221A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111868906A (zh) * 2018-03-16 2020-10-30 Asml荷兰有限公司 检查系统、光刻设备和检查方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3168603B1 (en) * 2015-11-11 2019-06-19 ams AG Method for manufacturing a semiconductor component
US10103056B2 (en) 2017-03-08 2018-10-16 Lam Research Corporation Methods for wet metal seed deposition for bottom up gapfill of features
US11139196B2 (en) 2017-10-12 2021-10-05 Asml Netherlands B.V. Substrate holder for use in a lithographic apparatus

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1922344A (zh) * 2003-10-15 2007-02-28 应用材料公司 用于无电沉积的装置
US20070077761A1 (en) * 2005-09-30 2007-04-05 Matthias Lehr Technique for forming a copper-based metallization layer including a conductive capping layer
US20070261594A1 (en) * 2006-05-11 2007-11-15 Lam Research Corporation Plating solution for electroless deposition of copper
CN102176466A (zh) * 2006-11-07 2011-09-07 希百特股份有限公司 双端开关装置及其制造方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5674787A (en) * 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
JP3287406B2 (ja) * 1999-06-11 2002-06-04 日本電気株式会社 半導体装置の製造方法
US6992050B2 (en) * 2000-06-28 2006-01-31 Nec Corporation Stripping agent composition and method of stripping
US6498384B1 (en) * 2000-12-05 2002-12-24 Advanced Micro Devices, Inc. Structure and method of semiconductor via testing
DE10153763B4 (de) * 2001-10-31 2006-09-28 Advanced Micro Devices, Inc., Sunnyvale Überwachung der Void-Bildung in einem Damascence-Prozess
JP4198906B2 (ja) * 2001-11-15 2008-12-17 株式会社ルネサステクノロジ 半導体装置および半導体装置の製造方法
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6713402B2 (en) * 2002-05-31 2004-03-30 Texas Instruments Incorporated Methods for polymer removal following etch-stop layer etch
DE10319135B4 (de) * 2003-04-28 2006-07-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Elektroplattieren von Kupfer über einer strukturierten dielektrischen Schicht, um die Prozess-Gleichförmigkeit eines nachfolgenden CMP-Prozesses zu verbessern
US7226856B1 (en) * 2004-11-15 2007-06-05 Kla-Tencor Technologies Corporation Nano-electrode-array for integrated circuit interconnects
US7192878B2 (en) * 2005-05-09 2007-03-20 United Microelectronics Corp. Method for removing post-etch residue from wafer surface
DE102006062015B4 (de) * 2006-12-29 2012-04-26 Advanced Micro Devices, Inc. Verfahren zum Testen einer Materialschicht in einer Halbleiterstruktur auf Unversehrtheit
DE102008016431B4 (de) * 2008-03-31 2010-06-02 Advanced Micro Devices, Inc., Sunnyvale Metalldeckschicht mit erhöhtem Elektrodenpotential für kupferbasierte Metallgebiete in Halbleiterbauelementen sowie Verfahren zu ihrer Herstellung
US8043966B2 (en) * 2008-04-11 2011-10-25 International Business Machines Corporation Method for monitoring patterning integrity of etched openings and forming conductive structures with the openings

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1922344A (zh) * 2003-10-15 2007-02-28 应用材料公司 用于无电沉积的装置
US20070077761A1 (en) * 2005-09-30 2007-04-05 Matthias Lehr Technique for forming a copper-based metallization layer including a conductive capping layer
US20070261594A1 (en) * 2006-05-11 2007-11-15 Lam Research Corporation Plating solution for electroless deposition of copper
CN102176466A (zh) * 2006-11-07 2011-09-07 希百特股份有限公司 双端开关装置及其制造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111868906A (zh) * 2018-03-16 2020-10-30 Asml荷兰有限公司 检查系统、光刻设备和检查方法

Also Published As

Publication number Publication date
US9287183B1 (en) 2016-03-15
KR102559158B1 (ko) 2023-07-24
CN106024660B (zh) 2019-03-22
KR20160117262A (ko) 2016-10-10
TW201705221A (zh) 2017-02-01

Similar Documents

Publication Publication Date Title
CN106024660A (zh) 使用无电沉积以突出杂质、残留和不完全导孔蚀刻
US11315756B2 (en) Fiducial design for tilted or glancing mill operations with a charged particle beam
Nikawa Applications of focused ion beam technique to failure analysis of very large scale integrations: A review
JP5882381B2 (ja) デコレーションを用いたスライス・アンド・ビュー
Newell et al. Detection of electrical defects with SEMVision in semiconductor production mode manufacturing
US8278220B2 (en) Method to direct pattern metals on a substrate
JP6618380B2 (ja) 自動化されたs/tem取得および測定のための既知の形状の薄片を使用したパターン・マッチング
CN101632166A (zh) 柱籽晶沉积处理
Livengood et al. Application of advanced micromachining techniques for the characterization and debug of high performance microprocessors
Zervas et al. Fabrication and characterization of wafer-level deep TSV arrays
US20070041631A1 (en) Inspecting method, inspecting apparatus, and method of manufacturing semiconductor device
Verkleij The use of the focused ion beam in failure analysis
Chen et al. Focused ion beam technology and application in failure analysis
Meyer et al. Electron backscatter diffraction: application to Cu interconnects in Top-view and cross section
Holdford The uses of dual beam FIB in microelectronic failure analysis
Young et al. Sample Preparation and Analysis on Full-Thickness Silicon Wafers for Wafer-to-Wafer Bonding Process Development
CN112179931B (zh) 物理失效分析样品及其制备方法
Heiland et al. Combining electron and focused ion beam techniques for failure analysis and design verification of integrated circuits
Crawford et al. Focused ion beam sectioning and lift-out method for copper and resist vias in organic low-k dielectrics
Gernhardt et al. Ultra-fine Line Multi-Redistribution Layers with 10 μm Pitch Micro-Vias for Wafer Level and Panel Level Packaging realized by an innovative Excimer Laser Dual Damascene Process
Barda et al. In-Situ TEM Electrical Characterization of Void Formation and Growth Along Cu Interconnect Via: FIB Based Sample Preparation Method
Soo et al. Investigation on the copper void defect by Transmission Electron Microscope (TEM)
Young et al. In-situ sample preparation and high-resolution SEM-STEM analysis
Suhard et al. Development of an all-in one wet single wafer process for 3D-SIC bump integration and its monitoring
Maarten et al. Fabrication of on-chip probes for double-tip scanning tunneling microscopy

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant