JP4814521B2 - 非常にコンパクトな不揮発性メモリおよびその方法 - Google Patents

非常にコンパクトな不揮発性メモリおよびその方法 Download PDF

Info

Publication number
JP4814521B2
JP4814521B2 JP2004539870A JP2004539870A JP4814521B2 JP 4814521 B2 JP4814521 B2 JP 4814521B2 JP 2004539870 A JP2004539870 A JP 2004539870A JP 2004539870 A JP2004539870 A JP 2004539870A JP 4814521 B2 JP4814521 B2 JP 4814521B2
Authority
JP
Japan
Prior art keywords
memory device
read
volatile memory
data
write
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2004539870A
Other languages
English (en)
Other versions
JP2006500728A (ja
Inventor
セルニア,ラウル−エイドリアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SanDisk Corp
Original Assignee
SanDisk Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SanDisk Corp filed Critical SanDisk Corp
Publication of JP2006500728A publication Critical patent/JP2006500728A/ja
Application granted granted Critical
Publication of JP4814521B2 publication Critical patent/JP4814521B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/06Sense amplifiers; Associated circuits, e.g. timing or triggering circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/56Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency
    • G11C11/5621Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency using charge storage in a floating gate
    • G11C11/5628Programming or writing circuits; Data input circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/56Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency
    • G11C11/5621Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency using charge storage in a floating gate
    • G11C11/5642Sensing or reading circuits; Data output circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0483Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells having several storage transistors connected in series
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/10Programming or data input circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/24Bit-line control circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/26Sensing or reading circuits; Data output circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/06Arrangements for interconnecting storage elements electrically, e.g. by wiring
    • G11C5/063Voltage and signal distribution in integrated semi-conductor memory access lines, e.g. word-line, bit-line, cross-over resistance, propagation delay
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1006Data managing, e.g. manipulating data before writing or reading out, data bus switches or control circuits therefor
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/18Bit line organisation; Bit line lay-out
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2216/00Indexing scheme relating to G11C16/00 and subgroups, for features not directly covered by these groups
    • G11C2216/12Reading and writing aspects of erasable programmable read-only memories
    • G11C2216/14Circuits or methods to write a page or sector of information simultaneously into a nonvolatile memory, typically a complete row or word line in flash memory

Description

本発明は、一般に電気的に消去可能でプログラム可能な読み出し専用メモリ(EEPROM)およびフラッシュEEPROMなどの不揮発性半導体メモリに関し、特に非常にコンパクトで高性能の読み書き回路を有するものに関する。
特に小形ファクタカードとしてパッケージ化されたEEPROMおよびフラッシュEEPROMの形の電荷を不揮発性蓄積することのできる固体メモリは、近時、特に情報装置および消費者電子製品などのさまざまなモバイル装置およびハンドヘルド装置において一般的に好まれる記憶装置になった。同じく固体メモリであるRAM(ランダムアクセスメモリ)とは違って、フラッシュメモリは不揮発性であり、電力がオフにされた後にも蓄積されたデータを保持することができる。コストが高いのに、フラッシュメモリは大容量記憶のための用途にますます多く使われている。ハードドライブやフロッピーディスクなどの回転する磁性媒体に基づく従来の大容量記憶装置はモバイルおよびハンドヘルド環境には適していない。というのは、ディスクドライブが、かさばる傾向にあること、機械的故障を起こしがちであること、長い待ち時間と多大な電力を必要とすることである。これらの望ましくない属性があるために、ディスクに基づく記憶装置は殆どのモバイルのための用途および携帯のための用途において実際的ではない。一方、フラッシュメモリは、埋め込み形でも、取り外し可能なカードの形でも、サイズが小さく、消費電力が少なく、高速で、しかも信頼性が高いので、モバイル環境およびハンドヘルド環境に理想的に適している。
EEPROMおよび電気的にプログラム可能な読み出し専用メモリ(EPROM)は、消去可能であり、新しいデータをそのメモリセルに書き込む、すなわち“プログラム”することができる不揮発性メモリである。両方が、電界効果トランジスタ構造において、半導体基板におけるソース領域とドレイン領域との間でチャネル領域上に位置するフローティング(非結合)伝導性ゲートを利用する。次に、当該フローティングゲート上にコントロールゲートが設けられる。トランジスタに固有のしきい値電圧は、フローティングゲートにおいて保持されている電荷の量によって制御される。すなわち、フローティングゲート上の電荷の与えられたレベルについて、トランジスタがオンに転換して、そのソース領域とドレイン領域との間での伝導を可能にする前に、コントロールゲートに印加されなければならない対応する電圧(しきい値)がある。
フローティングゲートは、1つの範囲の電荷を保持することができ、従って、しきい値電圧ウィンドウ内の任意のしきい値電圧レベルにプログラムされ得る。しきい値電圧ウィンドウのサイズは、装置の最低および最高のしきい値レベルによって画定され、これらはフローティングゲートにプログラムされ得る電荷の範囲に対応する。しきい値ウィンドウは、一般にメモリデバイスの特性、動作条件および履歴に依存する。セルの明確な記憶状態を示すために、原則として、ウィンドウ内の各々の固有の分解可能なしきい値電圧レベルの範囲を用いることができる。
メモリセルとして作用するトランジスタは、通常、2つのメカニズムのうちの1つによって“プログラムされた”状態にプログラムされる。“ホットエレクトロン注入”では、ドレインに印加された高電圧が電子を基板チャネル領域を横切って加速させる。同時に、コントロールゲートに印加された高電圧は、薄いゲート誘電体を通してホットエレクトロンをフローティングゲート上に引き寄せる。“トンネリング注入”では、基板に関してコントロールゲートに高電圧が印加される。このようにして、電子は、基板から介在するフローティングゲートに引き寄せられる。
メモリデバイスは、いろいろなメカニズムによって消去され得る。EPROMに関しては、メモリは、紫外線放射によってフローティングゲートから電荷を除去することによってバルク消去可能である。EEPROMに関しては、フローティングゲート内の電子を誘導し、薄い酸化物を通過させ、基板チャネル領域へトンネリング(すなわち、ファウラー−ノルトハイム・トンネリング)させるためにコントロールゲートに関して基板に高電圧を印加することによって、メモリセルは電気的に消去可能である。通常、EEPROMはバイト単位で消去可能である。フラッシュEEPROMに関しては、メモリは、一度に全部或いは一度に1つ以上のブロックのいずれかを電気的に消去可能であり、ここでブロックは512バイト以上のメモリから成ってもよい。
不揮発性メモリセルの例
メモリデバイスは、普通、カードに搭載され得る1つ以上のメモリチップを含む。各メモリチップは、復号器および消去回路、書き込み回路および読み出し回路などの周辺回路により支援されるメモリセルアレイを含む。より複雑なメモリデバイスも、高機能で高レベルのメモリ操作およびインターフェーシングを実行するコントローラに付随している。今日、商業的に成功した不揮発性固体メモリデバイスが多数使われている。それらのメモリデバイスは、1つ以上の電荷蓄積素子を各々有するさまざまなタイプのメモリセルを使用することができる。
図1A〜1Eは、不揮発性メモリセルのいろいろな例を概略的に示す。
図1Aは、電荷を蓄積するためのフローティングゲートを有するEEPROMセルの形の不揮発性メモリを概略的に示す。電気的に消去可能でプログラム可能な読み出し専用メモリ(EEPROM)は、EPROMに類似する構造を有するけれども、UV放射への被曝を必要とせずに、適切な電圧が印加したときにそのフローティングゲートから電気的に電荷を充填したり、除去したりするためのメカニズムをさらに提供する。このようなセルと、これを製造する方法との例が米国特許第5,595,924号(特許文献1)に示されている。
図1Bは、選択ゲートおよびコントロールゲート或いはステアリングゲートの両方を有するフラッシュEEPROMセルを概略的に示す。メモリセル10は、ソース14の拡散とドレイン16の拡散との間に“スプリットチャネル”12を有する。セルは、事実上2つの直列のトランジスタT1およびT2で形成される。T1は、フローティングゲート20とコントロールゲート30とを有するメモリトランジスタとして作用する。フローティングゲートは、選択可能な量の電荷を蓄積することができる。チャネルのT1の部分を通って流れることのできる電流の量は、コントロールゲート30上の電圧と、介在するフローティングゲート20に存在する電荷の量とに依存する。T2は、選択ゲート40を有する選択トランジスタとして作用する。T2が、選択ゲート40の電圧によりオンに転換されると、チャネルのT1の部分における電流がソースとドレインとの間を流れることを可能にする。選択トランジスタは、コントロールゲートの電圧に依存しないソース−ドレインチャネル沿いのスイッチを設ける。1つの利点は、そのフローティングゲートにおける電荷消耗(正)に起因して、ゼロコントロールゲート電圧で依然として伝導しているそれらのセルをオフに転換するためにそれを使用することである。他の利点は、それがソース側注入プログラミングをより容易に実行することを可能にすることである。
スプリットチャネルメモリセルの1つの簡単な実施形態では、図1Bに示されている破線によって概略的に示されているように、選択ゲートおよびコントロールゲートが同じワードラインに接続されている。これは、電荷蓄積素子(フローティングゲート)をチャネルの一部上に位置させると共にコントロールゲート構造(ワードラインの一部である)を他のチャネル部分上に、かつ当該電荷蓄積素子上に位置させることによって達成される。これは、実際上直列の2個のトランジスタを有するセルを形成し、一方(メモリトランジスタ)は電荷蓄積素子上の電荷の量と、そのチャネル部分を通って流れることのできる電流の量を制御するワードライン上の電圧との組み合わせを有し、他方(選択トランジスタ)はそのゲートとして作用するワードラインだけを有する。このようなセル、メモリシステムにおけるその使用方法およびそれを製造する方法の例が、米国特許第5,070,032号(特許文献2)、第5,095,344号(特許文献3)、第5,315,541号(特許文献4)、第5,343,063号(特許文献5)、および第5,661,053号(特許文献6)に示されている。
図1Bに示すスプリットチャネルセルのより洗練された実施形態では、選択ゲートおよびコントロールゲートは独立し、それらの間の破線で結合されてはいない。一つの実装例では、セルのアレイ中の1列の複数のコントロールゲートは、ワードラインに垂直なコントロール(ステアリング)ラインに接続される。その効果は、選択されたセルを読み出したり、或いはプログラムするときに、ワードラインが2つの機能を同時に実行しなくても良くすることにある。これらの2つの機能は、(1)選択トランジスタのゲートとして作用し、従って選択トランジスタをオンとオフにするために適切な電圧を必要とする機能、および(2)ワードラインと電荷蓄積素子との間の電界(容量性)結合を通して電荷蓄積素子の電圧を所望のレベルに至らせる機能である。これらの機能の両方を単一の電圧で最善に実行することが困難であることが良くある。コントロールゲートと選択ゲートとを別々に制御する場合、ワードラインは機能(1)を実行するだけで良く、付加されたコントロールラインは機能(2)を実行する。この能力は、プログラミング電圧が目標のデータに適合させられる高性能プログラミングの設計に配慮している。フラッシュEEPROMアレイにおける独立のコントロール(或いは、ステアリング)ゲートの使用は、例えば、米国特許第5,313,421号(特許文献7)および第6,222,762号(特許文献8)に記載されている。
図1Cは、二重フローティングゲートと独立の選択ゲートおよびコントロールゲートを有する他のフラッシュEEPROMを概略的に示す。メモリセル10は、実際上3個の直列のトランジスタを有することを除いて、図1Bに類似している。このタイプのセルにおいて、2つの蓄積素子(すなわち、T1−左およびT1−右の蓄積素子)はソース拡散およびドレイン拡散間のチャネル上に含まれ、それらの間に選択トランジスタT1が置かれている。メモリトランジスタは、フローティングゲート20および20’と、コントロールゲート30および30’とをそれぞれ有する。選択トランジスタT2は、選択ゲート40により制御される。任意の時点で、一度にメモリトランジスタの対のうちの一方だけが読み出しまたは書き込みのためにアクセスされる。蓄積ユニットT1−左がアクセスされるときに、チャネルのT1−左の部分の電流がソースとドレインとの間を流れることができるようにT2およびT1−右の両方がオンに転換される。同様に、蓄積ユニットT1−右がアクセスされるときに、T2およびT1−左がオンに転換される。消去は、選択ゲートのポリシリコンの一部をフローティングゲートの直ぐ近くに持つと共に、フローティングゲート内に蓄積された電子が選択ゲートのポリシリコンへトンネリングすることができるように相当の正の電圧(例えば、20V)を選択ゲートに印加することによって行われる。
図1Dは、NANDセルに組織されたメモリセルのストリングを概略的に示す。NANDセル50は、デイジーチェーン方式でそれらのソースおよびドレインにより接続された一連のメモリトランジスタM1,M2,・・・Mn(n=4,8,16またはそれ以上)から成る。一対の選択トランジスタS1,S2は、NANDセルのソース端子54およびドレイン端子56を介しての外部へのメモリトランジスタのチェーンの接続を制御する。メモリアレイでは、ソース選択トランジスタS1がオンに転換されると、ソース端子はソースラインに結合される。同様に、ドレイン選択トランジスタS2がオンに転換されると、NANDセルのドレイン端子はメモリアレイのビットラインに結合される。チェーン内の各メモリトランジスタは、意図されたメモリ状態を表すように与えられた量の電荷を蓄積する電荷蓄積素子を有する。各メモリトランジスタのコントロールゲートは、読み出し操作および書込み操作を支配する。選択トランジスタS1,S2の各々のコントロールゲートは、NANDセルのソース端子54およびドレイン端子56を介するNANDセルへの制御アクセスを提供する。
プログラミング中に、NANDセル内のアドレス指定されたメモリトランジスタが読み出され、検証されるとき、そのコントロールゲートに適切な電圧が供給される。同時に、NANDセル50内の残りのアドレス指定されていないメモリトランジスタは、それらのコントロールゲートに十分な電圧を印加することによって完全にオンに転換される。このように、実際上、個々のメモリトランジスタのソースからNANDセルのソース端子54へ、また同様に個々のメモリトランジスタのドレインについて当該セルのドレイン端子56へ、伝導路が作られる。このようなNANDセル構造を有するメモリデバイスは、米国特許第5,570,315号(特許文献9)、第5,903,495号(特許文献10)、第6,046,935号(特許文献11)に記載されている。
図1Eは、電荷を蓄積するための誘電体層を有する不揮発性メモリを概略的に示す。前述した伝導性フローティングゲート素子の代わりに、誘電体層が使用される。誘電体蓄積素子を利用するこのようなメモリは、エイタンらによる「NROM:新規な局所トラッピング、2ビットの不揮発性メモリセル」,2000年11月のIEEE電子デバイスレターズ,第21巻,第11号,543〜545ページ (Eitan et al.,“NROM: A Novel Localized Trapping, 2-Bit Nonvolatile Memory Cell," IEEE Electron Device Letters, vol.21, no.11, November 2000, pp.543-545) ”(非特許文献1)に記載されている。ONO誘電体層がソース拡散およびドレイン拡散の間のチャネルを横切って拡がる。1データビットのための電荷はドレインに隣接する誘電体層内に局在させられ、他のデータビットのための電荷はソースに隣接する誘電体層内に局在させられる。例えば、米国特許第5,768,192号(特許文献12)および第6,011,725号(特許文献13)は、2つの二酸化ケイ素層に挟まれたトラッピング誘電体を有する不揮発性メモリセルを開示している。当該誘電体内の空間的に分離されている電荷蓄積領域の二進状態を別々に読み出すことによって多状態データ記憶が実行される。
メモリアレイ
メモリデバイスは、普通行および列を成すように配列されたメモリセルの2次元アレイから成り、ワードラインおよびビットラインによりアドレス指定可能である。NORタイプまたはNANDタイプのアーキテクチャに従って当該アレイを形成することができる。
NORアレイ
図2は、メモリセルのNORアレイの例を示す。NORタイプのアーキテクチャを有するメモリデバイスは、図1Bまたは1Cに示すタイプのセルで実現されている。メモリセルの各行は、そのソースおよびドレインによりデイジーチェーン方式で接続される。この設計は、時には仮想接地設計と称される。各メモリセル10は、ソース14と、ドレイン16と、コントロールゲート30と、選択ゲート40とを有する。行内のセルの選択ゲートは、ワードライン42に接続されている。列内のセルのソースおよびドレインは、選択されたビットライン34および36にそれぞれ接続されている。メモリセルのコントロールゲートおよび選択ゲートが別々に制御される実施形態では、ステアリングライン36も、列内のセルのコントロールゲートを接続する。
多くのフラッシュEEPROM装置は、コントロールゲートおよび選択ゲートが互いに接続されて各々形成されているメモリセルで実現される。この場合、ステアリングラインは不要であり、1本のワードラインが各行に沿うセルの全てのコントロールゲートおよび選択ゲートを単に接続する。これらの設計例が、米国特許第5,172,338号および第5,418,752号に開示されている。これらのデザインでは、ワードラインは本質的に2つの機能、すなわち行選択機能と、読み出しまたはプログラミングのために行内の全てのセルにコントロールゲート電圧を供給する機能とを実行した。
NANDアレイ
図3は、図1Dに示すようなメモリセルのNANDアレイの例を示す。NANDセルの各列に沿って、ビットラインが各NANDセルのドレイン端子56に結合されている。NANDセルの各行に沿って、1本のソースラインがそれらのソース端子54の全てを接続することができる。行に沿ってNANDセルのコントロールゲートも、一連の対応するワードラインに接続されている。選択トランジスタの対(図1Dを参照)を、接続されているワードラインを介してそれらのコントロールゲートにかかる適切な電圧でオンに転換することによって、NANDセルの一行全体をアドレス指定することができる。NANDセルのチェーン内のメモリトランジスタが読み出されるとき、そのチェーン内の残りのメモリトランジスタは、それらに関連するワードラインを介して十分にオンに転換されるので、当該チェーンを流れる電流は、本質的に、読み出されるセルに蓄積されている電荷のレベルに依存する。NANDアーキテクチャと、メモリシステムの一部としてのその動作との例が、米国特許第5,570,315号(特許文献14)、第5,774,397号(特許文献15)および第6,046,935号(特許文献16)において見出される。
ブロック消去
電荷蓄積メモリデバイスのプログラミングは、より多くの電荷をその電荷蓄積素子に印加するだけという結果になり得る。従って、プログラム操作の前に、電荷蓄積素子に現存する電荷を除去(すなわち、消去)しなければならない。メモリセルの1つ以上のブロックを消去するために、消去回路(図示せず)が設けられる。セルのアレイ全体、或いはアレイのセルの有意のグループが一緒に(すなわち、一瞬のうちに)電気的に消去されるときに、EEPROMなどの不揮発性メモリは“フラッシュ”EEPROMと称される。いったん消去されれば、そのセルのグループを再プログラミングすることができる。一緒に消去され得るセルのグループは、1つ以上のアドレス指定可能な消去ユニットから成ることができる。消去ユニットまたはブロックは、通常データの1つ以上のページを記憶し、ここでページはプログラミングおよび読み出しの単位であるが、1回の操作で2ページ以上をプログラミングしたり、或いは読み出すこともできる。各ページは、通常1以上のセクタのデータを記憶し、ここでセクタのサイズはホストシステムによって定められる。一例は、磁気ディスクドライブで確立された標準に従う512バイトのユーザデータと、そのユーザデータおよび/またはそれが記憶されるブロックに関する数バイトのオーバーヘッドデータのセクタである。
読み書き回路
普通の2状態EEPROMセルでは、伝導ウィンドウを2つの領域に分割するために少なくとも1つの電流区切り点レベルが確立される。所定の固定された電圧を印加することによってセルが読み出されるとき、そのソース/ドレイン電流は当該区切り点レベル(或いは、基準電流IREF )との比較によって1つのメモリ状態に帰着させられる。読み出された電流が当該区切り点レベルのそれより多ければ、そのセルは1つの論理状態(例えば、“ゼロ”状態)であると判定される。一方、電流が区切り点レベルのそれより少なければ、そのセルは他方の論理状態(例えば、“1”状態)であると判定される。従って、このような2状態セルは、1ビットのデジタル情報を記憶する。外部からプログラムできる基準電流源が、区切り点レベル電流を発生させるためにメモリシステムの一部分として設けられることが良くある。
記憶容量を増やすために、半導体技術の状態が進むにつれて、フラッシュEEPROM装置はますます高まる密度で製造されている。記憶容量を増やすための他の方法は、各メモリセルに3つ以上の状態を記憶させることである。
多状態または多レベルのEEPROMメモリセルに関しては、各セルが2ビット以上のデータを記憶できるように、伝導ウィンドウを2つ以上の区切り点によって3つ以上の領域に分割する。与えられたEEPROMアレイが記憶できる情報は、各セルが記憶できる状態の数と共に増やされる。多状態または多レベルのメモリセルを有するEEPROMまたはフラッシュEEPROMは、米国特許第5,172,338号(特許文献17)に記載されている。
実際問題として、セルの記憶状態は、基準電圧がコントロールゲートに印加されているときに、当該セルのソース電極およびドレイン電極を横切る伝導電流を感知することによって普通は読み出される。このように、セルのフローティングゲート上の各々の与えられた電荷について、固定された基準コントロールゲート電圧に関して対応する伝導電流を検出することができる。同様に、フローティングゲートにプログラムできる電荷の範囲は、対応するしきい値電圧ウィンドウまたは対応する伝導電流ウィンドウを定める。
或いは、分割された電流ウィンドウの中の伝導電流を検出する代わりに、試験されている与えられた記憶状態についてのしきい値電圧をコントロールゲートでセットして、伝導電流がしきい値電流より低いか高いかを検出することが可能である。一つの実装例では、しきい値電流に関しての伝導電流の検出は、伝導電流がビットラインの容量を通して放電する速度を調べることによって達成される。
図4は、フローティングゲートが任意のときに選択的に蓄積し得る4つの異なる電荷Q1〜Q4についてのソース−ドレイン電流ID とコントロールゲート電圧VCGとの関係を示す。4つの実線のID 対VCG曲線は、メモリセルのフローティングゲートにプログラムされ得る、4つの可能な記憶状態にそれぞれ対応する4つの可能な電荷レベルを表している。一例として、セルの母集団のしきい値電圧ウィンドウは、0.5Vから3.5Vにわたることができる。しきい値ィンドウを各々0.5Vの間隔で5つの領域に区切ることによって6つの記憶状態を区別することができる。例えば、2μAの基準電流IREF が図示するように使用されるならば、Q1でプログラムされたセルは、その曲線がVCG=0.5Vと1.0Vとを限界とするしきい値ウィンドウの領域においてIREF と交差するので、記憶状態“1”にあると見なされて良い。同様に、Q4は記憶状態“5”にある。
以上の説明から分かるように、メモリセルが記憶させられる状態が多くなるほど、そのしきい値ィンドウはより細かく分割されることになる。これは、所要の分解能を達成することができるように、プログラミングおよび読み出し操作においてより高い精度を必要とする。
米国特許第4,357,685号(特許文献18)は2状態EPROMをプログラムする方法を開示し、その方法では、セルは、与えられた状態にプログラムされるとき、連続するプログラミング電圧パルスにさらされ、そのつど増分電荷をフローティングゲートに印加する。パルスとパルスの間で、セルは、区切り点に関してそのソース−ドレイン電流を判定するために、読み戻されたり、或いは検証される。プログラミングは、電流が所望の状態に達すると検証されたときに、終了する。使用されるプログラミングパルス列は、増加する周期または振幅を持つことができる。
従来技術のプログラミング回路は、消去された状態或いは接地状態からターゲット状態に達するまで、しきい値ウィンドウ内を進むプログラミングパルスを単に印加する。実際には、十分な分解能に配慮するために、各々の分割された或いは分離された領域は、横断するのに少なくとも約5つのプログラミングステップを必要とする。この性能は、2状態メモリセルに関しては容認できるものである。しかし、多状態セルに関しては、必要なステップの数は、仕切りの数と共に増大するので、プログラミング精度或いは分解能は高められなければならない。例えば、16状態のセルは、ターゲット状態までプログラムするために平均で少なくとも40個のプログラミングパルスを必要とする。
図5は、行復号器130および列復号器160を介して読み書き回路170がアクセスし得る代表的構成のメモリアレイ100を有するメモリデバイスを概略的に示す。図2および3との関係で説明されたように、メモリアレイ100内のメモリセルのメモリトランジスタは、選択されたワードラインおよびビットラインのセットを介してアドレス指定可能である。アドレス指定されたメモリトランジスタの各々のゲートに適切な電圧を印加するために、行復号器130は1つ以上のワードラインを選択し、列復号器160は1つ以上のビットラインを選択する。読み書き回路170は、アドレス指定されたメモリトランジスタの記憶状態を読み出したり、或いは書き込む(プログラムする)ために設けられている。読み書き回路170は、ビットラインを介してアレイ内の記憶素子に接続され得る数個の読み書きモジュールを含む。
図6Aは、1つの読み書きモジュール190の略ブロック図である。本質的に、読み出しや検証の間、センス増幅器は、選択されたビットラインを介して接続されているアドレス指定されたメモリトランジスタのドレインを通って流れる電流を判定する。その電流は、メモリトランジスタに蓄積されている電荷と、そのコントロールゲート電圧とに依存する。例えば、多状態EEPROMセルでは、そのフローティングゲートを数個の異なるレベルのうちの1つに充電することができる。4レベルのセルに関しては、それを2ビットのデータを記憶させるために使用することができる。センス増幅器により検出されたレベルは、レベル−ビット変換論理によって、データラッチに記憶されるデータビットのセットに変換される。
読み書き性能および精度に影響を及ぼす要因
読み出しおよびプログラミングの性能を改善するために、アレイ内の多数の電荷蓄積素子またはメモリトランジスタが並列に読み出されたり、或いはプログラムされる。従って、複数の記憶素子の1つの論理“ページ”が一緒に読み出されたり、或いはプログラムされる。現存するメモリアーキテクチャでは、一行は通常インターリーブ配置された数個のページを含む。1つのページの全ての記憶素子が一緒に読み出されたり、或いはプログラムされる。列復号器は、インターリーブ配置されたページの各々を対応する数の読み書きモジュールに選択的に接続する。例えば、一つの実装例では、メモリアレイは532バイト(512バイトと、20バイトのオーバーヘッド)のページサイズを有するように設計される。各列が1本のドレイン・ビットラインを含み、インタリーブ配置されたページが1行あたりに2ページあるとすれば、合計で8512列となり、各ページは4256列と関連することになる。全ての偶数ビットラインまたは奇数ビットラインのいずれかを並列に読み出したり、或いは書き込むために接続可能なセンスモジュールが4256個あることになる。このように、4256ビット(すなわち、532バイト)のページの並列データが記憶素子のページから読み出されたり、或いはページにプログラムされる。読み書き回路170を形成する読み書きモジュールを、種々のアーキテクチャをなすように配列することができる。
図5を参照すると、読み書き回路170は読み書きスタック180のバンクに組織されている。各読み書きスタック180は、複数の読み書きモジュール190のスタックである。1つのメモリアレイでは、列間隔は、それを占める1つまたは2つのトランジスタのサイズによって決まる。しかし、図6Aから分かるように、読み書きモジュールの回路はおそらくもっと多数のトランジスタおよび回路素子で実現され、従って多数の列上のスペースを占める。その占められている複数の列の中の2つ以上の列に便益があるようにするために、多数のモジュールが互いの上に積み重ねられる。
図6Bは、読み書きモジュールのスタック190により普通に実現された図5の読み書きスタックを示す。例えば、1つの読み書きモジュールは16列にわたって広がってよく、8個の読み書きモジュールのスタックを有する読み書きスタック180を用いて8列に並列に便益があるようにすることができる。読み書きスタックは、列復号器を介してバンク内の8個の奇数(1,3,5,7,9,11,13,15)列または8個の偶数(2,4,6,8,10,12,14,16)列のいずれかに結合され得る。
前述したように、従来のメモリデバイスは、一度に全ての偶数ビットラインまたは全ての奇数ビットラインに大規模に並列に働きかけることによって読み書き動作を改善する。2つのインターリーブ配置されたページから成る行のこのアーキテクチャは、読み書き回路のブロックを適合させる問題を軽減するのに役立つ。それは、ビットライン間容量結合を制御するという考慮事項によっても左右される。読み書きモジュールのセットを偶数ページまたは奇数ページのいずれかに多重化するために、ブロック復号器が使用される。このようにして、1セットのビットラインが読み出されたり、或いはプログラムされるとき、直接隣接結合 (immediate neighbor coupling)を最小にするために、インターリービングのセットを接地することができる。
しかし、インターリービングページのアーキテクチャは、少なくとも3つの態様において不利である。第1に、付加的な多重化回路を必要とする。第2に、動作が遅い。1つのワードラインによりまたは1つの行内で接続されているメモリセルの読み出しまたはプログラミングを完了するために、2つの読み出し操作または2つのプログラミング操作が必要である。第3に、例えば偶数ページおよび奇数ページで別々にプログラミングされるなど、隣接する2つが異なる時にプログラミングされる場合、フローティングゲートレベルにおける隣接する電荷蓄積素子間のフィールド結合などの他の妨害効果に対処するうえで最適ではない。
隣接フィールド結合の問題は、メモリトランジスタ間の間隔が狭くなるにつれて目立ってくる。メモリトランジスタにおいて、電荷蓄積素子はチャネル領域とコントロールゲートとに挟まれている。チャネル領域を流れる電流は、コントロールゲートと電荷蓄積素子とにおけるフィールドが与える合成電界の関数である。密度がますます高まるにつれて、メモリトランジスタ同士はますます互いに近接して形成されるようになる。すると、隣接する電荷素子からの電界は、影響を受けるセルの合成電界に著しく寄与するようになる。隣接する電界は、隣のものの電荷蓄積素子にプログラムされている電荷に依存する。この外乱電界は、隣のもののプログラムされた状態と共に変化するので、本質的に動的である。従って、影響を受けるセルは、隣のものの変化する状態に依存して、異なる時に異なって読み出される可能性がある。
インターリービングページの従来のアーキテクチャは、隣接フローティングゲート結合に起因するエラーを悪化させる。偶数ページと奇数ページとは互いに無関係にプログラミングされ、かつ読み出されるので、ページは1セットの条件下でプログラミングされるけれども、介在するページにその間に何が起こったかに依存して全く異なる条件セット下で読み戻される可能性がある。読み出しエラーは、密度が高まるにつれてもっと厳しくなり、多状態の実装例のためにより正確な読み出し操作と、しきい値ウィンドウのより粗い分割とを要求する。性能は悪くなり、多状態の実装例で可能な能力は限られている。
従って、高性能で大容量の不揮発性メモリが一般的に必要とされている。特に、読み出しおよびプログラム操作が改善されたコンパクトな不揮発性メモリと、外乱効果を最小にするメモリシステムとが必要である。
米国特許第5,595,924号 米国特許第5,070,032号 米国特許第5,095,344号 米国特許第5,315,541号 米国特許第5,343,063号 米国特許第5,661,053号 米国特許第5,313,421号 米国特許第6,222,762号 米国特許第5,570,315号 米国特許第5,903,495号 米国特許第6,046,935号 米国特許第5,768,192号 米国特許第6,011,725号 米国特許第5,570,315号 米国特許第5,774,397号 米国特許第6,046,935号 米国特許第5,172,338号 米国特許第4,357,685号 特願2004−540088 エイタンらによる「NROM:新規な局所トラッピング、2ビットの不揮発性メモリセル」,2000年11月のIEEE電子デバイスレターズ,第21巻,第11号,543〜545ページ
高性能でコンパクトな不揮発性メモリデバイスのこれらの必要性は、読み書き回路の大きなブロックにメモリセルの対応するブロックを並列に読み出させ、かつ書き込ませることにより満たされる。特に、当該メモリデバイスは、読み書き回路のブロックにおける冗長性を最小にするアーキテクチャを有する。読み書きモジュールのブロックを、共通部分のかなり小さなセットと時間多重化方式で相互に作用しながら、並列に動作するブロック読み書きモジュールコア部分に再分布させることによって、スペースおよび電力の顕著な節約が達成される。一実施形態では、当該コア部分のコンポーネントは類似するスタックのバンクに組織され、その各々は共通部分を共有するこのようなコアコンポーネントのスタックである。
本発明の他の態様によれば、シリアルバスは、各スタック内の当該読み書きモジュールコア部分と共通部分との間の通信を提供する。このようにして、各スタックにおいて使用されなければならない通信ラインは最少となる。バスコントローラが当該コンポーネントの動作とそれらの相互作用とを制御する制御信号およびタイミング信号を当該シリアルバスを通して送る。好ましい実施形態では、類似する全てのスタックにおいて対応するコンポーネントが同時に制御される。
本発明の他の態様によれば、複数の読み書き回路に関連するデータラッチは、チェーンのように蓄積およびシリアル転送を容易にするようにコンパクトに連結されることによってI/Oイネーブルされる。好ましい実施形態では、コンパクトなデータラッチは、リンクモジュールの1つ以上のチェーンによって実現される。個々のリンクモジュールを、インバータまたはラッチのいずれかとして動作するように接続することができる。1つの方法は、マスタリンクモジュールのセットとスレーブリンクモジュールの大幅に小さなセットとの間でデータを循環させることによって最少数のリンクモジュールの使用を可能にする。
本発明のデータラッチは、スレーブリンクモジュールの数を大幅に減少させることを可能にすると同時に当該データラッチへのシリアル入力および出力を簡単化するので、本発明のデータラッチを用いることによって貴重なチップスペースが節約される。
本発明の種々の態様によるスペースの節約は、よりコンパクトなチップ設計に配慮している。回路の節約と、従ってスペースおよび電力消費量の節約とは、現存する読み書き回路と比べて50%にもおよび得る。特に、読み書きモジュールがメモリアレイのメモリセルの連続した行に同時に供給することができるように、読み書きモジュールを密集してパックすることができる。
本発明の他の態様によれば、不揮発性メモリデバイスは、読み書きモジュールがメモリアレイのメモリセルの連続した行に同時に供給することができるように、読み書きモジュールを密集してパックすることができるアーキテクチャを有する。これは、メモリセルの1セグメントまたは1行全体の連続的な読み出しおよびプログラミングを可能にし、性能向上と、隣接するメモリセルからのフィールドに起因する結合エラーの減少という結果をもたらす。
本発明の付加的な特徴および利点は、添付図面と関連して読まれるべきであるその好ましい実施形態についての以下の説明から理解されるであろう。
図7Aは、本発明の好ましい実施形態によるコア部分210と共通部分220とに区分された1つの読み書きモジュール200の略ブロック図である。コア部分210は、接続されたビットライン211の伝導電流が所定のしきい値レベルより上か下かを判定するセンス増幅器212を含む。前述したように、接続されたビットライン211は、アレイ内のアドレス指定されたメモリセルのドレインへのアクセスを可能にする。
一実施形態では、コア部分210はビットラインラッチ214も含む。ビットラインラッチは、接続されたビットライン211に電圧条件をセットするために使われる。一つの実装例では、ビットラインラッチにおいてラッチされている所定の状態は、接続されたビットライン211がプログラム抑制を指示する状態(例えば、Vdd)に引かれるという結果をもたらす。この特徴は、後述されるようにプログラム抑制のために使われる。
共通部分220は、プロセッサ222と、データラッチのセット224と、データラッチのセット224およびデータバス231の間に結合されたI/Oインタフェース226とを含む。プロセッサ222は計算を行う。例えば、その機能の一つは、感知されたメモリセルの記憶状態を判定し、その判定されたデータをデータラッチのセットに記憶させることである。背景技術の欄で説明したように、メモリセルは、1つの範囲の電荷を保持することができ、従ってしきい値電圧ウィンドウ内の任意のしきい値電圧レベル(すなわち、所定の伝導電流へそのセルをちょうどオンにするコントロールゲート電圧)にプログラムされ得る。データラッチのセット224は、読み出し操作時にプロセッサによって決定されたデータビットを記憶するために使われる。これは、プログラム操作時にデータバス231から読み込まれたデータビットを記憶するためにも使われる。読み込まれたデータビットは、メモリにプログラムされるように意図された書き込みデータを表す。I/Oインタフェース226は、データラッチのセット224とデータバス231との間のインタフェースを提供する。
読み出しや感知の間、動作は、アドレス指定されたセルへの種々のコントロールゲート電圧の供給を基本的に制御する状態マシンのコントローラ下にある。それがメモリにより支援される種々の記憶状態に対応する種々の予め定められたコントロールゲート電圧を通って進むとき、センス増幅器212は、それらの電圧のうちの1つにおいてトリップする。そのポイントで、プロセッサ222は、センス増幅器のトリップイベントと、印加されたコントロールゲート電圧に関する当該状態マシンからの入力ライン223を介する情報とを考慮して、結果としての記憶状態を判定する。それは、その記憶状態についてのバイナリーエンコーディングを計算し、その結果としてのデータビットをデータラッチのセット224に記憶する。
図7Bは、図7Aに示す読み書きモジュールのコア部分の他の好ましい実施形態を例示する。本質的に、SA/ビットラインラッチ214は、センス増幅器212の出力をラッチするためのラッチとして、かつ図7Aに関して説明されたビットラインラッチとして、二重の任務を果たす。従って、これを、センス増幅器またはプロセッサのいずれかによってセットすることができる。好ましい実装例では、SA/ビットラインラッチ214からの信号は、ドライバ216によって駆動されて、選択されたビットライン211の電圧をセットする。
図7Aを参照すると、プログラムや検証の間、プログラムされるべきデータはデータバス231からデータラッチのセット224に入力される。状態マシンのコントローラ下で、プログラム動作は、アドレス指定されたセルのコントロールゲートに印加される一連のプログラミング電圧パルスを含む。各プログラミングパルスに続いて、セルが所望の記憶状態にプログラムされたかどうかを判定する読み戻しが行われる。プロセッサ222は、読み戻された記憶状態を所望の記憶状態に関して監視する。この2つが一致したとき、プロセッサ222は、ビットラインがプログラム抑制を指示する状態へ引かれるようにビットラインラッチ214をセットする。これは、たとえプログラミングパルスがそのコントロールゲートに出現しても、ビットラインに結合されているセルがそれ以上プログラミングされるのを抑制する。
I/Oインタフェース226は、データラッチのセット224へのデータの出し入れを可能にする。図8A、8Bおよび9に見られるように、データのブロックを一度に読み出したり、またはプログラムするためにメモリデバイスにおいて読み書きモジュールのブロックが並列に使用される。通常、読み書きモジュールのブロックによりラッチされているデータをデータバス231へシリアルに転送できるように、読み書きモジュールのブロックのデータラッチの個々のセットが結合されてシフトレジスタを形成する。同様に、読み書きモジュールのブロックのためのプログラムデータをデータバス231からデータラッチの各セットへシリアルに入力してラッチさせることができる。
読み書きモジュール200の他の特定の実装例が、本願と同じ日に出願された同時継続出願中の共通に譲渡されたセルニア,ラウル−エイドリアンおよびリ,ヤンによる米国特許出願「隣接フィールドエラーが低減された不揮発性メモリおよび方法」(特許文献19)に開示されている。この特許出願は、その全体が本願明細書において参照により援用されている。
コンパクトな読み書き回路
本発明の1つの重要な特徴は、並列に動作する読み書きモジュールのブロックについて、各モジュールをコア部分と共通部分とに区分し、コア部分のブロックを大幅に少ない数の共通部分と動作させ、かつ共有することである。このアーキテクチャは、個々の読み書きモジュールの中の重複する回路を因数分解することによりスペースおよび電力を節約することを可能にする。高密度のメモリチップの設計では、スペースの節約はメモリアレイのための読み書き回路全体の50%にもおよび得る。これは、読み書きモジュールが、メモリアレイのメモリセルの1つの連続する行に同時に供給することができるように、読み書きモジュールを密接にパックすることを可能にする。
図8Aは、本発明の一実施形態による区分された読み書きスタックのバンクを有するコンパクトなメモリデバイスを概略的に例示する。当該メモリデバイスは、メモリセルの2次元アレイ300と、制御回路310と、読み書き回路370とを含む。メモリアレイ300は、行復号器330を介してワードラインにより、また列復号器360を介してビットラインにより、アドレス指定可能である。読み書き回路370は、区分された読み書きスタック400のバンクとして実現され、メモリセルのブロックを並列に読み出したり、或いはプログラムすることを可能にする。1行のメモリセルが多数のブロックに区分されている一実施形態では、読み書き回路370を個々のブロックに多重化するためにブロックマルチプレクサ350が設けられる。より詳細に後述するように、読み書きスタック400内での通信は、スタックバスにより行われ、スタックバスコントローラ430によって制御される。
制御回路310は、メモリアレイ300での記憶動作を実行するために読み書き回路370と協力する。制御回路310は、状態マシン312、オンチップアドレス復号器314および電力制御モジュール316を含む。状態マシン312は、記憶動作のチップレベル制御を提供する。オンチップアドレス復号器314は、復号器330および370により使用されるハードウェアアドレスへの、ホストまたはメモリコントローラにより使用されるもののアドレスインタフェースを提供する。電力制御モジュール316は、記憶動作中にワードラインおよびビットラインに供給される電力および電圧を制御する。
図8Bは、図8Aに示すコンパクトなメモリデバイスの好ましい構成を例示する。種々の周辺回路によるメモリアレイ300へのアクセスは、当該アレイの向かい合う側に対称的に実現されるので、各側のアクセスラインおよび回路は半分に減らされている。従って、行復号器は行復号器330Aおよび330Bに分割され、列復号器は列復号器360Aおよび360Bに分割されている。1行のメモリセルが多数のブロックに区分される実施形態では、ブロックマルチプレクサ350はブロックマルチプレクサ350Aおよび350Bに分割される。同様に、読み書き回路は、下からビットラインに接続する読み書き回路370Aとアレイ300の上からビットラインに接続する読み書き回路370Bとに分割される。このようにして、読み書きモジュールの密度が、従って区分された読み書きスタック400の密度が、ほぼ半分に減らされる。
図9は、区分された読み書きスタックのバンクに組織された図8Aまたは8Bに示す読み書き回路をより詳細に概略的に例示する。区分された読み書きスタック400の各々は、本質的にk個のメモリセルのセグメントに並列に便益である読み書きモジュールのスタックを含む。各スタックは、コアスタック部分410と共通スタック部分420とに区分されている。各読み書きスタック400内での通信は、相互接続スタックバス431により行われ、スタックバスコントローラ430により制御される。制御ライン411は、スタックバスコントローラ430から読み書きスタック410のコア部分の各々へ制御信号およびクロック信号を提供する。同様に、コントロールライン421は、スタックバスコントローラ430から読み書きスタック420の共通部分の各々へ制御信号およびクロック信号を提供する。
並列に動作する区分された読み書きスタック400のバンク全体が、1行に沿うp個のセルのブロックが並列に読み出されたり、或いはプログラムされることを可能にする。例えば、rがバンク内のスタックの数であるとすれば、p=rkである。メモリアレイの一例は、p=512バイト(512×8ビット)、k=8を有し、従ってr=512である。好ましい実施形態では、ブロックはセルの行全体のランである。他の実施形態では、ブロックは行内のセルのサブセットである。例えば、セルのサブセットは行全体の半分或いは行全体の4分の1であり得る。セルのサブセットは、隣接するセルの1つのラン、または1つ置きのセル、或いは所定数に1つのセルであり得る。
図8Aに示す実施形態では、p個のセルのブロックの各々に1つずつ、p個の読み書きモジュールがある。各スタックはk個のメモリセルに供給されるので、バンク内の読み書きスタックの総数はr=p/kによって得られる。p=512バイトでk=8である例では、rは512になる。
前述したように、高密度で高性能のメモリにおける1つの問題は、セルの連続的行のブロックを並列に読み出し、かつプログラムする必要があること、および全てのセルのために読み書きモジュールを収容するのが困難であることである。
収容の問題は、メモリアレイの向かい合う両側に周辺回路が形成されている図8Bに示す好ましい実施形態により軽減される。読み書き回路370A、370Bがメモリアレイ300の向かい合う両側に形成されているときに、p個のセルのブロックの半分は上側からアクセスされ、他の半分はアレイの下側からアクセスされる。従って、各側にp/2個の読み書きモジュールがある。その結果として、各側に存する読み書きスタック400はp/2個のビットラインまたはメモリセルに並列に供給するだけで良いので、バンクの読み書きスタックの総数はr=p/2kによって得られることになる。p=512バイトでk=8である例では、rは256になる。このことは、図8Aに示す実施形態と比べてメモリアレイの各側に僅か半分の個数の読み書きスタック400が必要とされるに過ぎないということを意味する。
収容或いはその他の考慮事項がもっと低い密度を必要とする他の実施形態では、セルの行はセルのインターリーブされた2つ以上のブロックに区分される。例えば、セルの1つのブロックは偶数列からのセルから成り、セルの他のブロックは奇数列からのセルから成る。図8Aおよび8Bに示されているように、ブロックマルチプレクサ350または350Aおよび350Bは、区分された読み書きスタックのバンクを偶数ブロックまたは奇数ブロックのいずれかへ切り替えるために使用される。図8Bに示す実施形態では、アレイの各側にp/4個の読み書きモジュールがある。この場合、向かい合う側の各々における読み書きスタックの数はr=p/4kになる。従って、比較的に少数の読み書きモジュールを設けるために比較的に大きな場所が提供されることになるが、性能が低下すると共に読み書きブロックが最早連続的でないという犠牲を払うことになる。
図10は、読み書きモジュールのスタックから構成された区分された読み書きスタックをより詳細に示す。区分された読み書きスタック400は、本質的にk個のビットラインを介してk個のメモリセルに供給するk個の読み書きモジュールを含む。図7に示す読み書きモジュール200から分かるように、1つのメモリセルより多くの回路要素を含むので、幅が本質的に1つのメモリセルの幅により定められる1つの列の中に収容され得ない。読み書きモジュール200の複雑さと特徴とに依存して、それは、例えば8列と16以上の列(すなわち、k〜8から16以上)との間を容易に占める可能性がある。各読み書きモジュールは、kなどの十分な数の列にまたがる幅を有する。このことは、各列に便益があるようにするために、これらのまたがられた列の中に同数のモジュール(k)を積み重ねなければならなくなることを意味する。例えば、各読み書きモジュールが16列の幅を有するとすれば、読み書き回路が一方の側だけに存在する図8Aに示す実施形態では、スタックは16個の読み書きモジュールを含むことになる。読み書き回路がアレイの上および下の両方に形成されているので、8個のビットラインが各端部でアクセスされる図8Bに示す好ましい実施形態では、スタックの深さは8つの読み書きモジュールとなる。
本発明の1つの重要な特徴は、複雑で、同時に非常にコンパクトな読み書きモジュール200を実現することである。これを、p個の読み書きモジュールのブロックを、遥かに少数の(すなわち、r個の)読み書きモジュール共通部分220を共有するp個の読み書きモジュールコア部分210に区分することによって可能にする(図7および図10を参照)。
図10は、k個のビットラインに供給する読み書きスタック400がスタックコア部分410とスタック共通部分420とに区分されていることを示す。スタックコア部分410は、図7Aまたは図7Bにそれぞれ示す210のようなk個の読み書きモジュールコアを含む。スタック共通部分420は、図7Aに示す共通部分220のような1つの読み書きモジュール共通部分を含む。コア部分と共通部分とへの区分けは、コア部分がすべて同時にまたは並列に操作されるという原理に基づいている。この場合、メモリセルの対応するブロックが並列に感知されるので、センス増幅器212とSA/ビットラインラッチ210(図7Bを参照)とを含むことになる。メモリセルのブロックが並列に感知された後、感知結果を、比較的に少数の共通部分によってシリアルに処理することができる。
コア部分210の各々と共通部分420の間の通信は、スタックバス431を通してスタックバスコントローラ430の制御下で行われる。これは、当該コントローラからバンク内の全てのスタックへ伸びる411−kおよび421などの制御ラインによって達成される。
この共有方式は、読み書き回路における冗長性を回避する。k=8ならば、各スタック内で8個の共通部分のうちのおよそ7個が最早必要なくなる。読み書き回路全体に関しては、これは、おおよそ合計でr(k−1)個の共通部分を廃止するということになり、集積メモリチップにおいてスペースを大幅に節約するという結果をもたらす。前述したように、読み書き回路により占められるスペースを50%も減少させるという結果をもたらし得る。
図11Aは、図10に示す読み書きスタックコア410の一実施形態を示し、ここでスタック内の各センス増幅器は、これと関連するビットラインラッチに隣接して配置されている。これらのセンス増幅器とビットラッチとは、図7の読み書きモジュールコア部分210に示されているものと類似している。読み書きスタックコア410は、k個のセンス増幅器212−1から212−kまでと、k個のビットラインラッチ214−1から214−kまでとを含み、ビットラインラッチが同じビットラインに供給するセンス増幅器に隣接するように組織されている。例えば、ビットラインラッチ214−1はセンス増幅器212−1に隣接し、両方ともビットライン1に結合されている。ビットラインラッチおよびセンス増幅器の各々は、スタックバス431を通して読み書きスタック400の他のコンポーネントと通信する(図10を参照)。
図11Bは、図10に示す読み書きスタックコア410の他の実施形態を示し、ここでスタック中の個々のセンス増幅器は1つのクラスタを形成し、個々のビットラインラッチは他のクラスタを形成している。読み書きスタックコア410は、k個のセンス増幅器212−1から212−kまでと、k個のビットラインラッチ214−1から214−kまでとを含む。読み書きスタックコア410は、k個のビットラインラッチの全てが1つのクラスタ内で互いに隣接し、k個のセンス増幅器の全てが他のクラスタ内で互いに隣接するように組織されている。例えば、ビットラインラッチ214−1から214−kまでが1つのクラスタを形成し、センス増幅器212−1から212−kまでが他のクラスタを形成する。ビットラインラッチおよびセンス増幅器の各々は、制御ライン411を介してスタックバスコントローラの制御下でスタックバス431(図10を参照)を通して読み書きスタック400の他のコンポーネントと通信する。
図12は、図10に示す読み書きスタック共通部分をより詳細に示す。読み書きスタック共通部分420は、本質的に図7に示す共通部分220などの読み書きモジュール共通部分の1つのコピーを含む。それは、プロセッサ222と、データラッチスタック224とを含む。一実施形態では、データバス231は、プロセッサ222およびデータラッチスタック224に結合され、またプロセッサ222を介してスタックバス431にも結合される。他の実施形態では、データバス231は、スタックバス431の延長部分である。スタックバス431(図10を参照)は、読み書きスタックコア部分410と共通部分420との間の通信を可能にする。このようにして、個々の読み書きモジュールコア210は、共通部分420を共有することができる。プロセッサ222と、データラッチスタック224と、スタックバス431との動作は、制御ライン421を介してスタッバスコントローラからの制御信号およびクロック信号によって制御される。
区分された読み書きスタック400の種々のコンポーネントの動作は、図7の区分された読み書きモジュール200に関して一般的に説明された動作と類似している。スタック共通部分が多数の読み書きモジュールコアに共有されるのでスペースが節約されるので、複雑で、特徴に富む読み書きモジュールが可能となる。例えば、プロセッサ222を、エラー訂正を含む洗練されたマージング推定 (margining estimations)と、静的および動的データ処理を実行するためにも使用することができる。
スタックバス
本発明の他の態様によれば、区分された読み書きスタック400内の種々の部分の間の通信のためにシリアルバスが設けられる。シリアルバス431は、スタックバスコントローラ430の制御下で読み書きモジュール共通部分420を読み書きモジュールコア410のいずれとも相互に接続することができる。スタックバスコントローラ430は、読み書きスタック400内の種々の部分の間で何時およびどんな場合にデータが転送されるかを制御するバスマスタとして作用する。
図10〜12を参照すると、メモリセルがアドレス指定されたときに、そのソース−ドレイン電流が複数のセンス増幅器のうちのセンス増幅器212−kなどの1つのセンス増幅器によって感知される。センス増幅器212−kのデジタル出力はスタックバス431に出力され、次いでプロセッサ222によってピックアップされる。バスのタイミングは、スタックバスコントローラ430によって制御される。プロセッサ222は、センス増幅器の出力データを関連する状態情報と共に処理して、アドレス指定されたセルについてのバイナリー読み出しデータを得る。そのバイナリーデータは、スタックバス431に出力され、ビットラインkと関連するデータラッチによってピックアップされる。再び、スタックバスコントローラ430は、センス増幅器212−kから得られたバイナリーデータが、それと関連するデータラッチへ行くことを保証する。
プログラミング動作の検証ステップにおいて、センス増幅器のデジタル信号は、アドレス指定されたメモリセルが所望のレベルにプログラムされているかどうかを示す。所望のレベルが達成されていれば、プロセッサ222は、スタックバス431を介して対応するビットラインラッチへ制御信号を送る。例えば、ビットラインラッチ214−kを、ビットラインkが、結合されているメモリセルのさらなるプログラミングを阻止する所定の電圧(例えば、Vdd)まで引かれていることに対応する状態にセットすることができる。他の実施形態では、必ずしもビットラインの電圧を制御するようには結合されないが、アドレス指定されたワードラインを通してプログラミング電圧を制御するように結合される専用のラッチによってプログラム・ロックアウト・ラッチを実現することができる。
共通部分420の区分けとk個の読み書きモジュールコア210による共有とは、区分された部分の間に通信チャネルが確立されたことを予見する。図7を参照すると、コア部分210と共通部分220との間に少なくとも2つの接続があることが分かる。従って、少なくとも2k個の接続が必要であると思われる。これらはk個のビットライン接続に加えて、kから2k個の列の幅の中に設けられるべき合計3k個の接続を与える。従って、少なくとも、各列は1.5個の伝導ラインを収容しなければならない。通常、各線の幅、従って導電率が最大になるように、各列内の伝導ラインがなるべく少ないほうが好ましい。
スタックバス431の実装例は、区分された読み書きスタック400のいろいろな部分のための通信ラインの数の減少を可能にする。好ましい実施形態では、唯一のラインでのシリアルバスの実装例が使用される。このようにして、2k個の列を占める各スタックのために、現存するk個のビットラインに加えて、唯一の伝導ラインが必要とされ、合計ではk+1個の伝導ラインとなる。各列がほぼ0.5個の伝導ラインを収容しなければならないという結果をもたらすことになり、これは各伝導ラインの幅がほぼ2列の幅であり得るということを意味する。シリアルバスのアーキテクチャを有する読み書きスタックは、スタック内での個々の部分の配置(例えば、図11Aおよび11Bを参照)を与えられた考慮事項に応じて最適化し得るように、レイアウトの自由度を大きくすることも可能にする。
コンパクトなI/Oイネーブルされるデータラッチスタック
本発明の他の態様によれば、読み書きセンス増幅器のブロックと関連するI/Oイネーブルされるデータラッチのセットが、スペースが効率的なシフトレジスタの一部として実現される。
前述したように、読み出し動作時に、センス増幅器212の出力信号はプロセッサ222によって解釈され、バイナリーフォーマットに変換される。2状態記憶の実装例では、変換されたデータは1ビットのバイナリーデータになる。多状態の実装例では、変換されたバイナリーデータは2ビット以上である。従って、バイナリーデータの各ビットのために、データラッチのセットの中に1つの対応するデータラッチがある。プログラム動作中、データラッチの同じセットがプログラムデータラッチとして使われる。プログラムされるべきデータは、ホスト/コントローラからデータバスを介してメモリチップに送られ、データラッチの同じセットに蓄積される。本願明細書の目的上、ラッチは多状態の場合にはアレイであることが理解されよう。
図12を参照すると、コンパクトなデータラッチスタック224は、k個のセンス増幅器に対応するk個のデータラッチのスタックを含む。これらのデータラッチはその読み出しデータまたは書き込みデータをデータバス231と交換するので、これらに蓄積されている並列データがデータバスのためのシリアルデータに変換され、またその逆の変換も行われるように、当該データラッチスタックをシフトレジスタとして実現するのが好ましい。好ましい実施形態では、データのブロックがデータバスへ或いはデータバスからシリアルに転送されることによって入力または出力され得るように、p個のメモリセルの読み書きブロックに対応する全てのデータラッチを一緒に連結して1つのブロックシフトレジスタを形成することができる。特に、r個の読み書きスタックのバンクの動作タイミングは、そのデータラッチのセットの各々が、あたかも当該読み書きブロック全体のためのシフトレジスタの一部であるかのようにデータをデータバスへ或いはデータバスから順次にシフトさせるように、設定される。
図13Aは、シフトレジスタの従来の実装例を例示する。シフトレジスタは、マスタ−スレーブ・フリップ−フロップM1 ,S1 ,・・・,Mk ,Sk の列として実現される。データラッチスタック224がこのタイプのシフトレジスタで実現されたときに、データはマスタ−スレーブ・フリップ−フロップの列を通してシリアルにシフトされる。各クロックエッジで、チェーン内の全てのフリップフロップが同時に操作され、チェーン内のデータが1フリップ−フロップだけシフトされる。各マスタ・フリップ−フロップにスレーブを割り当てることにより、マスタ自体が上書きされる前に、各マスタの内容がスレーブにコピーされることを保証する。このタイプのシフトレジスタは、k個のデータビットを保持し、シフトさせるために2k個の記憶装置を必要とするので、2倍のオーバーヘッドを有するから“2kシフトラッチ”と見なされ得る。
図13Bは、図13Aのマスタ−スレーブ・フリップ−フロップで実現されたデータラッチスタックへのデータのローディングを例示するテーブルである。シリアルデータD1 ,D2 ,D3 ,・・・がシフトレジスタに供給されてゆくとき、一連のクロック信号、CLK1 ,CLK2 ,CLK3 ,・・・がラッチスタックコントローラ224(図10を参照)により供給され、全てのフリップフロップに加えられる。第1のクロックサイクルCLK1 において、第1のデータD1 が第1のマスタ・フリップ−フロップM1 にラッチされる。第1のクロック信号CLK1 の立ち下がりエッジにおいて、M1 のデータD1 は第1のスレーブ・フリップ−フロップS1 にもラッチされる。第2のクロックサイクルCLK2 において、第1のデータD1 がS1 から第2のマスタ・フリップ−フロップM2 にロードされるときに、次のデータD2 がM1 にロードされる。後のステップは、全てのデータ項目がラッチスタック224の中にシフトされるまで、例示されているように、前のステップの単純な繰り返しである。k個の項目のローディングがk個のクロックサイクルを必要とすることが分かる。
多状態メモリについて、マスタ・フリップ−フロップMおよびスレーブ・フリップ−フロップSの各々が所要のデータビットの数に比例する規模を持ったアレイになるということが理解されよう。例えば、4状態メモリセルについて、状態はデータの2つのバイナリービットによって符号化される。2ビットの各々について、MはM(1)およびM(2)を表し、SはS(1)およびS(2)をそれぞれ表す。従って、“2kシフトラッチ”は、M1 (1),S1 (1),M1 (2),S1 (2),M2 (1),S2 (1),M2 (2),S2 (2),・・・,Mk (1),Sk (1),Mk (2),Sk (2)により形成されることになる。
図14Aは、コンパクトなラッチスタック224の1つの好ましい実施形態を例示する。ラッチスタック224は、スレーブリンクモジュールS1 520を有するチェーンを含み、これに一連のマスタリンクモジュールMk ,・・・,M2 ,M1 510が続く。このチェーンは、スレーブリンクモジュールS1 520にI/O端部501を有する。データD1 ,D2 ,・・・,Dk は、I/Oライン510から当該チェーン内にシフトされ、M1 端部から当該チェーンの外へシフトされる。M1 から出力されたデータは、出力ラインドライバ530を通してI/Oライン501へ送られる。
出力ラインドライバは、ライン533のREAD信号によりゲートで制御されるトランジスタ532と、トランジスタ536によって選択的に分路されるインバータ534とを含む。トランジスタ536のゲート537における制御信号INVERTがハイであるときに、インバータ534はアクティブであり、M1 からの出力信号を反転する。そうでなければ、インバータ534はバイパスされ、M1 からの出力信号はI/Oライン501に現れる。データラッチスタック224を操作するために必要な制御信号READ、INVERT、およびその他の制御信号、並びにタイミング信号は、制御ライン421を介してスタックバスコントローラ430により提供される(図12を参照)。
図14Aに示すデータラッチスタック224は“k+1”のコンパクトなシフトレジスタと見なされてよく、kビットのデータを保持するためにk個のマスタリンクモジュール510を含み、データを一時記憶するために唯一のスレーブリンクモジュール520を使用する。スレーブリンクモジュール520は、記憶されたデータを途中で失わずに当該チェーンに沿ってデータ項目をシャッフルすることを容易にする。ラッチスタックのこの実装例は、図13Aおよび13Bの“2kシフトラッチ”と比べて、必要なラッチの数を半分に減らすのに役立つ。後述する他の実施形態では、k個のマスタリンクモジュールは2個以上のスレーブリンクモジュールを共有することができるが、一般にスレーブモジュールの数はマスタモジュールの数より大幅に少ない。
図14Bは、マスタまたはスレーブリンクモジュール510,520の一実施形態を示す。このリンクモジュールの1つの新規な特徴は、当該モジュールを選択的にインバータまたはラッチとして動作させることができることである。当該リンクモジュールは入力501と出力551とを有する。入力501は、チェーン内の前のリンクモジュールの出力からデータ入力を受け取る。トランジスタ512は、制御信号InCLKにより制御され、入力データのためのゲートとして役立つ。当該制御信号がハイであるとき、データは当該リンクモジュールに入ることができる。当該制御信号がローであるとき、データは当該モジュールに入ることを許されない。データが入ることを許されれば、データは、一対のインバータ550,560により形成されるラッチ内にラッチされているか、或いは他方のインバータ560がディスエーブルされている間に一方のインバータ550によって反転されるかのいずれかである。そのラッチされたデータは、出力551においてアクセス可能であるけれども、制御信号OutCLKにより制御されるトランジスタ514によってゲートで制御される。
図14Cは、制御信号LH/INVがハイであるときに、図13Bのリンクモジュールがラッチとして機能することを概略的に示す。当該ラッチは、インバータ560が直列トランジスタ562によりそのゲートの制御信号LH/INVで選択的にイネーブルされたときに、イネーブルされる。イネーブルされたインバータ560はインバータ550と協力して、入力データをラッチするラッチとして機能する。
図14Dは、制御信号LH/INVがローであるときに、当該リンクモジュールがインバータとして機能することを概略的に示す。この場合、インバータ560はディスエーブルされ、入力データは単にインバータ550を通過するだけである。
従って、チェーン内の各リンクモジュール510または520は選択的にインバータまたはラッチであり得る。チェーンによるデータの入力、ラッチングまたは出力は、その個々のチェーンモジュールの適切な制御によって行われる。制御信号は、スタックバスコントローラ430によりコントロールライン421を介して供給される(図12を参照)。
図15Aは、図14Aのコンパクトなデータラッチスタックで実現されたデータラッチスタックへの4データビットのローディングを例示する。4データビットは一例として使われ、ここでk=4である。一般に、データラッチスタックは必要とされるいろいろな数のデータビットkを保持することができる。プログラミング前に、クロックサイクルCLK1 ,CLK2 ,CLK3 およびCLK4 でそれぞれ順にI/Oライン501(図14Aを参照)に現れるD1 ,D2 ,D3 ,D4 などのプログラムされるべきデータがデータラッチスタックにロードされる。CLK1 の直前に、個々のリンクモジュール(M1 ,M2 ,M3 ,M4 ,S1 )は全てインバータ(図14Cを参照)、すなわち(INV,INV,INV,INV,INV)として動作するようにセットされる。このようにして、CLK1 でデータD 1 がM1 に与えられる。次のエッジCLK 1 (明示せず)でM1 はラッチ(図14Dを参照)に転換されてD 1 を保持し、チェーンから切り離される。CLK2 の直前に、チェーン内の残りのリンクモジュールの状態は全てインバータ、すなわち(LH,INV,INV,INV,INV)として動作するようにセットされる。このように、データD 2 がM2 に与えられる。CLK 2 (明示せず)でM2 もラッチに転換されてD2 を保持し、チェーンから切り離される。D 3 およびD4 がM3 およびM4 にラッチされるときに、同様のプロセスがCLK3 およびCLK4 でそれぞれ行われる。このように、4個のクロックサイクルの後、4個のデータビットが4つのマスタチェーンモジュールM1 ,M2 ,M3 ,M4 にロードされる。一つの好ましい実施形態では、1つの追加のクロックサイクルCLK5 が、M1 内のデータのコピーをスレーブチェーンモジュールS1 に保存することを可能にする。これは、図15Cと関連して後述される後の非破壊モード読み出しを容易にする。
図15Bは、図15Aのデータラッチスタックからの4個のデータビットの破壊モード読み出しを例示する。図15Aに示されているように、4クロックサイクル後、当該データラッチスタックは完全にロードされる。図15Bでは、CLK1 の間に、M1 内のデータD 1 は、その位相が出力ラインドライバ530により調整された後、読み出される。CLK2 の間に、マスタチェーンモジュールM1 はインバータのように動作し(図14Cを参照)、M2 内のデータD2 はM1 を通して送られ、その位相が出力ラインドライバ530により調整された後に読み出される。同様に、CLK3 およびCLK4 の間に、データD3 およびD4 が適宜に読み出される。このように、4ビットのデータが4個のクロックサイクルで読み出される。しかし、ラッチスタックに蓄積されていた元のデータは、この破壊モード読み出し動作後に破壊されている。
図15Cは、図15Aのデータラッチスタックから4個のデータビットを保存モードで読み出す他の好ましい実施形態を例示する。図15Aに示されているように、4クロックサイクル後、データラッチスタックは完全にロードされる。読み出されるべきビットがチェーンの出力端、すなわちM1 にあるならば、それをラッチスタック内のデータに影響を与えずに容易に読み出し得ることが分かる。ラッチスタックが完全にロードされているとき、D1 はM1 内にあって容易に読み出される。データの他のビットを読み出すために、チェーンはそれ自体の周りにループ化され、スレーブリンクモジュールS1 はエンリストされてチェーンモジュール内のビットをシャッフルし、当該ビットを当該ループの周りに回転させる。従って、データD2 を読み出すために、始めに他のデータを破壊することなくM1 へ回転させられなければならない。
図15Cにおいて、D1 のコピーがS1 に既に保存されている。CLK1 の間に、マスタリンクラッチM1 だけがインバータに転換され(図14Cを参照)、M2 内のデータD2 はCLK 1 でM1 に転送され、ラッチされる。従って、D1 はS1 へ回転させられ、D2 はD 2 としてM1 へ回転させられる。CLK2 の間に、M2 だけがインバータに転換され、M3 内のデータD3 はCLK 2 でM2 に転送され、ラッチされる。従って、D3 はM2 へ回転させられている。同様に、CLK3 およびCLK4 の間にある。データD4 はM3 へ回転させられ、S1 からのD1 のコピーがM4 へ回転させられる。従って、4クロックサイクルの後、マスタリンクモジュール内の4個のデータビットが当該チェーンに沿って1リンクモジュールだけ回転させられる。特に、D2 は今はM1 にあり、CLK5 の間に読み出され得る。同時に、回転の次のラウンドを続けるためにD2 のコピーがS1 に保存される。このように、チェーン内で4個のデータビットを1つの位置だけに回転させるために4+1のクロックサイクルを要する。換言すれば、保存モード読み出しでは、kビットのデータを読み出すために、k(k+1)個のクロックサイクルを要する。k=4の例では、20クロックサイクルを要する。
図16Aは、図14Aに示す“k+1”データラッチスタックの他の実装例を例示する。k個のマスタリンクモジュール510は、1つのスレーブリンクモジュール520を各々共有する数個の並列ブランチに分解されている。k=4の例では、1ブランチとしてS1 を共有するM1 ,M2 および第2のブランチとしてS2 を共有するM3 ,M4 などの2つのマスタリンクモジュールを各々有する2つのブランチにグループ分けすることができる。個々のブランチにおける動作は、図15Cに関して説明した単一のブランチの動作と同様である。従って、保存読み出しモードでは、第1のブランチに蓄積されている2つのビットD1 およびD2 を回転させ、読み出すために2(2+1)=6個のクロックサイクルを要する。第2のブランチの2ビットD3 およびD4 についても同様である。この場合、図15Cに示す場合では、20クロックサイクルであるのに比べて、全ての4ビットを読み出すために合計12クロックサイクルを要する。
所要のラッチ数と当該ラッチからの読み出しの速度との間にトレードオフがあることが分かる。使用されるスレーブラッチの数が少ないほど、サイクルタイムは長くなる。
図16Bは、図14Aに示す“k+1”データラッチスタックの他の好ましい実装例を例示する。k個のマスタリンクモジュール510は、複数の並列ブランチにグループ分けされているが、ここで図16Aに示すものと類似しているけれども、S1 などの同じスレーブリンクモジュール520を全てのブランチが共有する点において異なっている。k=4である例では、1ブランチとしてのM1 ,M2 および第2のブランチとしてのM3 ,M4 などの2つのマスタリンクモジュールを各々有する2つのブランチにグループ分けすることができる。この2つのブランチは同じスレーブリンクモジュールS1 を共有する。第1のブランチが読み出されるときに、回転はM1 ,M2 およびS1 を通して行われる。第2のブランチが読み出されるときに、回転はM3 ,M4 およびS1 を通して行われる。この場合、D1 およびD2 を読み出すために6クロックサイクルを要し、D3 およびD4 を読み出すために別の6クロックサイクルを要し、図16Aの場合のように、4ビットを読み出すために合計で12のクロックサイクルを必要とする。しかし、唯一のスレーブリンクモジュール520が使用される。
図17は、スレーブリンクモジュールの他の好ましい実装例を例示する。スレーブリンクモジュール520の数が非常に少なくて、通常は各スタックに1つだけであるので、プロセッサ222内に配置することができる(図12も参照)。好ましい実施形態では、スレーブリンクモジュールは、プロセッサ222によって既に使用されているラッチまたはレジスタを共有する。
本発明の種々の態様を一定の実施形態に関して説明してきたが、本発明が添付の特許請求の範囲全体の中でその権利が保護されるべきであることが理解できよう。
不揮発性メモリセルの例を概略的に示す。 不揮発性メモリセルの例を概略的に示す。 不揮発性メモリセルの例を概略的に示す。 不揮発性メモリセルの例を概略的に示す。 不揮発性メモリセルの例を概略的に示す。 メモリセルのNORアレイの例を示す。 図1Dに示されているものなどのメモリセルのNANDアレイの例を示す。 フローティングゲートが任意のときに蓄積し得る4つの異なる電荷Q1〜Q4についてのソース−ドレイン電流とコントロールゲート電圧との関係を例示する。 行復号器および列復号器を介して読み書き回路によりアクセス可能なメモリアレイの代表的な配列を概略的に例示する。 1つの読み書きモジュールの略ブロック図である。 読み書きモジュールのスタックによって従来のとおりに実現された図5の読み書きスタックを示す。 本発明の好ましい実施形態によるコア部分と共通部分とに区分された1つの読み書きモジュールの略ブロック図である。 図7Aに示す読み書きモジュールのコア部分の他の好ましい実施形態を例示する。 本発明の一実施形態による区分された読み書きスタックのバンクを有するコンパクトなメモリデバイスを概略的に例示する。 図8Aに示すコンパクトなメモリデバイスの好ましい構成を例示する。 区分された読み書きスタックのバンクに組織されている図8Aまたは8Bに示す読み書き回路をより詳細に概略的に示す。 読み書きモジュールのスタックから構成された区分されている読み書きスタックをより詳細に示す。 図10に示す読み書きスタックコア410の一実施形態を示し、この実施形態では当該スタック内の各センス増幅器は、当該センス増幅器と関連するビットラインラッチに隣接して配置されている。 図10に示す読み書きスタックコア410の他の実施形態を示し、この実施形態では当該スタック内の個々のセンス増幅器は1つのクラスタを形成し、個々のビットラインラッチは他のクラスタを形成する。 図10に示す読み書きスタック共通部分をより詳細に示す。 シフトレジスタの従来の実装例を示す。 図13Aのマスタ−スレーブ・フリップ−フロップで実現されたデータラッチスタックへのデータのローディングを示すテーブルである。 コンパクトなラッチスタックの1つの好ましい実施形態を例示する。 マスタリンクモジュールまたはスレーブリンクモジュールの一実施形態を示す。 制御信号LH/INVがハイであるときに図13Bのリンクモジュールがラッチとして機能することを概略的に例示する。 制御信号LH/INVがローであるときにリンクモジュールがインバータとして機能することを概略的に例示する。 図14Aのコンパクトなデータラッチスタックで実現されたデータラッチスタックへの4つのデータビットのローディングを例示する。 図15Aのデータラッチスタックからの4つのデータビットの破壊モード読み出しを例示する。 図14Aのデータラッチスタックからの4つのデータビットの保存モード読み出しを有する他の好ましい実施形態を例示する。 図14Aに示す“k+1”データラッチスタックの他の実装例を例示する。 図13Aに示す“k+1”データラッチスタックの他の好ましい実装例を例示する。 スレーブリンクモジュールの他の好ましい実装例を例示する。

Claims (26)

  1. 不揮発性メモリデバイスであって、
    複数のワードラインおよびビットラインによりアドレス指定可能な多状態メモリセルアレイ(300)と、
    ビットラインの関連するグループを介して並列にメモリセルのグループを操作するための読み書き回路(370)のグループであって、各読み書き回路がコア部分(410)と共通部分(420)とに区分されている、読み書き回路(370)のグループと、を備え、
    前記読み書き回路(370)のグループを多数のサブグループに組織し、各サブグループがサブグループの個々の読み書き回路から多数のコア部分(410)を含む読み書き回路のスタック(400)を形成し、それぞれがビットラインに結合可能であり、
    各コア部分(410)は、アドレス指定されたメモリセルの伝導電流レベルを感知するように配列されたセンス増幅器(212)を備え、
    各サブグループは、前記サブグループの多数のコア部分(410)のそれぞれに結合され、かつ前記サブグループの多数のコア部分(410)に関連するデータを処理するように配列されたプロセッサ(222)を含む少なくとも1つの共通部分(420)をさらに備え、
    各共通部分(420)内の前記プロセッサ(222)は、前記センス増幅器(212)から感知された伝導電流レベルを受け取るように結合され、かつ前記感知された伝導電流レベルからデータビットのセットを計算するように配列される不揮発性メモリデバイス。
  2. 請求項1記載の不揮発性メモリデバイスにおいて、
    前記スタック中の各コア部分と前記共通部分とを、それらの間の通信のために、相互接続するバスをさらに備える不揮発性メモリデバイス。
  3. 請求項2記載の不揮発性メモリデバイスにおいて、
    前記バスは、前記各コア部分と前記共通部分との間のシリアル通信を可能にする不揮発性メモリデバイス。
  4. 請求項2記載の不揮発性メモリデバイスにおいて、
    各コア部分と前記共通部分との間のバス通信を制御するように操作されるバスコントローラをさらに備える不揮発性メモリデバイス。
  5. 請求項1記載の不揮発性メモリデバイスにおいて、
    前記コア部分は、ビットラインの前記関連するグループの中のビットラインを介して、アドレス指定されたメモリセルの伝導電流レベルを感知するように結合されたセンス増幅器を備える不揮発性メモリデバイス。
  6. 請求項1記載の不揮発性メモリデバイスにおいて、
    前記コア部分に接続されたビットラインは1つの電圧状態を有し、
    前記コア部分は、前記ビットラインと関連するビットラインラッチを備え、
    前記ビットラインラッチが、前記ビットラインの前記電圧状態をセットする状態をラッチする不揮発性メモリデバイス。
  7. 請求項6記載の不揮発性メモリデバイスにおいて、
    プログラム抑制が要求されるごとに前記ビットラインラッチはプログラミングを抑制するために前記ビットライン電圧を制御するようにセットされる不揮発性メモリデバイス。
  8. 請求項6記載の不揮発性メモリデバイスにおいて、
    前記コア部分に接続されたビットラインは1つの電圧状態を有し、
    前記コア部分は、前記ビットラインに関連するビットラインラッチを備え、
    前記ビットラインラッチは前記ビットラインの前記電圧状態をセットする状態をラッチし、プログラム抑制が要求されるごとに前記ビットラインラッチはプログラミングを抑制するために前記ビットライン電圧を制御するようにセットされる不揮発性メモリデバイス。
  9. 請求項1記載の不揮発性メモリデバイスにおいて、
    前記共通部分は、
    データビットの前記セットを記憶するためのデータラッチのセットと、
    データビットの前記セットを出力するためにデータラッチの前記セットに結合された入出力端子と、
    をさらに備える不揮発性メモリデバイス。
  10. 請求項1記載の不揮発性メモリデバイスにおいて、
    前記共通部分は、
    データビットの前記セットを記憶するためのデータラッチのセットと、
    データラッチの前記セットにプログラムされるべきデータビットのセットを入力するように結合された入出力端子と、
    をさらに備える不揮発性メモリデバイス。
  11. 請求項10記載の不揮発性メモリデバイスにおいて、
    前記プロセッサは、プログラムされるべきデータビットの前記セットを受け取るようにデータラッチの前記セットに結合され、
    前記プロセッサは、プログラムされるべきデータビットの前記セットをメモリセルの対応する伝導電流レベルに変換し、感知された伝導電流レベルを前記対応する伝導電流レベルと比較する不揮発性メモリデバイス。
  12. 請求項8記載の不揮発性メモリデバイスにおいて、
    前記共通部分は、
    データビットの前記セットを記憶するためのデータラッチのセットと、
    データラッチの前記セットにプログラムされるべきデータビットのセットを入力するように結合された入出力端子と、
    プログラムされるべきデータビットの前記セットを受け取るようにデータラッチの前記セットに結合されたプロセッサと、をさらに備え、
    前記プロセッサは、プログラムされるべきデータビットの前記セットをメモリセルの対応する伝導電流レベルに変換し、感知された伝導電流レベルを前記対応する伝導電流レベルと比較する不揮発性メモリデバイス。
  13. 請求項1〜12のいずれか記載の不揮発性メモリデバイスにおいて、
    前記メモリセルアレイのメモリセルの行のセグメントが読み書き回路の前記セットによって同時に読み出される不揮発性メモリデバイス。
  14. 請求項1〜12のいずれか記載の不揮発性メモリデバイスにおいて、
    前記メモリセルアレイのメモリセルの行のセグメントが読み書き回路の前記セットによって同時にプログラムされる不揮発性メモリデバイス。
  15. 請求項1〜12のいずれか記載の不揮発性メモリデバイスにおいて、
    前記メモリセルアレイは、フラッシュEEPROMセルから構成される不揮発性メモリデバイス。
  16. 請求項1〜12のいずれか記載の不揮発性メモリデバイスにおいて、
    前記メモリセルアレイは、NROMセルから構成される不揮発性メモリデバイス。
  17. 請求項1〜12のいずれか記載の不揮発性メモリデバイスにおいて、
    前記メモリセルアレイの個々のメモリセルは、2ビット以上のデータを各々記憶する不揮発性メモリデバイス。
  18. 請求項13記載の不揮発性メモリデバイスにおいて、
    前記メモリセルアレイの個々のメモリセルは、2ビット以上のデータを各々記憶する不揮発性メモリデバイス。
  19. 請求項13記載の不揮発性メモリデバイスにおいて、
    前記セグメントは、前記アレイの1行全体にわたるメモリセルの1つの連続的なランである不揮発性メモリデバイス。
  20. 請求項13記載の不揮発性メモリデバイスにおいて、
    前記セグメントは、前記アレイの1行の半分にわたるメモリセルの1つの連続的なランである不揮発性メモリデバイス。
  21. 請求項13記載の不揮発性メモリデバイスにおいて、
    前記セグメントは、前記アレイの1行全体にわたる1つおきのメモリセルの1つのランである不揮発性メモリデバイス。
  22. 請求項14記載の不揮発性メモリデバイスにおいて、
    前記メモリセルアレイの個々のメモリセルは、2ビット以上のデータを各々記憶する不揮発性メモリデバイス。
  23. 請求項14記載の不揮発性メモリデバイスにおいて、
    前記セグメントは、前記アレイの1行全体にわたるメモリセルの1つの連続的なランである不揮発性メモリデバイス。
  24. 請求項14記載の不揮発性メモリデバイスにおいて、
    前記セグメントは、前記アレイの1行の半分にわたるメモリセルの1つの連続的なランである不揮発性メモリデバイス。
  25. 請求項14記載の不揮発性メモリデバイスにおいて、
    前記セグメントは、前記アレイの1行全体にわたる1つおきのメモリセルの1つのランである不揮発性メモリデバイス。
  26. 不揮発性メモリデバイスのための読み書き回路のコンパクトなセットを形成する方法であって、
    複数のワードラインおよびビットラインにより多状態メモリセルアレイ(300)をアドレス指定するステップと、
    ビットラインの関連するグループを介して並列にメモリセルのセットを操作するための読み書き回路(370)のセットを設けるステップであって、各読み書き回路がコア部分(410)と共通部分(420)とに区分されている、読み書き回路(370)のセットを設けるステップと、
    前記読み書き回路(370)セットを多数のサブグループに組織するステップであって、各サブグループがサブグループの個々の読み書き回路から多数のコア部分(410)を含む読み書き回路のスタック(400)を形成し、それぞれがビットラインに結合可能である、組織するステップと、を含み、
    各コア部分(410)は、アドレス指定されたメモリセルの伝導電流レベルを感知するように配列されたセンス増幅器(212)を備え、
    少なくとも1つの共通部分をさらに備える各サブグループに対して、前記サブグループの多数のコア部分(410)のそれぞれに結合され、かつ前記サブグループの多数のコア部分(410)に関連するデータを処理するように配列されたプロセッサ(222)を設けるステップをさらに含み、
    各共通部分(420)内の前記プロセッサ(222)は、前記センス増幅器(212)から感知された伝導電流レベルを受け取るように結合され、かつ前記感知された伝導電流レベルからデータビットのセットを計算するように配列される方法。
JP2004539870A 2002-09-24 2003-09-18 非常にコンパクトな不揮発性メモリおよびその方法 Expired - Lifetime JP4814521B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/254,483 US6983428B2 (en) 2002-09-24 2002-09-24 Highly compact non-volatile memory and method thereof
US10/254,483 2002-09-24
PCT/US2003/030134 WO2004029976A1 (en) 2002-09-24 2003-09-18 Highly compact non-volatile memory and method thereof

Publications (2)

Publication Number Publication Date
JP2006500728A JP2006500728A (ja) 2006-01-05
JP4814521B2 true JP4814521B2 (ja) 2011-11-16

Family

ID=31993373

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004539870A Expired - Lifetime JP4814521B2 (ja) 2002-09-24 2003-09-18 非常にコンパクトな不揮発性メモリおよびその方法

Country Status (8)

Country Link
US (6) US6983428B2 (ja)
EP (1) EP1554732B8 (ja)
JP (1) JP4814521B2 (ja)
KR (1) KR101109651B1 (ja)
CN (1) CN1698131B (ja)
AU (1) AU2003272673A1 (ja)
TW (1) TWI317129B (ja)
WO (1) WO2004029976A1 (ja)

Families Citing this family (130)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6985388B2 (en) * 2001-09-17 2006-01-10 Sandisk Corporation Dynamic column block selection
US7170802B2 (en) * 2003-12-31 2007-01-30 Sandisk Corporation Flexible and area efficient column redundancy for non-volatile memories
US7443757B2 (en) * 2002-09-24 2008-10-28 Sandisk Corporation Non-volatile memory and method with reduced bit line crosstalk errors
US7196931B2 (en) * 2002-09-24 2007-03-27 Sandisk Corporation Non-volatile memory and method with reduced source line bias errors
US6983428B2 (en) 2002-09-24 2006-01-03 Sandisk Corporation Highly compact non-volatile memory and method thereof
US7324393B2 (en) 2002-09-24 2008-01-29 Sandisk Corporation Method for compensated sensing in non-volatile memory
US7046568B2 (en) 2002-09-24 2006-05-16 Sandisk Corporation Memory sensing circuit and method for low voltage operation
US7327619B2 (en) * 2002-09-24 2008-02-05 Sandisk Corporation Reference sense amplifier for non-volatile memory
US6891753B2 (en) * 2002-09-24 2005-05-10 Sandisk Corporation Highly compact non-volatile memory and method therefor with internal serial buses
US6987693B2 (en) 2002-09-24 2006-01-17 Sandisk Corporation Non-volatile memory and method with reduced neighboring field errors
US6956770B2 (en) 2003-09-17 2005-10-18 Sandisk Corporation Non-volatile memory and method with bit line compensation dependent on neighboring operating modes
US7064980B2 (en) 2003-09-17 2006-06-20 Sandisk Corporation Non-volatile memory and method with bit line coupled compensation
US7257799B2 (en) * 2003-11-14 2007-08-14 Lsi Corporation Flexible design for memory use in integrated circuits
US7057939B2 (en) 2004-04-23 2006-06-06 Sandisk Corporation Non-volatile memory and control with improved partial page program capability
US7490283B2 (en) 2004-05-13 2009-02-10 Sandisk Corporation Pipelined data relocation and improved chip architectures
US7259420B2 (en) * 2004-07-28 2007-08-21 International Business Machines Corporation Multiple-gate device with floating back gate
US7158421B2 (en) * 2005-04-01 2007-01-02 Sandisk Corporation Use of data latches in multi-phase programming of non-volatile memories
US7120051B2 (en) * 2004-12-14 2006-10-10 Sandisk Corporation Pipelined programming of non-volatile memories using early data
US7420847B2 (en) * 2004-12-14 2008-09-02 Sandisk Corporation Multi-state memory having data recovery after program fail
US7849381B2 (en) * 2004-12-21 2010-12-07 Sandisk Corporation Method for copying data in reprogrammable non-volatile memory
US20060140007A1 (en) 2004-12-29 2006-06-29 Raul-Adrian Cernea Non-volatile memory and method with shared processing for an aggregate of read/write circuits
US7463521B2 (en) * 2005-04-01 2008-12-09 Sandisk Corporation Method for non-volatile memory with managed execution of cached data
US7206230B2 (en) * 2005-04-01 2007-04-17 Sandisk Corporation Use of data latches in cache operations of non-volatile memories
US7447078B2 (en) 2005-04-01 2008-11-04 Sandisk Corporation Method for non-volatile memory with background data latch caching during read operations
US7196946B2 (en) * 2005-04-05 2007-03-27 Sandisk Corporation Compensating for coupling in non-volatile storage
US7187585B2 (en) * 2005-04-05 2007-03-06 Sandisk Corporation Read operation for non-volatile storage that includes compensation for coupling
US7196928B2 (en) * 2005-04-05 2007-03-27 Sandisk Corporation Compensating for coupling during read operations of non-volatile memory
US7190621B2 (en) * 2005-06-03 2007-03-13 Infineon Technologies Ag Sensing scheme for a non-volatile semiconductor memory cell
US7259993B2 (en) * 2005-06-03 2007-08-21 Infineon Technologies Ag Reference scheme for a non-volatile semiconductor memory device
US7218552B1 (en) 2005-09-09 2007-05-15 Sandisk Corporation Last-first mode and method for programming of non-volatile memory with reduced program disturb
US7170788B1 (en) 2005-09-09 2007-01-30 Sandisk Corporation Last-first mode and apparatus for programming of non-volatile memory with reduced program disturb
US7366022B2 (en) * 2005-10-27 2008-04-29 Sandisk Corporation Apparatus for programming of multi-state non-volatile memory using smart verify
US7301817B2 (en) * 2005-10-27 2007-11-27 Sandisk Corporation Method for programming of multi-state non-volatile memory using smart verify
US7447066B2 (en) * 2005-11-08 2008-11-04 Sandisk Corporation Memory with retargetable memory cell redundancy
US7262994B2 (en) * 2005-12-06 2007-08-28 Sandisk Corporation System for reducing read disturb for non-volatile storage
US7349258B2 (en) * 2005-12-06 2008-03-25 Sandisk Corporation Reducing read disturb for non-volatile storage
US7443726B2 (en) * 2005-12-29 2008-10-28 Sandisk Corporation Systems for alternate row-based reading and writing for non-volatile memory
US7349260B2 (en) 2005-12-29 2008-03-25 Sandisk Corporation Alternate row-based reading and writing for non-volatile memory
US7499319B2 (en) 2006-03-03 2009-03-03 Sandisk Corporation Read operation for non-volatile storage with compensation for coupling
US7436733B2 (en) * 2006-03-03 2008-10-14 Sandisk Corporation System for performing read operation on non-volatile storage with compensation for coupling
JP2007281481A (ja) * 2006-04-10 2007-10-25 Samsung Electronics Co Ltd 不揮発性メモリを有する半導体素子及びその形成方法
KR100719382B1 (ko) * 2006-04-10 2007-05-18 삼성전자주식회사 세 개의 트랜지스터들이 두 개의 셀을 구성하는 비휘발성메모리 소자
EP2016590B1 (en) 2006-05-05 2011-10-26 SanDisk Corporation Non-volatile memory with background data latch caching during read operations and methods therefor
TWI427637B (zh) * 2006-05-05 2014-02-21 Sandisk Technologies Inc 在程式執行期間具有背景資料鎖存快取的非揮發性記憶體及方法
WO2007132453A2 (en) 2006-05-12 2007-11-22 Anobit Technologies Ltd. Distortion estimation and cancellation in memory devices
US20070266296A1 (en) * 2006-05-15 2007-11-15 Conley Kevin M Nonvolatile Memory with Convolutional Coding
US7840875B2 (en) * 2006-05-15 2010-11-23 Sandisk Corporation Convolutional coding methods for nonvolatile memory
KR100778082B1 (ko) * 2006-05-18 2007-11-21 삼성전자주식회사 단일의 래치 구조를 갖는 멀티-비트 플래시 메모리 장치,그것의 프로그램 방법, 그리고 그것을 포함하는 메모리카드
US7440331B2 (en) 2006-06-01 2008-10-21 Sandisk Corporation Verify operation for non-volatile storage using different voltages
US7457163B2 (en) * 2006-06-01 2008-11-25 Sandisk Corporation System for verifying non-volatile storage using different voltages
US7310272B1 (en) * 2006-06-02 2007-12-18 Sandisk Corporation System for performing data pattern sensitivity compensation using different voltage
US7450421B2 (en) * 2006-06-02 2008-11-11 Sandisk Corporation Data pattern sensitivity compensation using different voltage
US7352628B2 (en) * 2006-06-19 2008-04-01 Sandisk Corporation Systems for programming differently sized margins and sensing with compensations at select states for improved read operations in a non-volatile memory
US7606084B2 (en) * 2006-06-19 2009-10-20 Sandisk Corporation Programming differently sized margins and sensing with compensations at select states for improved read operations in non-volatile memory
US7443729B2 (en) * 2006-07-20 2008-10-28 Sandisk Corporation System that compensates for coupling based on sensing a neighbor using coupling
US7400535B2 (en) * 2006-07-20 2008-07-15 Sandisk Corporation System that compensates for coupling during programming
US7495953B2 (en) * 2006-07-20 2009-02-24 Sandisk Corporation System for configuring compensation
US7506113B2 (en) * 2006-07-20 2009-03-17 Sandisk Corporation Method for configuring compensation
US7522454B2 (en) * 2006-07-20 2009-04-21 Sandisk Corporation Compensating for coupling based on sensing a neighbor using coupling
US7885119B2 (en) 2006-07-20 2011-02-08 Sandisk Corporation Compensating for coupling during programming
US7518914B2 (en) * 2006-08-07 2009-04-14 Micron Technology, Inc. Non-volatile memory device with both single and multiple level cells
KR100800378B1 (ko) * 2006-08-24 2008-02-01 삼성전자주식회사 메모리 소자 및 그의 제조방법
US7734861B2 (en) * 2006-09-08 2010-06-08 Sandisk Corporation Pseudo random and command driven bit compensation for the cycling effects in flash memory
US7606966B2 (en) * 2006-09-08 2009-10-20 Sandisk Corporation Methods in a pseudo random and command driven bit compensation for the cycling effects in flash memory
US7885112B2 (en) * 2007-09-07 2011-02-08 Sandisk Corporation Nonvolatile memory and method for on-chip pseudo-randomization of data within a page and between pages
US7904783B2 (en) * 2006-09-28 2011-03-08 Sandisk Corporation Soft-input soft-output decoder for nonvolatile memory
US20080092015A1 (en) * 2006-09-28 2008-04-17 Yigal Brandman Nonvolatile memory with adaptive operation
US7818653B2 (en) * 2006-09-28 2010-10-19 Sandisk Corporation Methods of soft-input soft-output decoding for nonvolatile memory
US7805663B2 (en) * 2006-09-28 2010-09-28 Sandisk Corporation Methods of adapting operation of nonvolatile memory
US7684247B2 (en) * 2006-09-29 2010-03-23 Sandisk Corporation Reverse reading in non-volatile memory with compensation for coupling
US7447076B2 (en) * 2006-09-29 2008-11-04 Sandisk Corporation Systems for reverse reading in non-volatile memory with compensation for coupling
US7904780B2 (en) * 2006-11-03 2011-03-08 Sandisk Corporation Methods of modulating error correction coding
US7558109B2 (en) * 2006-11-03 2009-07-07 Sandisk Corporation Nonvolatile memory with variable read threshold
US8001441B2 (en) * 2006-11-03 2011-08-16 Sandisk Technologies Inc. Nonvolatile memory with modulated error correction coding
US7904788B2 (en) * 2006-11-03 2011-03-08 Sandisk Corporation Methods of varying read threshold voltage in nonvolatile memory
US7495962B2 (en) * 2006-12-29 2009-02-24 Sandisk Corporation Alternating read mode
US7440324B2 (en) * 2006-12-29 2008-10-21 Sandisk Corporation Apparatus with alternating read mode
US7606070B2 (en) * 2006-12-29 2009-10-20 Sandisk Corporation Systems for margined neighbor reading for non-volatile memory read operations including coupling compensation
US7518923B2 (en) * 2006-12-29 2009-04-14 Sandisk Corporation Margined neighbor reading for non-volatile memory read operations including coupling compensation
US7590002B2 (en) * 2006-12-29 2009-09-15 Sandisk Corporation Resistance sensing and compensation for non-volatile storage
US7616498B2 (en) * 2006-12-29 2009-11-10 Sandisk Corporation Non-volatile storage system with resistance sensing and compensation
US7535764B2 (en) * 2007-03-21 2009-05-19 Sandisk Corporation Adjusting resistance of non-volatile memory using dummy memory cells
US7706185B2 (en) * 2007-04-09 2010-04-27 Macronix International Co., Ltd. Reading circuitry in memory
US7735046B2 (en) * 2007-04-16 2010-06-08 International Business Machines Corporation E-fuse and method
US8064274B2 (en) * 2007-05-30 2011-11-22 Micron Technology, Inc. Integrated circuit having voltage generation circuitry for memory cell array, and method of operating and/or controlling same
CN101339807B (zh) * 2007-07-02 2010-09-15 晶豪科技股份有限公司 非易失性半导体存储器的编程方法及其电路
US7818493B2 (en) * 2007-09-07 2010-10-19 Sandisk Corporation Adaptive block list management
US8296498B2 (en) * 2007-11-13 2012-10-23 Sandisk Technologies Inc. Method and system for virtual fast access non-volatile RAM
US7848144B2 (en) * 2008-06-16 2010-12-07 Sandisk Corporation Reverse order page writing in flash memories
US8433980B2 (en) * 2008-06-23 2013-04-30 Sandisk Il Ltd. Fast, low-power reading of data in a flash memory
US8407400B2 (en) 2008-11-12 2013-03-26 Micron Technology, Inc. Dynamic SLC/MLC blocks allocations for non-volatile memory
US8027195B2 (en) * 2009-06-05 2011-09-27 SanDisk Technologies, Inc. Folding data stored in binary format into multi-state format within non-volatile memory devices
US8102705B2 (en) 2009-06-05 2012-01-24 Sandisk Technologies Inc. Structure and method for shuffling data within non-volatile memory devices
US7974124B2 (en) * 2009-06-24 2011-07-05 Sandisk Corporation Pointer based column selection techniques in non-volatile memories
US20110002169A1 (en) 2009-07-06 2011-01-06 Yan Li Bad Column Management with Bit Information in Non-Volatile Memory Systems
US8218381B2 (en) * 2009-11-24 2012-07-10 Sandisk Technologies Inc. Programming memory with sensing-based bit line compensation to reduce channel-to-floating gate coupling
US8468294B2 (en) * 2009-12-18 2013-06-18 Sandisk Technologies Inc. Non-volatile memory with multi-gear control using on-chip folding of data
US8144512B2 (en) 2009-12-18 2012-03-27 Sandisk Technologies Inc. Data transfer flows for on-chip folding
US8725935B2 (en) 2009-12-18 2014-05-13 Sandisk Technologies Inc. Balanced performance for on-chip folding of non-volatile memories
JP2011198440A (ja) * 2010-03-24 2011-10-06 Toshiba Corp 不揮発性半導体記憶装置
KR101194896B1 (ko) 2010-08-30 2012-10-25 에스케이하이닉스 주식회사 비휘발성 메모리 장치
US8472280B2 (en) 2010-12-21 2013-06-25 Sandisk Technologies Inc. Alternate page by page programming scheme
US9342446B2 (en) 2011-03-29 2016-05-17 SanDisk Technologies, Inc. Non-volatile memory system allowing reverse eviction of data updates to non-volatile binary cache
US8843693B2 (en) 2011-05-17 2014-09-23 SanDisk Technologies, Inc. Non-volatile memory and method with improved data scrambling
US8743615B2 (en) 2011-08-22 2014-06-03 Sandisk Technologies Inc. Read compensation for partially programmed blocks of non-volatile storage
JP5728370B2 (ja) * 2011-11-21 2015-06-03 株式会社東芝 半導体記憶装置およびその駆動方法
US8842473B2 (en) 2012-03-15 2014-09-23 Sandisk Technologies Inc. Techniques for accessing column selecting shift register with skipped entries in non-volatile memories
US8681548B2 (en) 2012-05-03 2014-03-25 Sandisk Technologies Inc. Column redundancy circuitry for non-volatile memory
US9076506B2 (en) 2012-09-28 2015-07-07 Sandisk Technologies Inc. Variable rate parallel to serial shift register
US8897080B2 (en) 2012-09-28 2014-11-25 Sandisk Technologies Inc. Variable rate serial to parallel shift register
US9490035B2 (en) 2012-09-28 2016-11-08 SanDisk Technologies, Inc. Centralized variable rate serializer and deserializer for bad column management
HUE048477T2 (hu) * 2014-01-31 2020-07-28 Hewlett Packard Development Co Háromdimenziós címzés törölhetõ és programozható csak olvasható tárolóhoz
JP5888387B1 (ja) * 2014-10-22 2016-03-22 ミツミ電機株式会社 電池保護回路及び電池保護装置、並びに電池パック
US9934872B2 (en) 2014-10-30 2018-04-03 Sandisk Technologies Llc Erase stress and delta erase loop count methods for various fail modes in non-volatile memory
US9224502B1 (en) 2015-01-14 2015-12-29 Sandisk Technologies Inc. Techniques for detection and treating memory hole to local interconnect marginality defects
US10032524B2 (en) 2015-02-09 2018-07-24 Sandisk Technologies Llc Techniques for determining local interconnect defects
US9564219B2 (en) 2015-04-08 2017-02-07 Sandisk Technologies Llc Current based detection and recording of memory hole-interconnect spacing defects
US9269446B1 (en) 2015-04-08 2016-02-23 Sandisk Technologies Inc. Methods to improve programming of slow cells
KR102356071B1 (ko) * 2015-05-06 2022-01-27 에스케이하이닉스 주식회사 저장 장치 및 이의 동작 방법
US9627016B2 (en) 2015-09-10 2017-04-18 Cypress Semiconductor Corporation Systems, methods, and devices for parallel read and write operations
US10254967B2 (en) 2016-01-13 2019-04-09 Sandisk Technologies Llc Data path control for non-volatile memory
US10528267B2 (en) 2016-11-11 2020-01-07 Sandisk Technologies Llc Command queue for storage operations
US10528255B2 (en) 2016-11-11 2020-01-07 Sandisk Technologies Llc Interface for non-volatile memory
US10528286B2 (en) 2016-11-11 2020-01-07 Sandisk Technologies Llc Interface for non-volatile memory
US10114589B2 (en) * 2016-11-16 2018-10-30 Sandisk Technologies Llc Command control for multi-core non-volatile memory
US10438636B2 (en) * 2017-12-07 2019-10-08 Advanced Micro Devices, Inc. Capacitive structure for memory write assist
US10664643B2 (en) * 2018-02-09 2020-05-26 University Of Louisiana At Lafayette Method for the non-copyable manufacture of integrated circuits
US11967356B2 (en) * 2021-06-17 2024-04-23 Micron Technology, Inc. Concurrent compensation in a memory system
US11626154B2 (en) 2021-06-17 2023-04-11 Micron Technology, Inc. Quarter match concurrent compensation in a memory system
US11942171B2 (en) 2021-12-29 2024-03-26 Micron Technology, Inc. Concurrent compensation in a memory system

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09297996A (ja) * 1996-05-01 1997-11-18 Hitachi Ltd 不揮発性半導体記憶装置
JPH1027473A (ja) * 1996-07-11 1998-01-27 Nec Corp 半導体記憶装置
JPH1055688A (ja) * 1996-08-12 1998-02-24 Sony Corp 不揮発性半導体記憶装置
JPH1173790A (ja) * 1998-06-29 1999-03-16 Hitachi Ltd 半導体記憶装置
US5940329A (en) * 1997-12-17 1999-08-17 Silicon Aquarius, Inc. Memory architecture and systems and methods using the same

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT1224062B (it) 1979-09-28 1990-09-26 Ates Componenti Elettron Metodo di programmazione per una memoria a semiconduttore non volatile elettricamente alterabile
JPS6143015A (ja) 1984-08-07 1986-03-01 Toshiba Corp デ−タ遅延記憶回路
US5313420A (en) * 1987-04-24 1994-05-17 Kabushiki Kaisha Toshiba Programmable semiconductor memory
US4852062A (en) 1987-09-28 1989-07-25 Motorola, Inc. EPROM device using asymmetrical transistor characteristics
US5095344A (en) 1988-06-08 1992-03-10 Eliyahou Harari Highly compact eprom and flash eeprom devices
JP2683919B2 (ja) * 1988-07-29 1997-12-03 三菱電機株式会社 半導体記憶装置
US5070032A (en) 1989-03-15 1991-12-03 Sundisk Corporation Method of making dense flash eeprom semiconductor memory structures
US5172338B1 (en) 1989-04-13 1997-07-08 Sandisk Corp Multi-state eeprom read and write circuits and techniques
DE69033262T2 (de) 1989-04-13 2000-02-24 Sandisk Corp EEPROM-Karte mit Austauch von fehlerhaften Speicherzellen und Zwischenspeicher
JP2622612B2 (ja) 1989-11-14 1997-06-18 三菱電機株式会社 集積回路
US5325500A (en) * 1990-12-14 1994-06-28 Xerox Corporation Parallel processing units on a substrate, each including a column of memory
US5343063A (en) 1990-12-18 1994-08-30 Sundisk Corporation Dense vertical programmable read only memory cell structure and processes for making them
JPH05101646A (ja) 1991-10-07 1993-04-23 Mitsubishi Electric Corp デユアルポートメモリ
US6222762B1 (en) 1992-01-14 2001-04-24 Sandisk Corporation Multi-state memory
US5313421A (en) 1992-01-14 1994-05-17 Sundisk Corporation EEPROM with split gate source side injection
US5307232A (en) 1992-04-21 1994-04-26 Zenith Electronics Corp. Fast reset degaussing system
US5315541A (en) 1992-07-24 1994-05-24 Sundisk Corporation Segmented column memory array
US5359571A (en) * 1993-01-27 1994-10-25 Yu Shih Chiang Memory array having a plurality of address partitions
US5555204A (en) 1993-06-29 1996-09-10 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device
KR0169267B1 (ko) 1993-09-21 1999-02-01 사토 후미오 불휘발성 반도체 기억장치
US20010052062A1 (en) 1994-03-01 2001-12-13 G. Jack Lipovski Parallel computer within dynamic random access memory
US5661053A (en) 1994-05-25 1997-08-26 Sandisk Corporation Method of making dense flash EEPROM cell array and peripheral supporting circuits formed in deposited field oxide with the use of spacers
TW318933B (en) * 1996-03-08 1997-11-01 Hitachi Ltd Semiconductor IC device having a memory and a logic circuit implemented with a single chip
US5903495A (en) 1996-03-18 1999-05-11 Kabushiki Kaisha Toshiba Semiconductor device and memory system
US5768192A (en) 1996-07-23 1998-06-16 Saifun Semiconductors, Ltd. Non-volatile semiconductor memory cell utilizing asymmetrical charge trapping
US5835406A (en) * 1996-10-24 1998-11-10 Micron Quantum Devices, Inc. Apparatus and method for selecting data bits read from a multistate memory
JP3897388B2 (ja) 1996-12-27 2007-03-22 シャープ株式会社 シリアルアクセス方式の半導体記憶装置
US6768165B1 (en) 1997-08-01 2004-07-27 Saifun Semiconductors Ltd. Two bit non-volatile electrically erasable and programmable semiconductor memory cell utilizing asymmetrical charge trapping
US5847994A (en) * 1997-09-08 1998-12-08 Mitsubishi Denki Kabushiki Kaisha Non-volatile semiconductor memory device having a back ground operation mode
US6034891A (en) 1997-12-01 2000-03-07 Micron Technology, Inc. Multi-state flash memory defect management
JP3581244B2 (ja) 1997-12-05 2004-10-27 東芝マイクロエレクトロニクス株式会社 半導体記憶装置及びそのアクセス方法
JP3202673B2 (ja) 1998-01-26 2001-08-27 株式会社東芝 不揮発性半導体メモリ装置
JP3629144B2 (ja) * 1998-06-01 2005-03-16 株式会社東芝 不揮発性半導体記憶装置
US6122197A (en) * 1998-07-01 2000-09-19 Issi/Nexflash Technologies, Inc. Architecture and method for performing page write/verify in a flash memory chip
JP2000048574A (ja) * 1998-07-28 2000-02-18 Nec Corp センスアンプ回路
US6490200B2 (en) * 2000-03-27 2002-12-03 Sandisk Corporation Non-volatile memory with improved sensing and method therefor
JP2001052495A (ja) * 1999-06-03 2001-02-23 Toshiba Corp 半導体メモリ
JP2001093275A (ja) * 1999-09-20 2001-04-06 Mitsubishi Electric Corp 半導体集積回路装置
US6542391B2 (en) * 2000-06-08 2003-04-01 Netlogic Microsystems, Inc. Content addressable memory with configurable class-based storage partition
JP3863330B2 (ja) 1999-09-28 2006-12-27 株式会社東芝 不揮発性半導体メモリ
US6496971B1 (en) * 2000-02-07 2002-12-17 Xilinx, Inc. Supporting multiple FPGA configuration modes using dedicated on-chip processor
JP2001273773A (ja) 2000-03-27 2001-10-05 Sanyo Electric Co Ltd 半導体メモリ装置
US6396741B1 (en) * 2000-05-04 2002-05-28 Saifun Semiconductors Ltd. Programming of nonvolatile memory cells
US6469945B2 (en) * 2000-05-25 2002-10-22 Tachyon Semiconductor Corp. Dynamically configurated storage array with improved data access
US6738289B2 (en) * 2001-02-26 2004-05-18 Sandisk Corporation Non-volatile memory with improved programming and method therefor
US6385075B1 (en) * 2001-06-05 2002-05-07 Hewlett-Packard Company Parallel access of cross-point diode memory arrays
US6603683B2 (en) * 2001-06-25 2003-08-05 International Business Machines Corporation Decoding scheme for a stacked bank architecture
JP3940570B2 (ja) * 2001-07-06 2007-07-04 株式会社東芝 半導体記憶装置
US6975536B2 (en) * 2002-01-31 2005-12-13 Saifun Semiconductors Ltd. Mass storage array and methods for operation thereof
US6781877B2 (en) * 2002-09-06 2004-08-24 Sandisk Corporation Techniques for reducing effects of coupling between storage elements of adjacent rows of memory cells
US6891753B2 (en) * 2002-09-24 2005-05-10 Sandisk Corporation Highly compact non-volatile memory and method therefor with internal serial buses
US6983428B2 (en) * 2002-09-24 2006-01-03 Sandisk Corporation Highly compact non-volatile memory and method thereof

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09297996A (ja) * 1996-05-01 1997-11-18 Hitachi Ltd 不揮発性半導体記憶装置
JPH1027473A (ja) * 1996-07-11 1998-01-27 Nec Corp 半導体記憶装置
JPH1055688A (ja) * 1996-08-12 1998-02-24 Sony Corp 不揮発性半導体記憶装置
US5940329A (en) * 1997-12-17 1999-08-17 Silicon Aquarius, Inc. Memory architecture and systems and methods using the same
JPH1173790A (ja) * 1998-06-29 1999-03-16 Hitachi Ltd 半導体記憶装置

Also Published As

Publication number Publication date
US20120281472A1 (en) 2012-11-08
US20150348617A1 (en) 2015-12-03
EP1554732B8 (en) 2015-04-08
CN1698131B (zh) 2012-05-16
TW200406773A (en) 2004-05-01
EP1554732B1 (en) 2015-02-25
US20090086543A1 (en) 2009-04-02
CN1698131A (zh) 2005-11-16
EP1554732A1 (en) 2005-07-20
US20170125088A1 (en) 2017-05-04
TWI317129B (en) 2009-11-11
US20040060031A1 (en) 2004-03-25
KR20050084582A (ko) 2005-08-26
US8977992B2 (en) 2015-03-10
US20050219910A1 (en) 2005-10-06
US8225242B2 (en) 2012-07-17
US10204679B2 (en) 2019-02-12
KR101109651B1 (ko) 2012-01-31
WO2004029976A1 (en) 2004-04-08
AU2003272673A1 (en) 2004-04-19
JP2006500728A (ja) 2006-01-05
US6983428B2 (en) 2006-01-03

Similar Documents

Publication Publication Date Title
JP4814521B2 (ja) 非常にコンパクトな不揮発性メモリおよびその方法
JP4833550B2 (ja) 内部シリアルバスを有する非常にコンパクトな不揮発性メモリデバイスおよびそのための方法
JP4336652B2 (ja) スペースが効率的なデータレジスタを有する非常にコンパクトな不揮発性メモリデバイスおよびそのための方法
JP5038292B2 (ja) 不揮発性メモリのキャッシュ動作におけるデータラッチの使用
JP5480372B2 (ja) 不揮発性メモリ装置内におけるバイナリ形式で記憶されたデータの多状態形式への折り畳み
US20070263450A1 (en) Non-Volatile Memory and Method with Shared Processing for an Aggregate of Read/Write Circuits
JP2010503142A (ja) フラッシュメモリにおけるサイクル効果の擬似ランダムおよびコマンド主導型ビット補償とその方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060919

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090529

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090616

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090901

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090908

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100629

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100921

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100929

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101228

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110802

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110826

R150 Certificate of patent or registration of utility model

Ref document number: 4814521

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140902

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140902

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term