JP4562991B2 - 半導体ウェハを処理する方法およびシステム - Google Patents

半導体ウェハを処理する方法およびシステム Download PDF

Info

Publication number
JP4562991B2
JP4562991B2 JP2003029767A JP2003029767A JP4562991B2 JP 4562991 B2 JP4562991 B2 JP 4562991B2 JP 2003029767 A JP2003029767 A JP 2003029767A JP 2003029767 A JP2003029767 A JP 2003029767A JP 4562991 B2 JP4562991 B2 JP 4562991B2
Authority
JP
Japan
Prior art keywords
tool
wafer
processing
flag
process tool
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2003029767A
Other languages
English (en)
Other versions
JP2003282389A (ja
Inventor
コーネリアス・ハーンストラ
マリヌス・ヤン・ヴァン・ダー・ポル
ヤン・ジンガー
Original Assignee
エイエスエム・インターナショナル・ナムローゼ・フエンノートシャップ
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エイエスエム・インターナショナル・ナムローゼ・フエンノートシャップ filed Critical エイエスエム・インターナショナル・ナムローゼ・フエンノートシャップ
Publication of JP2003282389A publication Critical patent/JP2003282389A/ja
Application granted granted Critical
Publication of JP4562991B2 publication Critical patent/JP4562991B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput

Landscapes

  • Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、半導体製造の分野に関し、より詳細には、半導体ウェハを処理する方法およびシステムであって、あるアラーム状態が存在する場合にこの処理を中断し、中断が行われた後に状況を回復する方法およびシステムに関する。
【0002】
【従来の技術】
自動製造プラントにおいて、または複雑なウェハ処理ツール内部で、ワーク・ピースは、1つの処理ステーションから別の処理ステーションへと順次移行する。これらの処理ステーションでは、ワーク・ピースに様々な操作が行われる。処理中、システムをシャットダウンするか、または少なくとも一時停止処理を行う必要がある何らかのイベントが発生する場合がある。これらは、とりわけ、処理ステーションの出力を調査することによって、またはシステムでの指定外パラメータを検出することによって検出される、処理ステーションにおけるいくつかの問題を含む場合がある。この問題が発生するとき、さらなる障害を防止するためにシステム内でウェハの処理を休止する必要がある。しかし、処理が休止されているとき、処理が休止されているウェハが依然としてシステム内に存在する場合がある。これらのウェハは、アラーム状態が解決された後にシステム内でのさらなる処理の継続を停滞させる。プロセスの中断をもたらしたアラーム状態を解決した後、処理の継続にシステムを使用できるようにする前に、処理が中断されたこれらのウェハのさらなる処理または取扱いを定義する必要がある。したがって、プロセス・ツールでの処理の中断、ならびに中断後のシステムの回復およびさらなる処理の継続を必要とする状態を監視して取り扱う方法が求められている。
【0003】
この問題の一部は、イシザワらに付与された「処置されるべき対象を中断後に回復する方法(Method of Recovering Object to be Treated After Interruption)」という名称の米国特許第6,162,010号で対処されている。この特許は、処理機械への電力損失などの理由のために処置が予期せずに停止した後の、半導体ウェハの回復を論じている。この特許は、ウェハが冷却された場合に元のカセットにウェハを戻すこと、およびウェハが反応器処理チャンバ内にある場合にウェハを冷却できるようにすることを開示している。この方法は、いくつかの欠点を有する。まず、単一ウェハ処理システムに利用され、バッチ処理には簡単に適合可能でない。第2に、回復方法に融通性がなく、操作者による作動無効または一時中止を見込んでいない。
【0004】
「リアルタイム欠陥検出(Real-Time fault Detection)」という名称の国際特許公開WO01/18623Alは、リアルタイム環境で半導体ウェハの欠陥を検出する方法を開示している。この開示では、システム内部に欠陥が存在するかどうかを判定するための方法およびシステムが開示されている。製造システム内に欠陥が存在する場合、インターフェースに情報が送られることで、プロセスをシャットダウンすることができ、またはオペレータに情報を提供することができる。この方法の欠点としては、欠陥検出で装置が作動しないようにする能力、及び、様々な方法で欠陥検出を取り扱うことができる能力に欠けていることが挙げられる。
【0005】
別の手法が、サトウらに付与された「基板処理装置及び基板処理方法(Substrate Processing Apparatus and Substrate Processing Method)」という名称の米国特許第5,897,710号に開示されている。サトウらの発明では、検査ステーションが処理システムと共に含まれている。検査ステーションは、ウェハを検査することができる。ウェハ不良の検出時、さらなる処理が即時に中断される。
【0006】
上述した方法の欠点は、当該のワーク・ピースが部分処置しか受けないので、プロセスの即時中断の動作がそれ自体損傷を生み出すことである。後の段階での処置の完了が、難点や負の副作用をもたずに望ましい最終効果をもたらすかどうかは疑問である。
【0007】
【特許文献1】
米国特許第6162010号
【特許文献2】
国際特許公開WO01/18623A1
【特許文献3】
米国特許第5897710号
【0008】
【発明が解決しようとする課題】
本発明の目的は、即時プロセス中断の必要性およびその欠点を防止するようにアラーム状態を取り扱うシステムおよび方法を提供し、かつ中断が行われた後に、事前プログラムされておりオペレータが選択可能である回復処置を提供することである。
【0009】
【課題を解決するための手段】
本発明の目的は、すでに開始されている処理の継続を可能にし、しかし次のバッチの処理の開始を防止するアラーム・レベルを提供することによって達成される。
【0010】
一実施形態では、プロセス・ツール内で1つまたは複数のウェハを処理するための方法およびシステムであって、反応チャンバ内の1つまたは複数のウェハに1つのプロセスを施すことを含む方法およびシステムが提供される。この方法は、事前定義状態で次の装填を抑止するためのフラグ(INLフラグ)を発生することを含み、次の装填を抑止するためのフラグは、ウェハのすでに開始されている処理に影響を与えない。ウェハの処理の開始前に、次の装填を抑止するためのフラグがセットされているかどうかを見るためのチェックが行われる。チェックで次の装填を抑止するためのフラグがセットされていることが判明すると、プロセスの開始が禁止される。この方法はさらに、事前プログラムされた回復処置を提供することを含み、処理の開始が禁止されているウェハは定義状態で終了し、それにより、さらなる処理にツールを使用することができるようにする。別の実施形態では、プロセス・ツール内にあるバッチ式ウェハのための方法およびシステムであって、反応チャンバ内でバッチ式のウェハに処理を施すことを含む方法およびシステムが提供される。この方法は、事前定義状態で次の装填を抑止するためのフラグを発生することを含み、次の装填を抑止するためのフラグは、ウェハ・バッチのすでに開始されている処理に影響を与えない。ウェハ・バッチの処理の開始前に、次の装填を抑止するためのフラグがセットされているかどうかを見るためのチェックが行われる。チェックで次の装填を抑止するためのフラグがセットされていることが判明すると、反応チャンバ内のウェハ・バッチのプロセスの開始が禁止される。この方法はさらに、事前プログラムされた回復処置を提供することを含み、それにより事前プログラムされた回復処置の実行後、処理の開始が禁止されている処理すべきウェハ・バッチが定義状態で終了し、さらなる処理のためにツールを使用することができるようにする。
【0011】
別の実施形態では、プロセス・ツール内で1つまたは複数のウェハを処理するための方法およびシステムであって、反応チャンバ内の1つまたは複数のウェハに1つのプロセスを施すことを含む方法およびシステムが提供される。この方法は、デフォルトとして確認フラグを「偽」状態にセットするステップと、前記反応チャンバ内で前に処理されたウェハをウェハ検査ツールで調査するステップと、その結果を受入れ基準と比較するステップと、前記結果が受入れ基準範囲内にあるときに確認フラグを「真」状態にセットするステップとを含む。反応チャンバ内での処理の開始は、確認フラグが「真」状態にあるときにのみ可能である。
【0012】
本発明の技術的な利点として、すでに開始されているプロセスの即時中断ができるだけ防止されることが挙げられる。即時中断は、オプションとして依然として利用可能になっており、電源故障など突然の重大な問題が発生したときに使用することができるが、多くの場合、アラーム状態が、注意する必要がある潜在的な問題を示す。注意をしないと、そのような状態は、より重大な問題を発生する可能性がある。本発明によれば、処理は、その処理の開始前に中断され、したがってウェハに対する損傷が防止され、処理を継続するための別の試みは、アラーム状態が解決されたときに後で行うことができる。さらに、オペレータ選択可能回復処置を含む事前プログラムされた回復処置が、システムの非常にスムーズで融通性のある回復を可能にし、さらなる処理のためにシステムを準備する。他の技術的な利点は、以下の説明、例示、および特許請求の範囲から明らかになる。
【0013】
本発明の好ましい実施形態を、以下の図を参照しながら説明する。この実施形態は、本発明を全て網羅しておらず、本発明をこれに限定するものではない。なお、図中、別段の定めのない限り、様々な図を通じて同じ参照番号を用いる。
【0014】
【発明の実施の形態】
本発明の方法は、プロセス・ツールのエラーが生じたときに、処理の開始前に、ウェハの装填および処理を禁止するための方法を含む。この方法は、処理ステーションでワーク・ピースが処理されるいくつかのシステムのいずれにも使用することができる。本方法は、単一ウェハ処理ツールに関しても、バッチ処理ツールに関しても使用することができる。さらに、有利には、複数の処理ステーションを備えるツールに本方法を適用することができる。そのようなマルチステーション・ツールでの処理の中断は、処理が中断されているウェハを定義状態にするための綿密な回復処置を必要とし、本発明は、有益なことに、そのようなマルチステーション・プロセス・ツールに適用することができる。以下の図に提示される例は、バッチ炉に関係し、例示目的だけのものであり、本発明の適用をそのような例に限定することを意図するものではない。
【0015】
例えば、図1は、本発明の教えに従った製造システム10のブロック図である。製造システム10は、入出力ライン14を介して制御コンピュータ16に結合されたプロセス・ツール12と、入出力ライン18を介して制御コンピュータ16に結合されたディスプレイ20とを含む。
【0016】
プロセス・ツール12は、半導体ウェハなどのワーク・ピースに1つまたは複数の処理ステップを施す任意のツールであってよい。プロセス・ツール12によって行われる処理ステップはそれぞれ、典型的には、プロセス・ツール12の一部として提供される処理ステーションにおいて行われる。
【0017】
制御コンピュータ16は、プロセス・ツール12の動作および機能を制御するために、プロセス・ツール12を処理するためのコマンドを送ることができる任意のデバイスであってよい。制御コンピュータ16は、プロセス制御システム・プログラムを記憶するためのメモリと、プロセス制御システム・プログラムを実行するためのプロセッサとを含むことができる。制御コンピュータ16は、メーカー独自のデバイスであってよく、あるいは汎用コンピュータを使用して実現することもできる。汎用コンピュータの一例は、ペンティアム(登録商標)ファミリー・プロセッサ(登録商標)などインテル社製プロセッサを搭載し、DOS、OS2、またはウィンドウズ(登録商標)3.1、95、98、ME、NT、2000、XP(登録商標)などのオペレーティング・システムが動作するパーソナル・コンピュータである。そのような汎用コンピュータは、プロセス・ツールがガス流や温度などのプロセス・パラメータを制御し、かつロボットの運動およびウェハの移送を制御するのに必要とされる全ての制御機能を実施することができる。また、制御コンピュータ16がプロセス・ツール12内部の追加制御装置とインターフェースすることもでき、追加制御装置はそれぞれ制御タスクの一部を実施する。制御コンピュータ16は、入出力ライン14を介してプロセス・ツール12に結合されている。入出力ライン14は、任意のタイプの有線または無線データ接続であってよい。
【0018】
ディスプレイ20は、入出力ライン18を介して制御コンピュータ16に結合し、プロセス・ツール12内でのワーク・ピースの処理に関する情報を受け取る。ディスプレイ20は、オペレータがワーク・ピースの処理を監視して制御することができるようにするグラフィカル・ユーザ・インターフェースを表示することができる。入出力ライン18は、制御コンピュータ16とディスプレイ20の間でのデータ転送を可能にする任意の有線または無線接続であってよい。入出力ライン18は、処理データの遠隔閲覧を可能にするためにローカルまたはワイド・エリア・ネットワークを介する接続であってもよい。
【0019】
ディスプレイ20は、プロセス・ツール12用の制御コンピュータ16を含むことができる制御コンソールの一部として統合されたディスプレイであってもよい。この実施形態では、制御情報を、制御コンピュータ16を介してプロセス・ツール12に送り返すことができる。ディスプレイ20は、従来の陰極線管モニタ(CRT)、液晶ディスプレイ(LCD)、またはプラズマ・モニタであってよい。
【0020】
図2は、例示プロセス・ツール12の概略図である。プロセス・ツール12は、ロボット・モジュール104に結合されたワークインプログレス(WIP)モジュール102を備える。第1の反応器モジュール106と第2の反応器モジュール108が、ロボット・モジュール104に結合されている。
【0021】
一実施形態でのワークインプログレス・モジュール102は、各レベルがいくつかのカセット112を含むマルチレベル保管モジュール110を含む。各カセット112が、複数の半導体ウェハ114を保持する。一実施形態では、保管モジュール110に3つのレベルが存在し、各レベルが8個のカセット112を保持し、各カセットが25枚の半導体ウェハ114を保持する。
【0022】
ロボット・モジュール104は、図2の実施形態のウェハ取扱いシステムである。ロボット・モジュール104は、反応器モジュール106および108の1つに装填するためにカセット112からウェハ114を取り外すように動作可能なロボット・アーム116を有する。ロボット・アーム116は、1つの反応器モジュールから別の反応器モジュールにウェハ114を移動させることもできる。ロボット・モジュール104は、1つまたは複数のローカル制御装置を介して制御コンピュータ16の制御下で動作する。
【0023】
第1の反応器モジュール106は、ウェハ114を受け取る複数の位置120a〜120cを有する反応器カルーセル118を備える。各位置120a〜120cが、ウェハを保持するための水晶ボート122を含むことができる。一実施形態では、反応器カルーセルが、互いに約120°離してセットされた3つの位置を有する。反応器モジュール106は、カルーセル118の上に配設された反応器チャンバ内に水晶ボート122を持ち上げるエレベータ124を含む。反応器チャンバに入った後、水晶ボート122内のウェハ114は、化学蒸着などの処理ステップを施される。
【0024】
一実施形態では反応器カルーセル118が3つの位置を含む。すなわち、ロボット・モジュール104に面するウェハ装填および装填解除位置120aと、水晶ボート122内のウェハ114をエレベータ124によって反応器チャンバに移動させることができるプロセス位置120bと、クール・ダウン位置120cとである。反応器カルーセル118は回転することができ、それらの位置間で水晶ボート122を移動させる。典型的には、2つの水晶ボートが反応器モジュール内に存在し、それにより反応器チャンバ内でウェハを有する第1のボートを処理する間に、前に処理されたウェハを有する第2のボートがクール・ダウン位置でクール・ダウンすることができ、処理済みのウェハを、ウェハ装填および装填解除位置にあるボートからWIPモジュール102のカセット内へ装填解除することができ、かつ処理すべきウェハをボート内に装填することができる。このようにすると、第1のボートの処理が完了し、第1のボートがエレベータ124によってカルーセル118に下げられたとき、カルーセル118が120°回転することができ、準備されている第2のボートを、時間のロスなく反応チャンバ内にすぐに上昇させることができる。第2の反応器モジュール108も同様に構成されている。
【0025】
複数のボートを利用するカルーセル上に複数の位置が存在する利点は、他のバッチの処理と並行してバッチの装填および装填解除ならびにバッチのクール・ダウンをできるようにすることによって効率が高まることである。しかし、このシステムではいくつかの問題が生じる可能性がある。ウェハ・バッチを処理する際に問題が生じた場合、次のバッチのウェハを傷めないように、次のバッチが処理ステーション内に装填される前にプロセスを停止することが重要である。したがって、制御コンピュータ16は、処理する次のウェハ・バッチの装填を停止することを必要とする問題が発生したときに、プロセス・ツール12の動作を制御することができなければならない。これを以下にさらに詳細に論じる。
【0026】
図3は、プロセス・ツール12の別の実施形態の概略図面である。この実施形態では、プロセス・ツール12が、装填ポート・セクション302と、ワークインプログレス(WIP)セクション304と、ウェハ取扱いロボット・セクション306と、反応器カルーセル・セクション308とを含む。
【0027】
装填ポート・セクション302は、左装填ポート310と右装填ポート312を備える。処理すべきウェハ114を含むフロントオープニング・ユニファイド・ポッド314(FOUP)とも呼ばれるカセットが、左装填ポート310および右装填ポート312内に装填される。本発明の範囲では、カセットとFOUPの違いは重要でない。処理前および処理後にウェハを保持するためにどちらも使用される。したがって、用語「カセット」と「FOUP」を同義語と考え、交換可能に使用することができる。装填ポート・セクション302は、プロセス・ツール12外部のオペレータ領域から装填ポート・セクションを分離するシールド316を含む。
【0028】
WIPセクション304は、FOUP314に接続するためのFOUPグリッパ320を有するFOUP取扱いロボット318を含む。WIPセクション304はまた、1つまたは複数のレベルでFOUP314を保持するように設計されたFOUPストッカ・カルーセル322を含む。上側移送モジュール326が、FOUP314を上側装填ポート328に移送し、上側移送モジュール326の下に配設されており、図3の上面図では見えない下側移送モジュールが、図では見えない、上側移送ポート328の下に配設された下側装填ポートにFOUPを移送する。図3の実施形態では、FOUP取扱いロボット318は、左装填ポート310および右装填ポート312からFOUPストッカ・カルーセル322にFOUP314を移送する。FOUP取扱いロボット318はまた、FOUPストッカ・カルーセル322から上側移送モジュール326上の位置325に、かつ下側移送モジュール(図示せず)上の対応する位置にFOUP314を移送することができる。次いで、これらのモジュールが回転され、FOUP314が、上側装填ポート328または下側装填ポート(図示せず)に取り付けられる。
【0029】
ウェハ取扱いロボット・セクション306は、FOUP314からウェハを取り外し、反応器カルーセル・セクション308に移動することができる水晶ボート332上にウェハを装填するウェハ取扱いロボット330を含む。
【0030】
反応器カルーセル・セクション308は、一実施形態では、4位反応器カルーセル334を含み、各カルーセル位置が水晶ボート332を保持するように動作可能である。反応器カルーセル・セクション308は、2つの反応器位置336を含み、そこで、水晶ボート332を、2つの反応器位置336の上方に配設された2つの反応器の一方にエレベータ324の1つによって持ち上げることができ、それにより水晶ボート332のウェハに、酸化物層の形成などの処理ステップを施すことができる。反応器カルーセル・セクション308は、ボート入出力位置338を含み、そこで、ウェハのボートをカルーセル334上に装填することができ、またはボート移送機構333によってカルーセルから取り外すことができる。また、反応器内で処理された後にウェハがクール・ダウンできるステージング・ステーション340も存在する。2つの反応器を並行して使用することができ、それによりウェハは2つの反応器の一方において処理を受ける。また、ウェハを両反応器内で順次に処理することもでき、最初に1つの反応器内で処理し、次いでもう一方の反応器内で処理する。順次処理はチューブ間処理と呼ばれる。図3のシステムでは、チューブ間処理は非常に効率が良い。これは、順次プロセス間で水晶ボートからウェハを装填解除し、かつ水晶ボート内にウェハを再装填する必要がないためである。
【0031】
図4は、図2および図3のプロセス・ツールの動作に関する流れ図である。第1のステップ402で、バッチが定義される。バッチは、1つまたは複数のカセットまたはFOUPの形で供給される1つまたは複数のウェハ・ロットと定義される。典型的には、ウェハのバッチは、処理するために単一のボート上に配置することができるウェハの数以下である。さらに、定義ステップでは、ウェハが受ける処理が決定される。ステップ406で、オペレータ入力によって、処理のためにバッチが待ち行列化され、これは、次のバッチの処理または装填を開始するのにシステムを利用できるようになる程まで前のバッチが進められるとすぐに、処理または装填が自動的に開始されることを意味する。待ち行列化プロセスの一部は、半導体ウェハの移動および処理に関連するプロセス・ツール内のいくつかのツール制御装置に何らかの情報をダウンロードすることである。図2および3の例示ツールでは、待ち行列化が主制御ステーション(MCS)を介して行われ、情報がロボット・ロジスティック制御装置(RLC)にダウンロードされる。これは、どちらの制御装置もそのロジスティックスに関わっているためである。有益には、反応チャンバ内での前のバッチの処理中にボート上へのウェハの装填を開始することができるようにシステムをプログラムすることができる。これにより、このとき、反応器チャンバから前のバッチが取り外されるとすぐにボートを反応器チャンバ内に装填することができるので、時間が節約される。また、バッチ定義および待ち行列化ステップでは、コンピュータ支援製作(CAM)ホストを使用して、バッチに必要な処理を施し、バッチ定義のオペレータ・エラーをなくすことを保証することができる。(CAM)ホスト、主制御ステーション、およびロボット・ロジスティック制御装置間の接続が図5に例示されている。
【0032】
図2の実施形態に関するステップ408で、カルーセル118内にカセットを挿入することによって、バッチがWIPモジュール102内に装填される。図3の実施形態では、まずFOUP314が、装填ポート310および312内に装填される。次いで、これらのFOUP314が、FOUP取扱いロボット318によってWIPモジュール304内に装填される。
【0033】
ステップ420で、ウェハがカセットからウェハ・ボートに移送される。図2の実施形態では、ロボット・アーム116が、バッチの一部であるWIPモジュール102内のカセットからカルーセル上の水晶ボートにウェハを移動させる。
図3の実施形態では、FOUP314がFOUP装填ポート328に移動され、そこでウェハが、FOUP取扱いロボット・アーム330によってFOUP314から取り外され、水晶ボート332内に配置される。
【0034】
ステップ440で、ウェハを装填されたボートが反応器チャンバに移送される。図2の実施形態では、これは、カルーセル118の回転、およびエレベータ124の移動によって行われる。図3の実施形態では、まず、ウェハを装填されたボートを、ボート移送機構333によってカルーセル334に移送する必要があり、次いでカルーセルが、当該の反応器への回転によってボートを輸送し、対応するエレベータ324がボートを反応チャンバ内に移動させる。次いで、ステップ460で、ウェハのバッチが反応器内で処理される。
【0035】
処理後、ステップ470で、反応器チャンバからボートが装填解除され、反応器カルーセルが回転して、バッチをクール・ダウン位置に移動させる。ステップ470はまた、クール・ダウン位置でのボートのクール・ダウンと、装填解除位置へのボートの移送とを含む。ステップ480で、ロボット・アームが、ボートからウェハを装填解除し、カセットまたはFOUPに戻す。ボートからカセットまたはFOUPへのウェハ移送の完了後、ステップ490でカセットまたはFOUPがシステムから取り外される。プロセスは、ステップ499で完了する。
【0036】
図5は、一例としてのプロセス・ツール12の通信アーキテクチャのブロック図である。コンピュータ支援製作(CAM)ホスト501は、セル制御装置502を介して複数のプロセス・ツール12と接続し、通信するように動作可能である。プロセス・ツール12は、主制御ステーション(MCS)503によって制御される。MCS503は、第1のデジタル・プロセス制御装置506と第2のデジタル・プロセス制御装置508を含めた複数のデジタル・プロセス制御装置に結合される。各デジタル・プロセスが反応器に関連付けられ、それにより第1のデジタル・プロセス制御装置506は、第1の反応器に関連付けられ、第2のデジタル・プロセス制御装置508は、第2の反応器に関連付けられている。デジタル・プロセス制御装置は、ガス流、圧力、温度などのプロセス・パラメータを制御する。ロボットおよびロジスティック制御装置(RLC)510が、第1のデジタル・プロセス制御装置および第2のデジタル・プロセス制御装置508に結合されている。
【0037】
一実施形態での主制御ステーション503は、OS2やウィンドウズ(登録商標)2000などのオペレーション・システムが動作するコンピュータベースの制御ステーションである。主制御ステーション503は、プロセス・ツール12の動作を制御する制御プログラムを走らせるように動作可能である。主制御ステーション503は、一実施形態では、図1の制御コンピュータ16である。主制御ステーション503は、オペレータがプロセス・ツール12の機能を監視して制御することができるように、グラフィカル・ユーザ・インターフェースを走らせるように動作可能である。主制御ステーション503は、各反応器に関してデジタル・プロセス制御装置506、508を介して全ての他の制御装置と通信する。
【0038】
デジタル・プロセス制御装置506、508はプロセス・プログラムを走らせ、実行する。一実施形態では、デジタル・プロセス制御装置506、508は、RS−422シリアル通信リンクなどシリアル接続を介して主制御ステーション503に結合される。他の通信リンク、シリアルまたはパラレル、有線または無線の通信リンクを使用することもできる。
【0039】
図2に例示される実施形態など、一実施形態でのロボット・ロジスティック制御装置510は、ウェハ取扱いロボットを制御し、クラスタ・ツールのWIPセクションを管理する。図3に例示される構成など他の構成では、ロボットおよびロジスティック制御装置と同様であり、それと通信する追加の制御装置を利用して、FOUP取扱いロボットなど他のロボット構成要素を制御することができる。制御システムの正確な構成は、システムおよび設計選択の複雑さに応じて変わる。例えば、ツールに関する全ての制御機能をただ1つのコンピュータによって実施する場合があり、あるいは、図5に示されるよりも多くのサブ制御装置を使用する場合もある。追加の制御装置がプロセス・ツール内に存在する場合もある。これらは、プロセス温度を監視して調整するための温度制御装置と、カルーセル運動および反応器エレベータ運動を監視して調整するための動作制御装置とを含む。
【0040】
動作時、生成制御システム(PCS)プログラムが主制御ステーション(MCS)503上で走る。主制御ステーション503は、グラフィカル・ユーザ・インターフェースを表示して、作業対話を容易にする。作業者入力とともに、MCS503で走るPCSプログラムが、図4に示されるバッチ定義ステップ402およびバッチ待ち行列化ステップ406によってウェハのバッチのプロセスを開始することができる。コマンドがデジタル・プロセス制御装置ならびにロボットおよびロジスティック制御装置に送られて、カセットの装填と、ウェハの移動およびプロセスと、カセットの装填解除とを制御する。同時に、MCS503が、次の装填を抑止するためのフラグの発生について監視している。次の装填を抑止するためのフラグ(INLフラグ)は、反応器チャンバ内ですでに開始されている処理に影響を与えず、次のウェハ・バッチが処理されるのを禁止する1つまたは複数のイベントによって引き起こされるソフトウェアにおけるフラグである。ウェハ移送がまだ開始されていない場合、ボートへの次のバッチのウェハの装填も防止する。これは、未処理ウェハの損傷の可能性を防止する。MCS503が次の装填を抑止するためのフラグを受け取ったとき、オペレータによって、またはプロセス制御プログラムによって開始されるいくつかのステップを行うことができる。次の装填を抑止するためのフラグの発生、およびINLフラグがセットされた後に利用可能な是正処置を以下にさらに詳細に論じる。
【0041】
図6は、次の装填を抑止する(INL)ためのフラグをセットすることができる様々な方法を示す流れ図である。ボックス602で、INLフラグがリアルタイム・レイヤでセットされる。リアルタイム・レイヤは、デジタル・プロセス制御装置506、508と、ロボットおよびロジスティック制御装置510と、プロセス・ツールで必要であり、プロセス・ツールでのプロセス・パラメータおよび他の条件を監視して制御するのに使用される他の制御装置とを備える。プロセス・パラメータまたは他の条件が所望の値から逸脱したことを示すアラームを定義することができる。さらに、あるアラームの発生が次の装填を抑止するためのフラグの生成をもたらすかどうか定義することができる。リアルタイム・レイヤでのINLフラグのセッティングは、プロセス結果に好ましくない状況が発生していることを示す。プロセス結果が、依然として要求された指定制限範囲内にある場合もあるが、全ての欠点およびウェハに対する損傷を考慮すると、即時プロセス中断を必要とする状況が発生する危険が高く、これは防止すべきである。リアルタイム・レイヤで、INLフラグのセッティングをもたらすようにプログラムされた状態が発生すると、ボックス610でINLフラグがセットされる。
【0042】
ボックス604では、INLフラグを、コンピュータ支援製作(CAM)ホスト・コンピュータ・システムなど、プロセス・ツールの主制御ステーションが接続されているより上位レベルのコンピュータによってセットすることができる。CAMホスト・コンピュータは、プロセス・ツールを含めたアセンブリ・ライン上のいくつかのツールを制御することができる。この場合、プロセス・ツールのユーザは、システムを休止させるのに必要な1つまたは複数の理由を有する。例としては、走らせる必要があるスケジュール化された予防保守ルーチン、1つまたは複数の上流プロセス・ツールの中止を必要とする製造ライン内の下流プロセス・ツールに関わる問題、またはCAMホストを介して集められて評価され、指定制限範囲外にあることが判明した、ツールで前に処理されたウェハのウェハ検査ツールにおけるウェハ分析の結果が挙げられる。次の装填を抑止するためのフラグを生成すべき条件は事前プログラムすることができるが、ホスト・コンピュータへのマニュアル入力によって生成することもできる。INLフラグの生成に関する条件が満足されると、ボックス610でINLフラグが生成される。
【0043】
ボックス606で、INLフラグは、構成変数でアラームによってセットされる。構成変数は、1つまたは複数のプロセス・パラメータおよび測定データを含むことができるユーザ定義表現である。例としては、ある手法ステップ中のリアルタイム・プロセス・パラメータの平均値、ある圧力への反応器チャンバのポンプダウンにかかる時間、または順次ランのための堆積被膜厚さの傾向を挙げられる。構成変数アラームは、構成変数を見て、パラメータが次のウェハ・バッチを処理すべきものであるか否かを判定するように設計されている。構成変数アラームの例としては、依然として指定制限範囲内にあり、しかし体系的傾向を示し、増大しながらターゲット値から逸脱するウェハに関する測定データが挙げられる。次の装填を抑止するためのフラグのセッティングが指定されている構成変数アラーム状態が発生する場合、ボックス610で次の装填を抑止するためのフラグがセットされる。
【0044】
最後に、ボックス608で、クリック・ボタンを押すことによって、またはオペレータ・インターフェースを介する主制御ステーションへの他の入力によって、オペレータが、次の装填を抑止するためのフラグを手動でセットすることができる。この場合、ボックス610で次の装填を抑止するためのフラグがセットされる。
【0045】
図7は、図4に示されるプロセス・シーケンスでのINLフラグの使用を例示する流れ図である。同様のステップが同様の参照番号で示されている。バッチ定義ステップ702、バッチ待ち行列化ステップ706、およびツール内へのカセット装填ステップ708は、図4の文脈ですでに説明した。システムは、INLフラグがセットされているかどうかを見るために継続的に監視している。これがバッチ待ち行列化ステップ706の前である場合、またはさらにバッチ定義ステップ702の前である場合、INLフラグは、継続ができなくなるようにシステムを休止する。したがって、INLフラグがセットされている場合、バッチを定義することができなくなり、あるいは、バッチがすでに定義されており、しかし待ち行列化されていないときには、バッチを待ち行列化することができなくなる。さらなる継続は、INLフラグが除去されたときにのみ可能である。ステップ708に示されるようにカセットがツール内に配置されており、しかしウェハ移送がまだ開始されていないとき、ブロック712で、INLフラグがセットされているかどうかチェックされる。セットされている場合、システムが休止される。システムの休止中、ブロック712によって示されるようにINLフラグが依然としてセットされているかどうか継続的にチェックされ、かつブロック714によって示されるようにINLフラグが無効であるかどうか継続的にチェックされる。無効であるとき、システムは、シーケンスを再開し、次のステップに進む。ステップ720で、1つのウェハの装填、またはバッチ処理の場合には複数のウェハの装填が示される。ウェハの移送の完了後、再び、ブロック722によって示されるようにINLフラグがセットされているかどうかチェックされ、かつブロック723によって示されるようにINLフラグが無効であるかどうかチェックが行われる。しかし、ウェハ移送が開始された後には、より複雑な状況が存在する。INLフラグの本質は、あるアラーム状態の場合に、実際の処理を開始する前にバッチが休止されることである。本発明の文脈では、「処理」は、プロセス・チャンバ内の1つまたは複数のウェハに1つのプロセスを施すことであると理解される。
【0046】
プロセスの開始は、実際に「プロセス・チャンバ内の1つまたは複数のウェハに1つのプロセスを施すこと」を開始したときであり、処理前のロジスティックスはそのプロセスと区別される。反応チャンバ内での処理の開始前のある瞬間にINLフラグがセットされたとき、次のバッチの進行を即時に休止するか、次のバッチの休止を遅延するかの選択を行うことができ、それにより実際の処理の開始が防止される。さらに、進行の休止は、システム内に不完全に移送されたバッチを残し、これは望ましくない。図7の例では、進行が即時に休止されず、バッチを休止した後に、カセットからボートにすでに移送されているウェハがカセットに返されるようなオプションが利用可能である。装填を開始した後にINLフラグがセットされ、しかし反応チャンバ内で実際の処理が開始される前であるとき、装填解除タイマがスタートされる。装填解除タイマによってカウントダウンされる時間は選択可能である。ゼロ、15分などの有限時間、または無限時間を選択することができる。INLが無効でないとき、ブロック724で、装填解除タイマが切れているかどうかチェックする。切れている場合は、ブロック725に示されるように自動回復処置が開始され、それによりシステムが定義状態で終了する。使用される自動回復処置は、ボートが装填解除され、ウェハがカセットに返されるものである。しかし、他の自動回復処置を、正確なシステム構成に応じてプログラムすることができる。装填解除タイマが切れていないときは、ブロック726で、装填されており、しかし未処理であるウェハの即時装填解除を始めるための装填解除ボタンをオペレータが押しているかどうかチェックされる。押している場合、タイマが切れるのを待たずに、装填解除が即時に開始される。押していない場合、INLフラグが無効になっている場合には、ブロック728に示されるように装填が完了しているかどうかチェックされる。装填が完了していないとき、次のウェハがボートに装填され、再びループが開始される。ウェハ装填が完了しているとき、ブロック732でINLフラグがセットされているかどうか再びチェックされる。セットされていない場合、シーケンスは、ブロック750に示されるように反応チャンバ内にボートを装填する次のステップに進む。INLフラグがセットされているときは、ブロック733によって示されるようにINLが無効であるかどうかチェックされる。無効である場合、システムはやはり、ブロック750によって示される反応チャンバ内へのボートの装填に進む。INLフラグが無効でない場合、ブロック734、735、および736、ならびに対応する関連部分によって示されるように、システムは、装填解除タイマが切れるまで、または即時装填解除のための装填解除ボタンをオペレータが押すまで待機する。別法として、INLフラグは、装填解除が開始される前に消える場合がある。この場合、全ての後にシステムが処理を再開し、ブロック750に進む。
【0047】
図7の例では、反応チャンバ内へのボートの装填が開始されているとき、INLフラグのセッティングはプロセスにそれ以上影響を与えない。ボート上昇ステップは、プロセスの開始としてボート上昇ステップの開始を選択することをより明らかにするプロセス手法の一部である。さらに、例示の場合には、反応器チャンバは炉であり、反応器内へのボートの装填は、ウェハをサーマル・バジェットに露出させ、これは、対応する実際のプロセスが行われない場合には望ましくない。しかし、他の選択を行うこともできる。他のシステムでは、プロセス・チャンバ内にウェハを配置した後でさえ、実際の処理を開始する前に、INLフラグによってシーケンスの中断ができるようにプログラムすることができる。
【0048】
図7では複数のウェハが同時に処理されるバッチ処理状況が例示されているが、ウェハのバッチが順次に処理される単一ウェハ処理では、多少の適合性があるループが必要とされることが明らかである。しかし、原理は同じである。すなわち、INLがセットされているとき、ウェハの処理の開始が禁止され、その一方ですでに開始されている処理は影響を受けない。
【0049】
図8および図9は、INLフラグがセットされた後に生じる事項、およびオペレータが利用可能なオプションを他の形で例示するブロック図である。これらの図では、同一の機能が、同一の参照番号によって示されている。ブロック800は、INLフラグがセットされていることを示す。ブロック810で、バッチがすでに待ち行列化されているかどうかチェックされる。待ち行列化されていない場合には、ブロック814に示されるように、利用可能な唯一のオプションは「INLをリセットする」である。しかし、INLをもたらすアラーム状態が依然としてアクティブである場合、再び瞬時にセットされるのでINLフラグをリセットすることができない。別法の場合には、現行ステップと異なる全シーケンス中の何らかのステップによってINLが発生されたときにINLをリセットすることができ、しかしシーケンスがそのステップを再び通るときに再びセットされる。バッチが待ち行列化されており、しかしブロック820でチェックされたときにウェハ装填がまだ開始されていないときは、ブロック824に示される別のオプション「INLを無効にする」が利用可能である。無効機能では、対象のバッチのみを除いて中断が無効である。以下のバッチでは、INLフラグが十分に機能したままである。ウェハ装填が開始されると、ブロック830によって示されるように反応器内へのボートの装填が開始されているかどうかチェックされる。開始されている場合、INLはもはや効果を有さず、オペレータはオプションを何も必要としない。反応器内へのボートの装填がまだ開始されていない場合、ブロック832に示されるように装填解除タイマがスタートされる。装填解除タイマが切れる前に、ブロック834によって示されるオプション「INLをリセットする」、「INLを無効にする」、および「装填解除を開始する」が利用可能である。「装填解除を開始する」ボタンを押すと、装填解除タイマが切れるのをそれ以上待つことなく自動回復処置が即時に開始される。
【0050】
図9では、チューブ間プロセスの特定の状況に対処する。前述したように、チューブ間プロセスでは、2つのプロセスがツール内で順次にスケジュールされる。まず、第1の反応器チャンバ内でウェハに処理が施され、次いで、同じツール内の第2の反応器チャンバ内でウェハに処理が施される。第1のチューブ内での第1のプロセスが完了し、INLフラグがチューブ2に関してセットされたときに特別な状況が生じる。取り得るオプションが図9によって与えられている。ブロック802に開始状況が示されており、ブロック836に利用可能なオプションが示されている。「INLをリセットする」、「INLを無効にする」、および「WIPへの装填解除を開始する」に加えて、オプション「チューブ2の空ボートへの装填解除を開始する」が存在する。この最後のオプションは、チューブ2のプロセスをすぐに再開することができると予想されているときに使用することができる。図2の例示システムでは、INLフラグがチューブ2に関してセットされているときに、処理されたツール間バッチを第1の反応器モジュールから取り外すことが重要である。これは、標準単一チューブ・モードでのチューブ1の利用を少なくともできるようにするからである。
【0051】
INLフラグに加えて、「確認フラグ」と呼ばれる追加のフラグを使用することができる。問題を示し、問題が存在するときにプロセスの開始を禁止するためにINLフラグを使用する一方で、確認フラグは、実際の処理が開始される前の最終承認として使用することができる。承認は、前に処理されたウェハの測定、およびその結果の分析、ならびにその結果と指定制限との比較から得られる。概略的に、これが図10の流れ図に示されている。ブロック874で、処理後にバッチNのウェハが分析され、ここでNは現行バッチを表す整数である。好ましくは、ウェハは、プロセス・ツールに接続されたウェハ検査システムで調査される。例えば、図3の実施形態では、ウェハ検査ステーションを、ウェハ取扱いロボット・セクションと連絡するように統合することができ、それによりウェハ取扱いロボットは、ウェハを検査システムに移動することができる。測定システムは、プロセス中にウェハ上に形成される被膜の厚さを測定するための光学システムを含むことができる。別法として、測定システムが、ウェハの表面を調査するための顕微鏡レンズ装置に取り付けられたビデオ・カメラを含む視覚システムを含んでいてもよい。定性および定量測定を補助するために他の構成要素を存在させることもできる。測定は、調査されるウェハ部分、ならびに結果が指定制限範囲内にあるかどうか決定するために使用されるパラメータおよび使用される基準を定義する集積測定学(IM)手法に従って行うことができる。このIM手法は、主制御ステーション503上で動作するコード内に永久的に存在していてもよく、あるいは、IM手法が必要とされるときにCAMホストなどより上位レベルの制御装置から手法をダウンロードすることができる。IM手法の利用後、ウェハの調査が行われる。一実施形態では、ウェハIDが、視覚システムによってウェハから読み取られる。典型的な実施形態では、ウェハ上のいくつかの点が選択され、塗布される層(塗布される酸化物層など)の厚さが測定される。点の数は、測定手法によってあらかじめ決定しておくことができ、またはツールのオペレータが選択することができる。各点での厚さ、平均厚さ、中央値厚さ、ならびに最大値、最小値、および標準偏差などの情報を計算することができる。これらの値の任意のものを、所定の指定制限と比較することができ、測定値が指定制限範囲内にあるか、または範囲外にあるか、確認フラグを「真」にセットすることができるかどうか、INLフラグをセットする必要があるかどうかを判定する。
【0052】
代替測定値は、ウェハ上の粒子の数である。これは粒子カウンタを必要とする。粒子カウンタには、測定システムが配置され、処理されたウェハの表面上での粒子欠陥を測定する。やはり、粒子カウントを粒子カウントに関する指定制限と比較することができ、確認フラグを「真」にセットすることができるかどうか、INLフラグをセットすべきかどうかを判定することができる。1つまたは複数の測定が行われた後、ステップ875で結果がMCSに送られる。次いで、ステップ876で、MCS上で走るプロセス分析プログラムが、測定手法および測定値からのデータに関連して、その結果が指定制限範囲内にあるかどうかを判定する。結果が指定制限範囲内にあるとき、ブロック878によって示されるように確認フラグを「真」にセットすることができる。結果が指定制限範囲外にあるとき、ブロック877によって示されるようにINLフラグをセットすることができる。ステップ872で、以下の図、図10に示される方法でこれらのステップ全てを行うことができる。
【0053】
図11で、確認フラグの使用による完了シーケンスが与えられる。シーケンスは、図4のシーケンスに基づいており、同一のステップは同一の参照番号によって示されている。図4と共通のステップは、図4に関連して詳細に論じた。いくつかのステップが追加され、いくつかのステップがわずかに変更されている。ここで、最初の2つのステップは「バッチN+1を定義する」ステップ1102、「バッチN+1を待ち行列化する」ステップ1106と呼び、Nは整数である。実際の処理を開始する前に、ステップ838によって示されるように、確認フラグがセットされているかどうかチェックが行われる。本発明の場合には、反応チャンバへのボートの装填の前にチェックが行われるが、実際のプロセスの開始前に行われる限り、より早い段階でもより遅い段階でも行うことができる。プロセスの完了、および反応チャンバからのボートの装填解除後、ステップ872に示されるようにバッチN+1に関して集積測定学手法が行われて、結果が測定され、次のバッチに関して確認フラグがセットされる。ステップ872は、図10に示されるステップを含み、しかしここではバッチN+1に関するものである。確認フラグ機能を利用するために、シーケンスの開始時に「偽」にセットすべきである。これは「バッチN+1を定義する」ステップ1102で行われる。次いで、より遅い段階で、しかし実際の処理の開始前に、前のバッチNの測定値、およびその結果の分析、ならびに所定の指定制限との比較に基づいて「真」にセットする必要がある。「バッチN+1を定義する」ステップ1102で、確認フラグがすでに「真」にセットされているとき、実際にはオフに切り換えられ、それ以上機能を有さない。
【0054】
図12は、INLフラグと確認フラグの両方が使用されるシーケンスを例示する流れ図である。この流れ図は、図7の流れ図にかなり類似しており、同一のステップが同一の参照番号で示されている。図12の流れ図では、ステップ732でINLフラグがセットされたかどうかチェックされた後に、ステップ838で、確認フラグがセットされているかどうかチェックが行われる点が異なる。確認フラグがセットされていない場合、システムは、ループで待機し、INLフラグがセットされ確認フラグがセットされていることを継続的にチェックする。条件「INLフラグがセットされていない」と「確認フラグがセットされている」の両方が満足された場合、図7に示されるように、システムが次のステップ750「反応器チャンバ内へボートを装填する」に進む。
【0055】
実際の処理の開始前に処理を中断するためのフラグの使用を、バッチ処理システムに関して説明したが、単一ウェハ・システムにも適用することができる。単一ウェハ処理システムでは、典型的にはウェハがやはりグループとして、しかし順次に1つずつ処理される。この場合、INLフラグは、優先的には、次のバッチの開始を禁止するのではなく次のウェハの開始を禁止すべきである。これは、処理すべきウェハのグループの一部が未処理のままになる状況をもたらすが、最大の安全性を与える。確認フラグとともに集積測定学が使用される場合、ウェハNの分析結果が、ウェハN+1に関する確認フラグをセットすることができる。しかし、これは、あらゆるウェハの後に待機時間をもたらす場合があり、望ましくない。安全性とスループットの妥協点として、システムは、ウェハNに関する分析結果がウェハN+2に関する確認フラグをセットするようにプログラムすることもできる。これは、依然として、比較的高い度合の安全性を有する短いフィードバック・ループを与え、その一方で効率を保つ。
【0056】
ウェハ検査器具を使用してウェハを分析するとき、これらのウェハ検査器具は、好ましくは処理ツール内に統合される。しかし、ウェハ検査器具が、プロセス・ツール内部に位置せず、プロセス・ツールから分離されているときには、本発明によるフラグの使用も可能である。この結果は、ウェハ検査器具に接続されたコンピュータによって分析することができ、その結果を、CAMホストまたは同様のシステムを介して主制御ステーション503に結合することができる。
【0057】
以上、本発明の好ましい実施形態を説明してきたが、当業者ならば本発明に対して変更および変形をなすことができるであろう。したがって、本発明は、上記好ましい実施形態に限定されず、請求の範囲の文言及びその均等の範囲によってのみ画定される。
【図面の簡単な説明】
【図1】本発明の製造システムの教示のブロック図である。
【図2】例示プロセス・ツールの概略図である。
【図3】プロセス・ツールの別の実施形態の概略図である。
【図4】図2および図3のプロセス・ツールの動作に関する流れ図である。
【図5】例示プロセス・ツールの通信アーキテクチャのブロック図である。
【図6】次の装填を抑止する(INL)フラグをセットすることができる様々な方法を示す流れ図である。
【図7】次の装填を抑止するためのフラグによるバッチ・プロセス・シーケンスの中断を例示する流れ図である。
【図8】次の装填を抑止する(INL)ためのフラグによるプロセスの中断後にオペレータが選択可能なオプションを例示するブロック図である。
【図9】特定のチューブ間ケースにおける次の装填を抑止する(INL)ためのフラグによるプロセスの中断後にオペレータが選択可能なオプションを例示するブロック図である。
【図10】集積測定学手法の実行を例示する流れ図である。
【図11】プロセスの開始前の確認フラグの使用を例示する流れ図である。
【図12】バッチ・プロセス・シーケンスにおける次の装填を抑止する(INL)ためのフラグと確認フラグとの同時使用を例示する流れ図である。
【符号の説明】
12 プロセス・ツール
16 制御コンピュータ
20 ディスプレイ
102 ワークインプログレス・モジュール
104 ロボット・モジュール
106 第1の反応器モジュール
108 第2の反応器モジュール
110 マルチレベル保管モジュール

Claims (13)

  1. ウェハのバッチ式処理のためのプロセス・ツールであって、
    ウェハのバッチを処理するための少なくとも1つの反応チャンバと、
    プロセッサおよびメモリを有し、プロセス制御システム・プログラムを実行するように動作可能なツール制御装置であって、プロセス制御システム・プログラムが、ツール・パラメータを監視して制御するように動作可能であるツール制御装置と、
    を備え、
    ツール制御装置が、事前定義入力後に次の装填を抑止するオブジェクトを発生するように動作可能であり、次の装填を抑止するオブジェクトが、処理すべきウェハ・バッチの処理の開始前に発生されるとき、処理すべきウェハ・バッチの処理の開始を禁止し、かつ事前プログラムされた回復処置を提供し、それにより、事前プログラムされた回復処置の実行後に、処理すべきウェハ・バッチが定義状態で終了し、その結果、さらなる処置にツールを使用することができるようにするプロセス・ツール。
  2. 前記ツール制御装置が、該ツール制御装置に接続された1つまたは複数のサブ制御装置を備え、該1つまたは複数のサブ制御装置が、該ツール制御装置のタスクの一部を実行する、請求項1に記載のプロセス・ツール。
  3. 前記事前プログラムされた回復処置が、オペレータが選択可能な回復処置を含む、請求項1に記載のプロセス・ツール。
  4. 前記オペレータが選択可能な回復処置が、所定の条件がもはや真でない場合に次の装填を抑止するためのフラグをリセットする工程を含む、請求項3に記載のプロセス・ツール。
  5. 前記オペレータが選択可能な回復処置を提供する工程が、すぐ次に処理すべきウェハ・バッチに関する次の装填を抑止するためのフラグをオペレータが無効にすることができる工程を含む、請求項3に記載のプロセス・ツール。
  6. 前記事前定義入力が、リアルタイム・パラメータのアラーム・レベルを含み、該リアルタイム・パラメータが、前記プロセス・ツールでのリアルタイム状態を表す、請求項1に記載のプロセス・ツール。
  7. 前記事前定義入力が、前記プロセス・ツールでのリアルタイム状態を表す複数のリアルタイム・パラメータと、処理すべきウェハの前に処理されたウェハの分析結果との集合以外に1つまたは複数のパラメータを備える表現を含む、請求項1に記載のプロセス・ツール。
  8. 前記事前定義入力が、オペレータ・コマンドによって開始される「次の装填を抑止するためのフラグをセットする」コマンドである、請求項1に記載のプロセス・ツール。
  9. 前記事前定義入力が、ツール制御装置に接続されたより上位レベルの制御装置によって開始される「次の装填を抑止するためのフラグをセットする」コマンドである、請求項1に記載のプロセス・ツール。
  10. 処理すべきウェハ・バッチが、カセット内の処理ツールに供給され、事前プログラムされた回復処置が、カセットから取り外されて処理の開始が禁止されている、処理すべきウェハ・バッチの自動移送を開始する工程を含む、請求項1に記載のプロセス・ツール。
  11. 前記カセットから取り外されて処理の開始が禁止されている処理すべきウェハ・バッチの自動移送が、処理すべきウェハ・バッチをカセットに移送する工程を含む、請求項10に記載のプロセス・ツール。
  12. 前記事前プログラムされた回復処置が、ホールド装填解除タイマを始動する工程をさらに含み、その間、次の装填を抑止するためのフラグに対する自動応答が開始されない、請求項1に記載のプロセス・ツール。
  13. 前記ホールド装填解除タイマを始動する工程は、該ホールド装填解除タイマが動作しているときにオペレータによる介入を可能にする工程を含む、請求項12に記載のプロセス・ツール
JP2003029767A 2002-02-06 2003-02-06 半導体ウェハを処理する方法およびシステム Expired - Lifetime JP4562991B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/071,761 US6732006B2 (en) 2002-02-06 2002-02-06 Method and system to process semiconductor wafers
US10/071761 2002-02-06

Publications (2)

Publication Number Publication Date
JP2003282389A JP2003282389A (ja) 2003-10-03
JP4562991B2 true JP4562991B2 (ja) 2010-10-13

Family

ID=27659312

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003029767A Expired - Lifetime JP4562991B2 (ja) 2002-02-06 2003-02-06 半導体ウェハを処理する方法およびシステム

Country Status (2)

Country Link
US (2) US6732006B2 (ja)
JP (1) JP4562991B2 (ja)

Families Citing this family (335)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7720559B1 (en) * 2002-04-26 2010-05-18 Advanced Micro Devices, Inc. Dynamic tool scheduling based upon defects
JP2004031579A (ja) * 2002-06-25 2004-01-29 Renesas Technology Corp 半導体製造システムの制御装置
US6957113B1 (en) 2002-09-06 2005-10-18 National Semiconductor Corporation Systems for allocating multi-function resources in a process system and methods of operating the same
US7184850B1 (en) * 2002-09-06 2007-02-27 National Semiconductor Corporation System and method for allocating multi-function resources for a wetdeck process in semiconductor wafer fabrication
US7047095B2 (en) * 2002-12-06 2006-05-16 Tokyo Electron Limited Process control system and process control method
US7149889B2 (en) * 2002-12-12 2006-12-12 Scientific-Atlanta, Inc. Proactive reboot
US7369912B2 (en) * 2003-05-29 2008-05-06 Fisher-Rosemount Systems, Inc. Batch execution engine with independent batch execution processes
US7043319B1 (en) * 2003-09-30 2006-05-09 National Semiconductor Corporation System and method for visualizing the use of resources in a process system
US6845731B1 (en) * 2003-12-18 2005-01-25 Taiwan Semiconductor Manufacturing Co., Inc. Adding interlock for pause after polyimide coating
US7462011B2 (en) * 2004-08-12 2008-12-09 Tokyo Electron Limited Substrate processing system, substrate processing method, sealed container storing apparatus, program for implementing the substrate processing method, and storage medium storing the program
JP4569956B2 (ja) * 2005-01-24 2010-10-27 東京エレクトロン株式会社 基板処理装置の復旧処理方法,基板処理装置,プログラム
US8821099B2 (en) 2005-07-11 2014-09-02 Brooks Automation, Inc. Load port module
JP4839101B2 (ja) * 2006-03-08 2011-12-21 東京エレクトロン株式会社 基板処理装置、基板処理条件検討方法及び記憶媒体
US8429654B2 (en) * 2006-07-06 2013-04-23 Honeywell International Inc. Apparatus and method for guaranteed batch event delivery in a process control system
US20080140590A1 (en) * 2006-12-12 2008-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Process control integration systems and methods
JP4877075B2 (ja) * 2007-05-29 2012-02-15 東京エレクトロン株式会社 塗布、現像装置及び塗布、現像装置の運転方法並びに記憶媒体
US8180594B2 (en) * 2007-09-06 2012-05-15 Asm International, N.V. System and method for automated customizable error diagnostics
US8369975B2 (en) 2007-09-21 2013-02-05 Fisher-Rosemount Systems, Inc. Online recipe synchronization in a real-time batch executive environment
US8612886B2 (en) 2007-09-28 2013-12-17 Rockwell Automation Technologies, Inc. Sequential function chart (SFC) online editing without reset
US7890202B2 (en) * 2007-10-16 2011-02-15 International Business Machines Corporation Method for creating wafer batches in an automated batch process tool
US8150541B2 (en) * 2007-11-13 2012-04-03 Fisher-Rosemount Systems, Inc. Methods and apparatus to modify a recipe process flow associated with a process control system during recipe execution
US8825189B2 (en) * 2007-11-13 2014-09-02 Fisher Rosemount Systems, Inc. Methods and apparatus to execute an auxiliary recipe and a batch recipe associated with a process control system
JP4831061B2 (ja) * 2007-12-26 2011-12-07 パナソニック株式会社 電子部品実装用装置および電子部品実装用装置の非常停止方法
JP4555881B2 (ja) * 2008-03-18 2010-10-06 株式会社日立国際電気 基板処理装置及び表示方法
EP2109019A1 (de) * 2008-04-07 2009-10-14 Siemens Aktiengesellschaft Verfahren zur Steuerung eines Rezeptes eines Chargenprozesses
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100211202A1 (en) * 2009-02-13 2010-08-19 Hermes Microvision, Inc. Method and machine for examining wafers
US9768082B2 (en) * 2009-02-13 2017-09-19 Hermes Microvision Inc. Method and machine for examining wafers
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10768611B2 (en) * 2009-06-16 2020-09-08 Applied Materials, Inc. Counter and timer constraints
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP4917660B2 (ja) * 2009-10-05 2012-04-18 株式会社日立国際電気 基板処理装置、基板処理装置の制御方法、半導体デバイスの製造方法、装置状態遷移方法、基板処理装置の保守方法及び状態遷移プログラム
US8666529B2 (en) * 2010-08-27 2014-03-04 International Business Machines Corporation Controlling non-process of record (POR) process limiting yield (PLY) inspection work
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20150076121A1 (en) * 2011-09-13 2015-03-19 Jeffrey Krupp Two-Stage Switch-Mode Power Supply for Drawn-Arc Stud Welding
US9048271B2 (en) * 2011-09-29 2015-06-02 Asm International N.V. Modular semiconductor processing system
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5584241B2 (ja) * 2012-02-27 2014-09-03 株式会社東芝 半導体製造装置及び半導体デバイスの製造方法
US8718807B2 (en) 2012-03-23 2014-05-06 Honeywell International Inc. System and method for robust real-time control of regular automated production using master recipe
JP5752634B2 (ja) * 2012-03-29 2015-07-22 東京エレクトロン株式会社 熱処理システム、熱処理方法、及び、プログラム
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9146551B2 (en) * 2012-11-29 2015-09-29 Asm Ip Holding B.V. Scheduler for processing system
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US20170338325A1 (en) * 2016-05-20 2017-11-23 Globalfoundries Inc. Method, apparatus and system for providing nitride cap layer in replacement metal gate structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US11501989B2 (en) * 2017-10-12 2022-11-15 Weiping Shi Control product flow of semiconductor manufacture process
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102168381B1 (ko) * 2018-06-07 2020-10-21 세메스 주식회사 기판 처리 방법 및 기판 처리 장치
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) * 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US20200168485A1 (en) * 2018-11-28 2020-05-28 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) * 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TWI773187B (zh) * 2021-03-12 2022-08-01 旭東機械工業股份有限公司 用於檢測一晶圓盒的方法及系統
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06104328A (ja) * 1992-08-04 1994-04-15 Internatl Business Mach Corp <Ibm> 全自動且つコンピュータ化コンベヤベースの製造ライン

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5766360A (en) * 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
US5526293A (en) * 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
JPH08335539A (ja) 1995-06-06 1996-12-17 Sony Corp 生産管理装置および生産管理方法
JP3936030B2 (ja) * 1997-06-23 2007-06-27 東京エレクトロン株式会社 被処理体の回収方法
EP0932194A1 (en) * 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
US6292708B1 (en) 1998-06-11 2001-09-18 Speedfam-Ipec Corporation Distributed control system for a semiconductor wafer processing machine
US6405094B1 (en) 1998-07-10 2002-06-11 Tokyo Electron Limited Apparatus and method of collecting substrates abnormally processed or processed previous to ordinary processing
SG74705A1 (en) * 1999-03-12 2001-06-19 Sony Electronics Singapore Pte A monitoring system for monitoring processing equipment
US6556881B1 (en) * 1999-09-09 2003-04-29 Advanced Micro Devices, Inc. Method and apparatus for integrating near real-time fault detection in an APC framework

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06104328A (ja) * 1992-08-04 1994-04-15 Internatl Business Mach Corp <Ibm> 全自動且つコンピュータ化コンベヤベースの製造ライン

Also Published As

Publication number Publication date
US20030149506A1 (en) 2003-08-07
JP2003282389A (ja) 2003-10-03
US6732006B2 (en) 2004-05-04
US20040262290A1 (en) 2004-12-30
US6985788B2 (en) 2006-01-10

Similar Documents

Publication Publication Date Title
JP4562991B2 (ja) 半導体ウェハを処理する方法およびシステム
US8483870B2 (en) Substrate processing apparatus and method of displaying abnormal state of substrate processing apparatus
KR102493368B1 (ko) 기판 처리 장치, 장치 관리 컨트롤러 및 프로그램
US8972036B2 (en) Method of controlling substrate processing apparatus, maintenance method of substrate processing apparatus and transfer method performed in substrate processing apparatus
JP3654684B2 (ja) 処理方法及び処理装置
JP5829248B2 (ja) 基板処理装置、レシピ遷移プログラム、半導体装置の製造方法及び基板処理装置のレシピ表示方法
US6920369B2 (en) Methods of operating vacuum processing equipment and methods of processing wafers
US8682483B2 (en) Display method for substrate processing apparatus
US20020070983A1 (en) Automated wafer handling with graphic user interface
JP6833048B2 (ja) 基板処理装置、基板処理装置の異常監視方法、及びプログラム
JP2010074141A (ja) 基板処理装置及び半導体装置の製造方法
JP5183861B2 (ja) 小ロットサイズ基板キャリアを使用する方法および半導体デバイス製造施設
WO2021186954A1 (ja) 処理装置、表示装置、半導体装置の製造方法、及びプログラム
JP5570915B2 (ja) 基板処理装置、半導体装置の製造方法および断線検知プログラム
CN110462785B (zh) 基板处理装置及其显示方法
JP2017002353A (ja) 基板処理装置及び半導体装置の製造方法
JP7494342B2 (ja) 処理装置、装置管理コントローラ、及びプログラム並びに半導体装置の製造方法
JPH02170520A (ja) 塗布現像装置
US20090110519A1 (en) Semiconductor manufacturing apparatus and method for loading/unloading wafer via variable setting of slot
JP2007073858A (ja) 基板処理装置
JP2004071796A (ja) 縦型半導体製造装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051101

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090810

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091110

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091113

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100413

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100611

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100629

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100728

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130806

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4562991

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S802 Written request for registration of partial abandonment of right

Free format text: JAPANESE INTERMEDIATE CODE: R311802

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term