JP4546962B2 - 基板上に多機能誘電体層を形成する方法 - Google Patents

基板上に多機能誘電体層を形成する方法 Download PDF

Info

Publication number
JP4546962B2
JP4546962B2 JP2006527262A JP2006527262A JP4546962B2 JP 4546962 B2 JP4546962 B2 JP 4546962B2 JP 2006527262 A JP2006527262 A JP 2006527262A JP 2006527262 A JP2006527262 A JP 2006527262A JP 4546962 B2 JP4546962 B2 JP 4546962B2
Authority
JP
Japan
Prior art keywords
layer
metal
substrate
wiring
metal layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006527262A
Other languages
English (en)
Other versions
JP2007509488A (ja
Inventor
ヘルネダー,ヨハン
シュヴェルト,マルクス
ゲーベル,トーマス
ミッチェル,アンドレア
ケルナー,ハインリッヒ
ホメル,マルティーナ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of JP2007509488A publication Critical patent/JP2007509488A/ja
Application granted granted Critical
Publication of JP4546962B2 publication Critical patent/JP4546962B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76888By rendering at least a portion of the conductor non conductive, e.g. oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5228Resistive arrangements or effects of, or between, wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

発明の詳細な説明
本発明は、基板上に多機能誘電体層を形成する方法に関し、特に、基板上の露出した金属配線系上に多機能誘電体層を形成する方法に関する。
これまでに開示されてきたシリコン基板に基づく半導体素子には、該シリコン基板上に銅配線を形成し、銅配線によって個々の機能層、または同じ段の機能素子同士、および違う段にある機能素子同士の電気的な接続を担っているものが多い。銅配線を使用する場合、銅原子が周囲の誘電体中に拡散して半導体素子の電気的性質が変化し、半導体素子が機能不能に到ることすらあるという際立った問題が生じる。
もちろん、シリコン基板の場合のみならず、その他の基板、例えば、ガラス、GaAs、InP、回路基板、プリント回路基板等の場合も同様に考えられる。
銅原子の誘電体への拡散を防ぐため、例えば、Ta(N)からなる拡散障壁を銅配線の側壁として、つまり、銅配線とその周囲の誘電体(SiO)との間に設けることが一般に行われている。Ta(N)という用語は、本明細書においては、任意の化学量論的割合で、タンタラルと、任意の割合の窒素とからなる化合物を意味しており、Ta(N)によって、上記拡散が十分に防止される。
しかし、標準的なCMP(化学的機械的研磨)処理により銅配線のパターンニングを行った後には、銅層の表面が露出しているので、酸化から守るためにこの銅層にパッシベーションを行う必要がある。パッシベーションは、露出した配線面(銅層)に、例えばSiN−PECVD層などの好適な誘電体層を形成することによって行われる。
しかし、この境界面は、エレクトロマイグレーションおよびストレスマイグレーションの点で弱いという欠点がある。また、上記境界面においては、最適なボンディングができないという事実がある。この点について改良するため、例えば、露出した金属面に、電解、無電解のそれぞれの方法によってコバルトを選択的に堆積させる試みがなされたが、今日まで満足できるレベルの成功にまでは到っていない。
本発明の目的は、銅配線のための多機能パッシベーション層の生成を容易に行うことができるとともに、エレクトロンマイグレーションおよびストレスマイグレーション、ならびに積層された誘電体層のボンディングを向上することができる方法を提供することにある。
本発明の目的は、上記に説明されたような方法において、露出した金属配線の全面に更なる金属層を形成し、この更なる金属層の少なくとも一部分を非導電性の金属酸化物、すなわち絶縁体に変化させることにより達成される。
このような方法で誘電体層と金属配線、特に誘電体層と銅層とのボンディングを大幅に向上させ、また、エレクトロマイグレーションおよびストレスマイグレーションについても大幅に向上させることができる。
本発明の第1の構成においては、金属配線が基板上の絶縁体に埋め込まれ、側壁に拡散障壁が設けられている。化学的機械的研磨(CMP)の後、更なる金属層を、露出した(例えば、銅からなる)金属配線に積層させる。
本発明の第2の構成においては、基板上の絶縁体層の全面上に金属層を堆積させ、その後、金属層を例えばRIE(反応性イオンエッチング)またはリフトオフ処理等によりパターニングし、その上に更なる金属層を堆積させることによって、金属配線に減算的な構造を持たせる。この場合の金属配線は、例えば、アルミニウムからなる。
本発明の第3の構成は、更なる金属層を、「パターンメッキ」(金属の電解析出をレジストマスク上から行い、その後レジストマスクを除去する)により基板上の絶縁体の上に形成された金属配線上に積層させることを特徴としている。
更なる金属層の非導電性金属酸化物への変換は、20−500℃の間のバックエンド(工程)で互換性のある温度範囲で行われる熱酸化、プラズマ化学的酸化、または陽極酸化によって行われることが好ましい。
本発明の一構成において、更なる金属層は、PVD法により形成される。
また、タンタラルまたは窒化タンタラルを堆積させるのが好ましい。
本発明の別の構成によれば、Ta(N)/TaまたはTa/Ta(N)の積層順で堆積される。また、この他に、Ti、Al、Ti/Al、Zr、Hf、Nb、Ru、Rh、Ir等の物質および物質の組合せを用いることも可能である。
さらに、続いて行われる酸化において、Ta(N)を使う場合であれば、例えば、5酸化タンタラル(Ta)から非導電性の金属酸化物層を形成する。これは、上記更なる金属層の密度および品質を更に高め、良好なボンディングならびに明瞭明確な境界面を得るためである。
本発明の変形例としては、続いて行われる酸化において、更なる金属層の一部の領域を取り除くようにしてもよい。これにより、更なる金属層が取り除かれた場所に、Ta(N)から形成されたレジスタが形成され、その下の(例えば銅からなる)金属層を介して接続される。
また、上記更なる金属層の部分がSiOまたはSiN層により被覆されていることが好ましい。
最後に、本発明の他の構成において、5酸化タンタラルは、MIMコンデンサに一体化するよう、MIM誘電体の一部として形成されている。
Ta(N)レジスタおよびMIMコンデンサは、別々に形成されてから一体化されてもよいし、同時に形成されてから一体化されてもよい。
例としてTa(N)による実施例を挙げ、下記に本発明をさらに詳細に説明する。下記に添付の図面を説明する。
図1は、CMPが行われた後の基板上のCu層の段、およびその上に更なる金属層としてPVD−TA(N)層が形成された状態を示した図である。
図2は、Ta(N)層の上に範囲を定めてSiO2層を堆積した後の図1の基板を示した図である。
図3は、Ta(N)層のSiO2層に被覆された部分は変化させずに、該Ta(N)層の露出した部分を酸化して5酸化タンタラルを形成し、続いてTa(N)レジスタを形成した後の上記基板を示した図である。
図4は、更なる金属層の堆積と該金属層にパターニングを施してMIMコンデンサの上部電極を、既に形成されているTa(N)レジスタととともに形成した後の上記基板を示した図である。
図5は、金属間誘電体として機能する更なるSiO層を堆積により形成した後の上記基板を示した図である。
図6は、更なる配線の段、および二つの配線の段の間を接続する貫通コンタクトを形成した後の上記基板を示した図である。
図1に、例えばシリコンからなる基板1を示す。基板1には、Cuメタライゼーション3が形成されている。Cuメタライゼーション3は、SiO層2に埋め込まれており(ダマシン構造)、Cuまたはその他の金属(タングステン等)からなる貫通コンタクト4を介して下方に横たわっているCuの段と接続されている。露出しているCuメタライゼーション3は、CMP(化学的機械的研磨)処理後、PVD法などによって更なる金属層5で被覆される。更なる金属層5は、例えば、Ta(N)層である。PVD−Ta(N)金属層のボンディングは、通常、PVD法による生成される積層構造よりも優れている。これは、PVD法では、例えば、望ましくない化学処理を境界面で行うことがないこと、およびスパッタリングの粒子が蒸着する表面に最初に衝突する際における運動エネルギーがより高くなることによる。
この場合の境界面は、周囲に廻らされた障壁に沿って形成されている。これにより、エレクトロマイグレーションに対する耐性が周囲に廻らされた障壁に見合う程度増すことが期待される。また、Cuメタライゼーション3の下に任意のSiN層6を拡散障壁およびエッチストップ層として備えてもよい。
更なる金属層5の堆積は、例えば、PVD Ta、PVD Ta(N)、PVD Ta(N)/Ta、PVD Ta/Ta(N)、またはTi、Al、Ti/Al、Zr、Hf、Nb、Ru、Rh、Ir等のその他の物質および上記物質の組合せによって好適に行うことができる。しかし、金属被覆層であるこの金属層5は、金属層5の段の全ての配線をショートさせる可能性があるので、この層は、金属酸化物7からなる非導電層に完全に変換されている。これは、例えば、20℃から500℃の間のバックエンド(工程)で互換性のある温度範囲で行うことができる熱酸化処理によって簡単に行うことができる。
この場合、上述した物質は、例えば、Ta、Al、HfO、Nb、RuO、Rh、Ir等の各金属酸化物、すなわち誘電体に変換される(図2)。
しかし、もし例えばTa(N)レジスタ8(TFRレジスタ)を2つの貫通コンタクト4の間に形成する場合は、更なる金属層5の対応する領域は、酸化の前にSiO層によって被覆される(図3)。
また、他の構成では、Ta/Nを陽極酸化または熱酸化して、MIMコンデンサ9(MIM=Metal、Insultor、Metal)と一体化させる構成としてもよい。具体的には、広範囲に堆積したTa(N)の、MIMコンデンサ9を形成する領域を保護せずにそのまま残し、この領域をTaが形成されるように酸化してMIMコンデンサ9の誘電体として機能させるようにする(図4および図5)。
図6は、5酸化タンタラルでパッシベーションされたCu層の段を示している。該Cu層は、Ta(N)レジスタ8と誘電体としてのTaを有するMIMコンデンサ9とを備えている。
本発明によれば、後工程においてほぼ完全に酸化されて非導電性の金属酸化物に変換された金属被膜によって、大幅に改良された金属配線系の障壁境界面を作り出すことができる。
本発明の基本的な発想は、積層される金属層(更なる金属層5)を誘電体(金属酸化物7)に変換し、このようにして生成した誘電体層をさまざまな用途(パッシベーション、ストップ層、MIM誘電体等)に使用するということにある。
Al、Nb等もまたMIM誘電体として使用することができる。
CMPが行われた後の基板上のCu層の段、およびその上に更なる金属層としてPVD−TA(N)層が形成された状態を示した図である。 Ta(N)層の上に範囲を定めてSiO2層を堆積した後の図1の基板を示した図である。 Ta(N)層のSiO2層に被覆された部分は変化させずに、該Ta(N)層の露出した部分を酸化して5酸化タンタラルを形成し、続いてTa(N)レジスタを形成した後の上記基板を示した図である。 更なる金属層の堆積と該金属層にパターニングを施してMIMコンデンサの上部電極を、既に形成されているTa(N)レジスタととともに形成した後の上記基板を示した図である。 金属間誘電体として機能する更なるSiO2層を堆積により形成した後の上記基板を示した図である。 更なる配線の段、および二つの配線の段の間を接続する貫通コンタクトを形成した後の上記基板を示した図である。
符号の説明
1 基板
2 SiO2
3 Cuメタライゼーション/金属配線
4 貫通コンタクト
5 更なる金属層
6 Si−N層
7 金属酸化物
8 Ta(N)レジスタ
9 MIMコンデンサ

Claims (10)

  1. 多機能誘電体層を基板上、特に基板上に露出している金属配線系上に形成する方法であって、金属配線(3)が基板(1)上の絶縁体に埋め込まれ、その側壁に拡散障壁が設けられており、金属、金属窒化物、またはこれらの物質の積層からなる更なる金属層(5)が、化学的機械的研磨(CMP)が行われた後に、露出している金属配線(3)に金属被覆層として堆積され、その後、該更なる金属層(5)の一部を被覆し、その後、該更なる金属層(5)を非導電性の金属酸化物(7)に変換して、一部の配線に対しては障壁として誘電体層を形成するとともに、その他の配線に対してはコンデンサ誘電体として誘電体層を形成し、前記被覆されていた該更なる金属層(5)の一部は薄膜抵抗器とすることを特徴とする方法。
  2. 金属配線(3)は銅からなることを特徴とする請求項1に記載の方法。
  3. 熱酸化、陽極酸化、プラズマ化学的酸化のうちの少なくとも1つによって、更なる金属層(5)を非導電性の金属酸化物(7)に変換することを特徴とする請求項1または2に記載の方法。
  4. 前記酸化は、20℃から500℃の間のバックエンドで互換性のある温度範囲で行われることを特徴とする請求項3に記載の方法。
  5. 更なる金属層(5)はPVD法によって形成されることを特徴とする請求項1ないし4のいずれか1項に記載の方法。
  6. タンタラルが堆積されることを特徴とする請求項5に記載の方法。
  7. Ta(N)が堆積されることを特徴とする請求項5に記載の方法。
  8. Ta(N)の代わりに、Ti、Al、Zr、Hf、Nb、Ru、Rh、Irといった更に他の物質または更に他の物質の組合せが堆積されることを特徴とする請求項6または7に記載の方法。
  9. 前記酸化によって金属酸化物(7)からなる非導電層を形成することを特徴とする請求項1ないし8のいずれか1項に記載の方法。
  10. 更なる金属層(5)の一部がSiO またはSi 層によって被覆されることを特徴とする請求項1に記載の方法。
JP2006527262A 2003-09-25 2004-09-03 基板上に多機能誘電体層を形成する方法 Expired - Fee Related JP4546962B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE10344389A DE10344389A1 (de) 2003-09-25 2003-09-25 Verfahren zur Herstellung einer multifunktionellen Dielektrikumschicht auf einem Substrat
PCT/DE2004/001948 WO2005031854A1 (de) 2003-09-25 2004-09-03 Verfahren zur herstellung einer multifunktionellen dielektrikumsschicht auf einem substrat

Publications (2)

Publication Number Publication Date
JP2007509488A JP2007509488A (ja) 2007-04-12
JP4546962B2 true JP4546962B2 (ja) 2010-09-22

Family

ID=34384267

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006527262A Expired - Fee Related JP4546962B2 (ja) 2003-09-25 2004-09-03 基板上に多機能誘電体層を形成する方法

Country Status (6)

Country Link
US (2) US20060222760A1 (ja)
EP (1) EP1665371B1 (ja)
JP (1) JP4546962B2 (ja)
CN (2) CN102157440A (ja)
DE (2) DE10344389A1 (ja)
WO (1) WO2005031854A1 (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100564801B1 (ko) * 2003-12-30 2006-03-28 동부아남반도체 주식회사 반도체 제조 방법
JP5154744B2 (ja) * 2005-07-14 2013-02-27 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
DE102007035837A1 (de) 2007-07-31 2009-02-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kornorientierungsschicht
US20090115060A1 (en) * 2007-11-01 2009-05-07 Infineon Technologies Ag Integrated circuit device and method
JP5633649B2 (ja) * 2011-06-29 2014-12-03 ヤマハ株式会社 オーディオLSI用のTaN抵抗体及びその製造方法
US8916469B2 (en) * 2013-03-12 2014-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating copper damascene
US9659857B2 (en) * 2013-12-13 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method making the same
RU2689474C1 (ru) * 2018-11-19 2019-05-28 федеральное государственное бюджетное образовательное учреждение высшего образования "Уфимский государственный авиационный технический университет" Способ получения покрытия на основе интерметаллидов системы ti-al, синтезированного в среде азота
RU2700344C1 (ru) * 2019-02-05 2019-09-16 федеральное государственное бюджетное образовательное учреждение высшего образования "Уфимский государственный авиационный технический университет" СПОСОБ УПРОЧНЕНИЯ РЕЖУЩЕГО ИНСТРУМЕНТА ОСАЖДЕНИЕМ МУЛЬТИСЛОЙНЫХ ПОКРЫТИЙ СИСТЕМЫ Ti - Al

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3169892A (en) * 1959-04-08 1965-02-16 Jerome H Lemelson Method of making a multi-layer electrical circuit
US3663279A (en) * 1969-11-19 1972-05-16 Bell Telephone Labor Inc Passivated semiconductor devices
US3832230A (en) * 1970-07-24 1974-08-27 Motorola Inc Method for improving glass adherence to gold film
FR2484704A1 (fr) * 1980-06-11 1981-12-18 Clei Alain Procede de fabrication de circuits hybrides a condensateurs et resistances integres et circuits obtenus par ce procede
US4458295A (en) * 1982-11-09 1984-07-03 Raytheon Company Lumped passive components and method of manufacture
US5485019A (en) * 1992-02-05 1996-01-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for forming the same
US5679982A (en) * 1993-02-24 1997-10-21 Intel Corporation Barrier against metal diffusion
US5382447A (en) * 1993-12-02 1995-01-17 International Business Machines Corporation Process for fabricating improved multilayer interconnect systems
JPH08293494A (ja) * 1995-04-24 1996-11-05 Canon Inc 半導体装置
US6910942B1 (en) * 1997-06-05 2005-06-28 The Regents Of The University Of California Semiconductor wafer chemical-mechanical planarization process monitoring and end-point detection method and apparatus
JP3529017B2 (ja) 1997-06-18 2004-05-24 船井電機株式会社 ディスク読取装置
JPH1168048A (ja) * 1997-08-19 1999-03-09 Nec Corp 半導体装置およびその形成方法
US6180481B1 (en) * 1998-01-09 2001-01-30 Micron Technology, Inc. Barrier layer fabrication methods
JPH11307636A (ja) * 1998-02-19 1999-11-05 Rohm Co Ltd 半導体装置の製造方法および半導体装置
US6297538B1 (en) * 1998-03-23 2001-10-02 The University Of Delaware Metal-insulator-semiconductor field effect transistor having an oxidized aluminum nitride gate insulator formed on a gallium nitride or silicon substrate
US6544886B2 (en) * 1999-06-24 2003-04-08 Texas Instruments Incorporated Process for isolating an exposed conducting surface
JP3838827B2 (ja) * 1999-10-05 2006-10-25 新光電気工業株式会社 薄膜コンデンサ素子及びプリント回路基板の製造方法
US6452776B1 (en) * 2000-04-06 2002-09-17 Intel Corporation Capacitor with defect isolation and bypass
US6500724B1 (en) * 2000-08-21 2002-12-31 Motorola, Inc. Method of making semiconductor device having passive elements including forming capacitor electrode and resistor from same layer of material
US20020119622A1 (en) * 2001-02-27 2002-08-29 Steigerwald Michael L. Capacitor having a blended interface and a method of manufacture thereof
JP3886802B2 (ja) * 2001-03-30 2007-02-28 株式会社東芝 磁性体のパターニング方法、磁気記録媒体、磁気ランダムアクセスメモリ
US6534374B2 (en) * 2001-06-07 2003-03-18 Institute Of Microelectronics Single damascene method for RF IC passive component integration in copper interconnect process
JP2003031654A (ja) * 2001-07-02 2003-01-31 Kanu Shinku Kagi Kofun Yugenkoshi 電子デバイスの製造方法
US6461914B1 (en) 2001-08-29 2002-10-08 Motorola, Inc. Process for making a MIM capacitor
US6639488B2 (en) * 2001-09-07 2003-10-28 Ibm Corporation MEMS RF switch with low actuation voltage
JP3817463B2 (ja) * 2001-11-12 2006-09-06 新光電気工業株式会社 多層配線基板の製造方法
JP4011391B2 (ja) * 2002-05-01 2007-11-21 三菱電機株式会社 半導体装置およびその製造方法
US7727892B2 (en) * 2002-09-25 2010-06-01 Intel Corporation Method and apparatus for forming metal-metal oxide etch stop/barrier for integrated circuit interconnects
US6730573B1 (en) * 2002-11-01 2004-05-04 Chartered Semiconductor Manufacturing Ltd. MIM and metal resistor formation at CU beol using only one extra mask
US6709918B1 (en) * 2002-12-02 2004-03-23 Chartered Semiconductor Manufacturing Ltd. Method for making a metal-insulator-metal (MIM) capacitor and metal resistor for a copper back-end-of-line (BEOL) technology
JP4571785B2 (ja) * 2003-05-30 2010-10-27 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6881437B2 (en) * 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography

Also Published As

Publication number Publication date
CN102157440A (zh) 2011-08-17
US20060222760A1 (en) 2006-10-05
US9269669B2 (en) 2016-02-23
JP2007509488A (ja) 2007-04-12
CN1856876A (zh) 2006-11-01
WO2005031854A1 (de) 2005-04-07
EP1665371A1 (de) 2006-06-07
DE10344389A1 (de) 2005-05-19
US20120149168A1 (en) 2012-06-14
DE502004003175D1 (de) 2007-04-19
EP1665371B1 (de) 2007-03-07

Similar Documents

Publication Publication Date Title
US6259128B1 (en) Metal-insulator-metal capacitor for copper damascene process and method of forming the same
US6787460B2 (en) Methods of forming metal layers in integrated circuit devices using selective deposition on edges of recesses and conductive contacts so formed
US7285490B2 (en) Method for the producing an integrated circuit bar arrangement, in particular comprising a capacitor assembly, in addition to an integrated circuit arrangement
US9269669B2 (en) Process for producing a multifunctional dielectric layer on a substrate
JP4386680B2 (ja) 半導体素子のためのキャパシタおよびその製造方法
US7091542B1 (en) Method of forming a MIM capacitor for Cu BEOL application
US20070132100A1 (en) Semiconductor device and method for fabricating the same
KR19980070925A (ko) 쓰루 마스크 전기 도금 및 선택적 베이스 제거를 위한 방법 및재료
TWI334630B (en) Semiconductor device and method for fabricating the same
TW200427058A (en) Semiconductor device including metal interconnection and metal resistor and method of manufacturing the same
JPH11330231A (ja) 金属被覆構造
JPH0685074A (ja) 多層相互接続導体パターン製造方法
JP4873596B2 (ja) 半導体基板上に受動素子を形成するための方法
TWI269403B (en) Sacrificial metal liner for copper
JP3062464B2 (ja) 半導体装置
JP2001257226A (ja) 半導体集積回路装置
JP2003031665A (ja) 半導体装置の製造方法
KR19980060592A (ko) 반도체소자의 금속 배선 형성 방법
US20070026663A1 (en) A semiconductor device and method for manufacturing the semiconductor device
JP2005085884A (ja) 半導体装置およびその製造方法
JP3956118B2 (ja) 半導体装置の製造方法及びその半導体装置
JP2643004B2 (ja) ハイブリッドic基板
KR20030053673A (ko) 반도체소자 및 그 제조방법
KR100808794B1 (ko) 반도체 소자의 제조 방법
JP3237917B2 (ja) 半導体素子の製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080331

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100309

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100519

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100608

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100702

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130709

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4546962

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees