JP3396186B2 - 活性fetボディ・デバイス及びその製造方法 - Google Patents

活性fetボディ・デバイス及びその製造方法

Info

Publication number
JP3396186B2
JP3396186B2 JP22482799A JP22482799A JP3396186B2 JP 3396186 B2 JP3396186 B2 JP 3396186B2 JP 22482799 A JP22482799 A JP 22482799A JP 22482799 A JP22482799 A JP 22482799A JP 3396186 B2 JP3396186 B2 JP 3396186B2
Authority
JP
Japan
Prior art keywords
layer
polysilicon
forming
region
pad structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP22482799A
Other languages
English (en)
Other versions
JP2000058861A (ja
Inventor
フォリボーズ・アサデラジィ
クロード・エル・バーチン
ジェフリー・ピー・ガンビーノ
ルイス・ルーチェン・シュ
ジャック・アラン・マンデルマン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2000058861A publication Critical patent/JP2000058861A/ja
Application granted granted Critical
Publication of JP3396186B2 publication Critical patent/JP3396186B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78612Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device for preventing the kink- or the snapback effect, e.g. discharging the minority carriers of the channel region for preventing bipolar effect
    • H01L29/78615Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device for preventing the kink- or the snapback effect, e.g. discharging the minority carriers of the channel region for preventing bipolar effect with a body contact
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/90MOSFET type gate sidewall insulating spacer

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Junction Field-Effect Transistors (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】この発明は、活性電界効果ト
ランジスタ(FET)ボディ・デバイスに関するもので
あり、特に、オフ時には高いしきい値電圧Vtを示し、
オン時には低いしきい値電圧(すなわち、小さいオフ電
流および大きなオン電流の特性)を示す活性ボディ半導
体デバイス、及びその製造方法に関する。
【0002】
【従来の技術】半導体装置の動作電圧が低くなるにつれ
て、ゲート・ソース間電圧Vgsがますます低くなる
が、一方、オン・スイッチング動作の迅速化のためのス
タンバイ・バワー、換言すればオフ電流、を最小にする
ためには、しきい値電圧Vtを十分に高い値に維持する
必要があり、その結果、ゲート・オーバードライブ電圧
(Vgs−Vt)が減少することになる。例えば、実例
としては、動作電圧を小さくすると、DRAMの記憶キ
ャパシタに使用可能な信号を書くことがより難しくな
る。これは、サブスレッショルド・スロープと基板感度
(substrate sensitivity)の効果が制限されることに
よる、しきい値電圧のノン・スケーラビリティのためで
ある。与えられた温度に対して、サブスレッショルド・
スロープは、ソース−チャネルのポテンシャル障壁を越
えるキャリア輸送の物理により制限される。必要なオフ
電流Ioffの値が決まると、サブスレッショルド・スロ
ープによりしきい値電圧Vtの値が決まる。そのため、
最小のVtは制約もしくは制限される。
【0003】しきい値電圧Vtのノン・スケーラビリテ
ィのため、オフ電流の最小化の目的を満たすためには、
ワード線がパワー・サプライ以上にブーストされなけれ
ばならない割合が、これまでのデバイスよりも大きい。
従って、ゲート酸化物の最小の厚さは、信頼性の考慮か
ら制限される。要求されるゲート酸化物よりも厚くする
と、アレイ・デバイス、そしてサポート・デバイスに対
しても、デバイスの性能上の不利になる。
【0004】最近、SOI構造が低電圧DRAM装置に
対して提案されてきた。これは、この構造は、サブスレ
ッショルド・スロープが改善され、バック・バイアス感
度が減少するからである。特に、SOIデバイスのゲー
トとボディを同時に駆動することによって、しきいち電
圧Vtの動的変化特性を達成することができる。言い換
えると、ゲート電圧が上昇すると、MOSFETのバッ
ク・バイアスが減少し、その結果、しきい値電圧が低下
する。従って、小さいオフ電流と組み合わされた、比較
的(相対的に)大きい電流での動作を得ることができ
る。しかし、この先行技術が提案するボディ駆動型の動
的しきい値電圧変化のMOSFETは、ボディ−ゲート
・コンタクト(すなわちゲート導体及びチャネル領域間
の相互接続コンタクト)を、活性デバイスと隣接した外
の方へもっていくことにより達成されるので、その結
果、基板の貴重な領域が使用される。さらには、浮遊ボ
ディの漏れ機構のために、要求されるデータ保持時間を
達成することが難しい。本技術については、特に、”Fl
oating-Body Concerns for SOI Dynamic RAndom Access
Memory (DRAM)”, IEEEE SOI Conference Proceedings,
1996, pp. 136-137を参照されたい。
【0005】従って、ライト・バックの間、低いしきい
値電圧Vtを与えて最小化オフ電流の目的を満たし、従
来のSOIデバイスの漏れ問題を解決することができれ
ば、望ましい。
【0006】
【発明が解決しようとする課題】本発明は、上記の好ま
しくない浮遊ボディ効果を防ぐことができる、活性FE
Tボディ・デバイスとその製造方法を提供することを目
的とする。本発明により、高速な電荷平衡と、オフ電流
の著しい減少と、オン電流の著しい増加とを達成するこ
とが可能となる。本発明のデバイスは、小さいオフ電流
と大きなオン電流の特性を示すので、特に、非常に低い
動作電圧の応用分野に適している。
【0007】
【課題を解決するための手段】本発明によるゲート−ボ
ディ・コンタクトは、FETデバイスの幅、すなわちチ
ャネル領域の幅、のほぼ全体にわたって延びるように形
成される。この構造によって、FETデバイスの幅方向
の小さい電圧低下という結果となる。幅全体にわたるボ
ディ・コンタクトにより、高速で効率的なボディの電荷
平衡がゲートに関して起こる。ボディ・コンタクトをデ
バイスに隣接する外へ形成する従来の技術においては、
高速で効率的なボディ電荷平衡は、チャネル幅がリソグ
ラフィによって画定しうる最小限の大きさを、さほど越
えないデバイスにおいてのみ可能であった。本発明にお
いては、デバイス幅に関しては制限が存在しない。これ
により、広い幅を有するデバイスが可能であり、性能を
向上させる。チャネル領域の幅は、チャネル電流に対し
て垂直なデバイス寸法として画定される。
【0008】本発明は、ゲート導体と、ボディ領域(す
なわち、チャネル領域)と、ソース及びドレイン領域
と、ゲート導体及びボディ領域の間の電気的接続導体
(すなわちゲートーボディ・コンタクト)とから成る単
結晶半導体層表面上の活性FET領域を含む活性FET
ボディ・デバイスを提供する。好ましくは、半導体層に
沿ったゲート−ボディ・コンタクトの長さ(すなわちチ
ャネル電流に沿う方向の寸法)は、およそ200Åもし
くはそれ以下である。
【0009】又、本発明は、上に記載した活性FETボ
ディ・デバイスの製造方法に関する。特に、本発明のデ
バイス製造方法の一実施形態によれば、半導体基板の最
上層を構成する単結晶半導体層の表面に活性デバイス領
域及び分離領域が形成される。絶縁パッド構造部が前記
単結晶半導体層上に形成される。後に形成されるゲート
・コンタクトの形状を画定するために、開口部がパッド
構造部に基板に達するまで形成される。犠牲酸化物層が
形成され、ドープ剤がしきい値電圧Vtの調整のために
注入される。この犠牲酸化物層は取り除かれ、ゲート絶
縁体が形成される。ドープされたポリシリコン層が堆積
され、エッチングされて分離パッド構造部の開口部に側
壁部にスペーサを形成する。コンフォーマル層が形成さ
れる。このコンフォーマル層は導電性拡散防止物質、ド
ープされたアモルファスシリコン、もしくはドープされ
たポリシリコンである。金属シリサイドが堆積される。
あるいは、コンフォーマル層がドープされたアモルファ
スシリコンもしくはドープされたポリシリコンの場合
は、シリサイド形成金属が堆積され、反応してシリサイ
ドを形成する。金属シリサイドは分離パッド構造部の上
面とほぼ同一平面において平坦化される。金属シリサイ
ドがコンフォーマル層に形成された開口部を埋める。ド
ープされたポリシリコン、導電性拡散防止物質そして金
属シリサイドの、分離パッドによって保護されていない
一部がエッチングされる。分離パッドが取り除かれ、ソ
ース領域とドレイン領域が注入される。
【0010】本発明の活性FETボディ・デバイスの製
造方法の他の形態において、半導体基板の最上層を構成
する単結晶半導体層の表面に活性デバイス領域及び分離
領域が形成される。絶縁パッド構造部が前記単結晶半導
体層上に形成される。絶縁層が絶縁パッド構造部の上部
に形成される。絶縁層は、接触しているパッド構造部の
物質とは異なる。後に形成されるゲート・コンタクトの
形状を画定するために、開口部がパッド構造部と絶縁層
に、基板に達するまで形成される。犠牲酸化物層が形成
され、ドープ剤がしきい値電圧Vtの調整のために注入
される。絶縁パッド構造部の側壁部の一部が、酸化物層
がパッド構造部にかかるようにエッチングされる。犠牲
酸化物層が取り除かれ、ゲート絶縁体層が形成される。
ドープされていないポリシリコン層が堆積され、絶縁パ
ッド構造部の開口部を埋め、ポリシリコンにボイドが形
成される。ポリシリコンは平坦化及び研磨され、絶縁パ
ッド構造部とほぼ同一平面にされ、ドープされていない
ポリシリコンのボイドを露出させる。ボイドの底部に位
置するゲート絶縁体は取り除かれ、基板の上部表面を露
出させる。シリサイド形成金属の層は構造部の上に堆積
され、ギャップもしくはボイドを埋める。ドープされて
いないポリシリコンと接触している金属は、反応してポ
リシリコン領域の上に金属シリサイドを形成する。反応
しないシリサイド形成金属は選択的に取り除かれる。ポ
リシリコンはドープされ、ゲート導体を形成する。ソー
ス領域とドレイン領域も形成される。
【0011】上記に開示された活性FETボディ・デバ
イスの形成のための、本発明に従った他の形態によれ
ば、半導体基板の最上層を構成する単結晶半導体層の表
面に活性デバイス領域及び分離領域が形成される。絶縁
パッド構造部が前記単結晶半導体層上に形成される。絶
縁層がパッド構造部の上部に形成される。絶縁層はそれ
が接触するパッド構造部の物質とは異なる。後に形成さ
れるゲート・コンタクトの形状を画定するために、開口
部が絶縁層とパッド構造部に基板に達するまで形成され
る。犠牲酸化物層が形成される。ドープ剤がVtの調整
のために注入される。絶縁層がパッド構造部にかかるよ
うに絶縁パッド構造部の側壁部の一部がエッチングされ
る。犠牲酸化物層が取り除かれ、ゲート酸化物層が形成
される。第1導電タイプの不純物がドープされた第1の
ポリシリコン層が堆積され、絶縁パッド構造部の開口部
を埋め、ポリシリコン層にボイドを形成する。ポリシリ
コンは平坦化、研磨され、絶縁パッド構造部とほぼ同一
平面を形成し、ボイドを露出させる。ボイドの底部に位
置するゲート酸化物は取り除かれ、基板の上部表面が露
出する。第1導電タイプの不純物とは異なる第2導電タ
イプの不純物がわずかにドープされた第2のポリシリコ
ン薄膜層が堆積される。第2導電タイプのドーピング
は、好ましくは、半導体基板のものと同じ導電タイプの
ものである。第1導電タイプとは異なる第2導電タイプ
の不純物がドープされた第3のポリシリコン層が堆積さ
れ、この第3のポリシリコン層の不純物濃度は、第2の
ポリシリコン薄膜層よりも大きい。第2、第3のポリシ
リコン層は平坦化、研磨されて絶縁パッド構造部とほぼ
同一平面にされ、さらに、絶縁パッド構造部の下に掘り
下げられる。シリサイド形成金属の層が構造部の上に堆
積される。ポリシリコンと接触している金属はそれと反
応し、ポリシリコン領域の上に金属シリサイドを形成す
る。反応しないシリサイド形成金属は選択的に取り除か
れる。ソース領域とドレイン領域が形成される。
【0012】
【発明の実施の形態】以下の説明において、nタイプの
不純物に言及して議論を行っている場合、特定のステッ
プはpタイプの不純物に対しても適用可能であり、その
逆も同様である。又、以下に説明されるプロセスは、基
板として絶縁性基板上シリコン(SOI(silicon on i
nsulator))構造のものを使用しているが、本発明は他
の半導体基板に適用して活性ボディ・デバイスを達成す
ることも可能である。加えて、”第1のタイプの”不純
物に言及され、そして”第2のタイプの”不純物に言及
された場合、第1のタイプはnもしくはpタイプの不純
物に相当し、第2の不純物は第1の不純物とは反対の導
電性タイプのものに相当する。つまり、”第1のタイ
プ”がpである場合は”第2のタイプ”はnであり、”
第1のタイプ”がnである場合は”第2のタイプ”はp
である。シリコンやポリシリコンへのpタイプのドープ
剤には、ボロン、アルミニウム、ガリウム、そしてイン
ジウムが含まれる。シリコンやポリシリコンへのnタイ
プのドープ剤には、ヒ素、リン、そしてアンチモンが含
まれる。又、実例によって説明し、本発明の理解を助け
るために、一連の製造処理として、ダイナミック・ラン
ダム・アクセス・メモリ(DRAM)SOI MOSF
ET、論理デバイスあるいは高性能ドライバー・デバイ
スの製造に言及する。
【0013】特にDRAMの製造に最も有効な本発明の
1つの実施形態(図1参照)によれば、SOI基板1
は、シリコン基板2、二酸化シリコン層3、そして上面
の単結晶シリコン薄膜4を含む。の製造にもっとも有効
である。SOI基板はシリコン・ウェハ製造業者から購
入可能であり、典型的には、よく知られた製造方法とし
て、SIMOX(silicon implanted with oxygen )、
ボンド・アンド・エッチバック、あるいはSMARTC
UT(登録商標)等がある。典型的には、酸化物層3は
およそ500−5000Åの厚さを有し、好ましくは、
およそ1000−3000Åの厚さを有する。単結晶シ
リコン層4は二酸化シリコン層3の上に形成される。こ
の層4は典型的にはおよそ250−3000Åの厚さを
有し、好ましくは、およそ750−2000Åの厚さを
有する。二酸化シリコンの薄膜5’と窒化シリコンの厚
い膜6’を有する、パッド構造部が形成される。この二
酸化シリコン層5’は典型的にはおよそ40−150Å
の厚さを有し、好ましくは、およそ50−80Åの厚さ
を有する。この層は、CVD、もしくは、下層のシリコ
ン層4の熱酸化によって形成することができる。窒化シ
リコン層6’は、典型的にはおよそ500−4000Å
の厚さを有しする。窒化シリコン層6’は、熱的CVD
やプラズマCVDを含むCVDによって形成することが
可能である。
【0014】深いストレージ・トレンチ(storage tren
ch)は、窒化シリコン層6’、二酸化シリコン層5’、
シリコン層4、バック酸化物層3そしてシリコン基板2
の各層を通って、およそ4−8ミクロン、例えば6ミク
ロンの深さまで、選択的に各部分をエッチングすること
によって、形成することができる。
【0015】トレンチは、例えば窒化シリコン/二酸化
シリコン混合物のような誘電体層7によって絶縁されて
いる。ドープされたポリシリコンにような導電性物質8
を使用してトレンチを埋めることができ、窒化シリコン
パッドの上面とほぼ同一平面において平坦化される。ト
レンチにおけるポリシリコン8は掘り下げされ、露出し
た絶縁体7は、典型的にはシリコン層4の底部まで取り
除かれる。ドープされたポリシリコンが再びトレンチに
堆積され、窒化シリコン層とほぼ同一平面になるまで平
坦化研磨される。このポリシリコンは、この後のアレイ
MOSFETとストレージ・キャパシタとの接続を与え
る。加えて、浅いトレンチ分離(shallow trench isolt
ion(STI))9によって囲まれる活性領域は、窒化
シリコン層から、さらに、二酸化シリコン層、シリコン
層4そして酸化物層3まで、開口部もしくはトレンチを
エッチングすることによって画定される。開口部はその
後、テトラエチルオルソシリケート(tetraetylorthosi
licate(TEOS))のCVDによって形成される二酸
化シリコンのような絶縁物質によって埋められ、その
後、平坦化される。STIにおけるこの二酸化シリコン
は、窒化シリコン層まで選択的に掘り下げられうる。窒
化シリコンと二酸化シリコンのパッド構造部は取り除か
れる。
【0016】新たにパッド構造部が形成される。このパ
ッド構造部は薄い二酸化シリコン層5と厚い窒化シリコ
ン層6を備える。二酸化シリコン層5は典型的にはおよ
そ40−150Åの厚さを有し、好ましくは、およそ5
0−80Åの厚さを有する。層5は化学蒸着もしくはそ
の下のシリコン層4の熱酸化によって形成することがで
きる。
【0017】窒化シリコン層は典型的には、およそ、5
00−4000Åの厚さを有し、好ましくは、およそ1
000−3000Åの厚さを有する。層6は熱的CVD
やプラズマCVDを含むCVDによって形成することが
できる。
【0018】図2に示すように、開口部10は、例え
ば、窒化シリコンパッド層6に従来のリソグラフィー処
理を行い、その後、反応性イオン・エッチング(RI
E)を用いて層6に選択性エッチングを行うことによっ
て画定される。層5の露出した部分は、例えば、フッ素
を基礎とする化学物質を用いてエッチングされる。犠牲
酸化層(不図示)は窒化シリコン・パッド6に形成され
た開口部に作られる。次に、しきい値電圧Vtの調整注
入(tailor implants)が、犠牲酸化物層を介して与え
られる。
【0019】犠牲酸化物層は、フッ素化学物質を有する
ケミカル・ダウンストリーム・エッチング(chemical d
ownstream etch (CDE))、もしくは、緩衝HFウェ
ット・エッチング、を用いて取り除かれる。酸化物層の
ようなゲート絶縁体層12が下層のシリコン層4の熱酸
化によって形成される。酸化窒化物層も使用することが
できる。ゲート絶縁体層12は典型的にはおよそ25−
100Åの厚さを有する。
【0020】N+ドープ・ポリシリコン層のような第1
のタイプのドープ剤がドープされた第1のポリシリコン
層が堆積される(図3)。ポリシリコン層はその後、反
応性イオン・エッチング(RIE)にさらされ、窒化シ
リコン・パッド層6における開口部の側壁部にスペーサ
13を形成する。適切なRIEプロセスの例は、”「Si
licon Processing for the VLSI Era」, Wolf et al, V
ol.1, Process technology, Lattice Press, pp. 539-5
85”に記載されている。ゲート絶縁体層12の露出した
部分は、それから、エッチングによって取り除かれる。
窒化チタンあるいは窒化シリコン・タンタルのような導
電性拡散防止物質の薄いコンフォーマル層15が堆積さ
れる。防止層は典型的にはおよそ50−1000Åの厚
さであり、好ましくは、およそ100−300Åの厚さ
である。
【0021】金属シリサイド層16が堆積され、窒化シ
リコン・パッド6の上面とほぼ同一の平面になるまで平
坦化される。適切な金属シリサイド層の例は、チタン・
シリサイド、モリブデン・シリサイド、ジルコニウム・
シリサイド、ハフニウム・シリサイド、バナジウム・シ
リサイド、ニオブ・シリサイド、タンタル・シリサイ
ド、クロム・シリサイド、そして、タングステン・シリ
サイド等がある。これらは、例えば、固体シリコン・タ
ーゲットからスパッタリングによって形成することがで
きる。層15と16は窒化シリコン層6の上面とほぼ同
一の平面になるまで平坦化される。シリサイド層16の
上部表面は、窒化物パッド6の表面の下まで掘り下げら
れうる。
【0022】他の例として、層16は第2タイプの不純
物がドープされたポリシリコンもしくは、アモルファス
・シリコンが可能である。ドープされたポリシリコンも
しくはアモルファスシリコン層16を堆積した後、層1
5と層16は窒化物パッド6の上面とほぼ同一の平面に
なるまで平坦化される。その後、シリサイド形成金属が
その構造部の上に堆積される。 この例では、コンフオ
ーマル下地層の導電性拡散防止層15が省略されても良
い。
【0023】シリサイドを形成する適切な金属の例とし
ては、チタン、モリブデン、ジルコニウム、ハフニウ
ム、ニオブ、タンタル、クロムそしてタングステン等が
あり、特に、チタンとタングステンが好ましい。金属は
このあと、接触しているポリシリコンもしくはアモルフ
ァスシリコンと反応し、ポリシリコンもしくはアモルフ
ァスシリコンの上に金属シリサイド層16を形成する。
チタンの場合は、アルゴンのような不活性ガス雰囲気中
において、そのように反応させることができる。
【0024】反応しなかったシリサイド形成金属は、金
属シリサイドに選択的に作用するエッチング液を使用し
て取り除くことができる。例えば、チタンの場合、硫酸
と過酸化水素の組みあわせのような、よく知られた技術
を用いることによって取り除くことができる。層13、
15、16(ゲート導体)の上部表面は、その後、窒化
物パッドの上部表面の下、およそ100−500Åまで
掘り下げられる。
【0025】CVD二酸化シリコンの層17が堆積さ
れ、その後、窒化シリコンパッド6の上部とほぼ同一平
面になるまで平坦化研磨される。これは、図4に示され
るように、ゲート導体の上に酸化物の絶縁体キャップを
形成する。
【0026】窒化シリコンパッド層6は、例えば、熱り
ん酸エッチングを使用することにより取り除くことがで
きる。酸化物パッド層5は残され、ソース−ドレイン注
入のための酸化物スクリーンとして働く。側壁部の絶縁
体スペーサ18が、図5に示されるように、ワード線上
に形成される。
【0027】薄い酸化シリコン層19(図6参照)が、
例えばCVDにより堆積され、その後、そこに窓をあけ
て、ビット線コンタクトのために下層の拡散層を露出さ
せる。
【0028】第1タイプのドープ剤を含んだドープされ
たポリシリコン21が堆積される。ビット線拡散層との
ボーダレス・コンタクトを形成するポリシリコン21の
端部を削り取るために、マスキングのステップが行われ
る。
【0029】次に、コンタクト・スタッドの形成や様々
な配線レベルの決定といった、従来技術の処理が行われ
る。
【0030】形成された構造部は、N+ゲートとP+ゲ
ートの双方を含み、P+ゲートはSOIの単結晶シリコ
ンと電気的に接触し、N+ゲートはそれから分離され
る。
【0031】オフ状態においては、図6に示される構成
のボディ・ゲート間コンタクトは、ボディ領域をワード
線の低電圧レベル(0.0もしくはいくらか負の値)に
維持する。この状態は、しきい値電圧がもっとも大きい
値をとる状態である。ワード線が正の高電圧レベルへ上
昇するにつれて、ゲート導体のN+部分13への印加電
圧に加えて、ボディ・ソース間電圧もますます上昇す
る。この結果、装置がオンになると、しきい値電圧Vt
が低下する(そして、サブスレッショルド・スロープが
より急峻になる)。この装置の、シミュレートされたI
d−Vg特性が、図7においてフローティング・ボディ
を有する通常のSOI MOSFETと比較されてい
る。通常の装置に比較して、オフ電流の大きな減少、サ
ブスレッショルド・スロープの改善、オン電流の増加な
どが見て取れる。オフ電流は10の4べき乗(図のY座
標の対数目盛で4の目盛)のファクターで減少し、オン
電流は約40%も大きくなっている。サブスレッショル
ド・スロープは、フローティング・ボディでは、25℃
において80mV/decもの値になるが、ボディ動作
デバイスでは、60mV/decに低下する。
【0032】ゲート電圧を高電圧レベルへ上昇するにつ
れて、ソース領域(トレンチ・キャパシタ側)にもっと
も近いチャネルのMOSゲート側が最初に反転する。こ
の結果、上述のようにしきい値電圧Vtの低下に加え
て、擬似ベース幅の減少も起こり、究極的には、ソース
領域に最も近いMOSゲート側の反転層は、擬似エミッ
タ(ソース)幅の拡張として機能することになる。その
結果、ゲート電圧の増加に伴いバイポーラ・ゲインが増
加することになる。本実施形態の構造と関連するバイポ
ーラ・ゲインの動的変化は、独特の効果をもたらす。本
実施形態における、最小化オフ電流に伴うしきい値電圧
Vtの動的降下の効果によって、この構造は、非常に低
い動作電圧、例えば0.7ボルトの装置に適したものと
なる。従って、従来のMOSFETに対する性能上の優
位性が相当に大きい。
【0033】本発明の他の実施形態が、図8−15及び
図16−23にそれぞれ示されており、ゲート・エッジ
のような装置の他の構成に関して、ゲート・ボディ間コ
ンタクトの自己整合が示されている。加えて、好ましい
技術を利用すると、この自己整合コンタクトは、幾何形
状の再現性に富んでいるばかりではなくて、ゲート長の
長短に無関係に形成できる。このゲート・ボディ間コン
タクトの大きさは、通常のフォトリソグラフィ処理によ
って可能なものよりも、はるかに小さくすることができ
る。上に議論したように、好ましくは、半導体基板表面
に沿った方向のゲート・ボディ間コンタクトの長さは、
およそ200Åもしくはそれ以下であり、最も好ましく
は、およそ100Åもしくはそれ以下である。200Å
を越えるコンタクト長が好ましくないのは、そのような
長さは、過剰な量のソース電流がゲートに流れ、装置の
ゲインを低下させるからである。本発明の他の製造方法
によれば、図1−6において示された処理のための上に
議論されたような、通常のSOI基板1、活性領域と分
離領域が、よく知られた従来技術によって与えられる。
尚、ゲート・ボディ・コンタクトは、ゲートとチャネル
領域の接触を含むものである。
【0034】活性領域を画定するために使用されたオリ
ジナルのパッド層は、取り除かれ、新しいパッド層構造
が形成される。この新しいパッド層構造は薄い熱的に成
長した酸化シリコン層22を含み、この酸化シリコン層
22はCVD等によって窒化シリコン層6に堆積されて
いる(図8)。この酸化シリコン層22と、窒化シリコ
ン層6と酸化シリコン層5を含むパッド構造部とを通っ
て、下層の単結晶シリコン層4まで及ぶ開口部10は、
反応性イオン・エッチング(RIE)を用いたエッチン
グ等により与えられる。開口部10は、この後に形成さ
れるゲート・コンタクト配線レベルの形状を画定する。
【0035】犠牲酸化層(不図示)は、その後、下層の
単結晶シリコン層4の熱酸化等によって、開口部10に
形成される。そのあと、Vt調整注入(adjust implant
s)が行われる。
【0036】次に、窒化シリコン層6の側壁23あるい
はエッジが、上層の酸化シリコン層22から、例えばリ
ン・エッチング液を用いて掘り下げられる。このエッチ
ング・プロセスは、非常にうまく制御され、最上部の酸
化物層22が窒化シリコン6の上にかかる正確な量を決
定することができる。
【0037】犠牲酸化物層は、その後、ウェット・ディ
ップ・エッチング等を用いて取り除かれる。ゲート絶縁
体層12は下層シリコン層4の熱酸化による酸化シリコ
ン等によって形成される。ゲート絶縁体層12は典型的
にはおよそ25−100Åの厚さを有し、好ましくは、
およそ30−80Åの厚さを有する(図9)。
【0038】図10に示されているように、ドープされ
ていないポリシリコン層24は、ポリシリコン24が完
全にパッド層における開口部を埋めるように堆積され
る。しかし、堆積プロセスのために、そして、最上部の
酸化シリコン層22がパッド窒化シリコン6の一部にか
かっているという事実のために、ボイド25がポリシリ
コン24に形成される。このボイド25は、後にゲート
導体のエッジになる部分の中間にあたる部分に形成され
る。
【0039】次に、ポリシリコン24は、CMP研磨を
使用して平坦化及び研磨され、ポリシリコン24と窒化
シリコン6は、図11に示すように、ほぼ同一平面にな
る。この研磨プロセスは、最上部の酸化シリコン層22
と窒化シリコン層6の一部を取り除く。加えて、図11
に示されるように、このプロセスは、ポリシリコン24
におけるボイド25を露出させる。
【0040】次に、ボイド25の底にあるゲート絶縁体
12は、緩衝HFのようなフッ素を基礎とするエッチン
グを使用して、エッチングにより取り除かれ、シリコン
層4の上部が露出される。
【0041】チタン、タングステン、ニオブ、タンタ
ル、モリブデン、ジルコニウム、ハフニウム、バナジウ
ムそしてクロムのようなシリサイド形成金属層41は、
ポリシリコン24におけるギャップもしくはボイド25
を完全に埋める構造全体の上を覆うように堆積される。
(図12)
【0042】チタンのような金属は、接触しているポリ
シリコンと反応して、ポリシリコン24の上に金属シリ
サイド16を形成する、(図13)。チタンの場合は、
アルゴンのような不活性ガス雰囲気中で反応させること
ができる。窒化シリコン層6の上のチタンのように反応
しなかった金属は、チタン・シリサイドに適したエッチ
ング液を使用して取り除くことができる。例としては、
硫酸と過酸化水素の組み合わせが挙げられる。
【0043】加えて、窒化物の上に形成されうる窒化チ
タンの薄い層は、このエッチング液を用いて、チタン・
シリサイドにほとんど影響を与えることなく、取り除く
ことができる。これは、ゲート・ポリシリコンが高導電
性金属によってキャップされる構造部を提供する。これ
は又、ゲート導体をSOI MOSFETボディへ接触
させる。
【0044】ゲート・ポリシリコン24は、それから、
シリサイド層16を介して、N+−もしくはP+−タイ
プの不純物26を注入され、必要とされる特定タイプの
デバイスに適したゲート導体の機能を与えられる。図1
4を参照されたい。ポリシリコンは早い拡散性を示すの
で、ゲート不純物がゲート・ポリシリコン24の上部に
達すればよい。これにより、チャネル領域がカウンター
・ドープされる可能性が小さくなる。シリサイド層16
の厚さは、ゲート不純物注入を使用して、窒化シリコン
層6を通ってチャネル領域をカウンター・ドープするこ
となく、ソース/ドレイン拡散を形成することができる
ように、形成することができる。シリサイド層の典型的
な厚さは、およそ100−600Åであり、好ましく
は、およそ200−500Åである。ソース/ドレイン
接合の深さは、シリコン薄膜の厚さによって制限される
ので、ストラグル(straggle)は大きな問題とはならな
くる。
【0045】窒化シリコン層6は、よく知られるよう
に、それから、ゲート導体に対する選択性エッチ液と側
壁のスペーサを使用して、取り除くことができる。も
し。必要ならば、この特定の段階において、ソース/ド
レイン注入部27を形成することができる。さらには、
前段階において、低いドーピング密度のソース/ドレイ
ン注入が窒化シリコンを通じて行われた場合は、この段
階において、付加的な高い濃度のソース/ドレイン注入
を行うことが望ましいかもしれない。
【0046】加えて、それが望ましいならば、窒化物が
まだ存在するときにゲート・ポリシリコンを注入する代
わりに、そのような注入は窒化シリコンが取り除かれた
後に行い、ポリシリコンとソース/ドレイン領域を同時
にドープすることができる。
【0047】この後に、従来のミドル・オブ・ザ・ライ
ン(middle of the line (MOL))処理とバック・
エンド・オブ・ザ・ライン(back end of the line(B
EOL))処理を、よく知られた方法で行うことができ
る。
【0048】以下に他の実施形態を示す。他の実施形態
において、図11に示すように、ボイドが露出し、ボイ
ドの底部のゲート絶縁体がエッチングで取り除かれる処
理の点までは、図8−15に示されたものと同じ方法で
行うことができる。ただし、第1のタイプの不純物がド
ープされたポリシリコン28がドープされていないポリ
シリコン24の代わりに用いられている点は異なる(図
16、17参照)。
【0049】この段階において(図18参照)、一つの
選択肢として、拡散障壁層29をボイド25の側壁に形
成することができる。これは、物質の薄膜を堆積し、そ
の後に、側壁に残っている物質のみを残す反応性イオン
・エッチングを行うことにより、形成することができ
る。適切な拡散防止層は、窒化チタンや窒化シリコン・
タンタル(TaSiN)のような導電性物質、あるい
は、窒化シリコンのような絶縁体を含む。この拡散防止
層は、典型的には、およそ20−50Åの厚さであり、
好ましくは、およそ30−40Åの厚さである。
【0050】第2のタイプの不純物をドープされた第2
のポリシリコンの薄膜層30は、それから、低温エピ・
プロセス等によって堆積される(図19)。この層は、
およそ20−50Åの厚さを有し、好ましくは、およそ
30−40Åの厚さを有する。次に、第2タイプの高濃
度不純物がドープされたポリシリコンの第3の層31
が、堆積される。この層は、典型的には、およそ100
−500Åの厚さを有し、好ましくは、およそ200−
400Åの厚さを有する。低温エピ堆積処理は、第2の
不純物がドープされたポリシリコンと第1タイプの不純
物がドープされたポリシリコンとの相互拡散を最小限に
するために使用される。しかし、もし、選択肢としての
拡散防止層29が使用されているならば、拡散防止物質
はこの相互拡散を確実に防止するので、より高い堆積温
度を使用することができる。層31のドープ・レベル
は、層30よりも大きくなる。典型的には、層30への
ドープ濃度は、およそ、5×1015から5×1017cm
-3であり、層31は、5×1019から1×1021cm-3
である。
【0051】次に、ポリシリコン28は窒化シリコン層
6の上部とほぼ同一平面において平坦化され、その下の
必要とされる深さまで掘り下げられる(図20参照)。
これは、反応性イオン・エッチングにより行うことがで
きる。
【0052】上記に開示したような金属(好ましくはタ
ングステン、チタンもしくはニオブ)を形成するシリサ
イド層16は、表面上に堆積され、露出したポリシリコ
ンと接触した部分で反応してシリサイド層16を形成す
る。典型的には、このシリサイド層16はおよそ100
−1000Åの厚さを有し、好ましくは、およそ300
−600Åの厚さを有する。
【0053】窒化物上の反応しない金属は、それから、
シリサイドへの選択性エッチング処理によって取り除か
れる。チタンの場合は、エッチング液は、硫酸と過酸化
水素の組み合わせを含む(図21参照)。
【0054】CVD酸化シリコンのような酸化シリコン
・キャップ層32が堆積され、窒化シリコン・パッド層
6の上部とほぼ同一平面においてで平坦化される。これ
は、ゲート導体の絶縁体キャップを形成する。この処理
は、拡散へのボーダレス接触が必要とされるときに、行
われる。
【0055】次に、窒化物パッド6は、取り除かれ、ソ
ース/ドレイン注入27が行われる。ゲート側壁絶縁体
スペーサ33が形成されうる(図23)。デバイスはそ
れから、従来の処理が行われ、必要とされる構造が形成
される。
【0056】以上の説明は、本発明の好ましい実施形態
を示したものにすぎない。本発明は、様々な他の組み合
わせや環境、もしくは変更を加えたものとして使用する
ことが可能であり、上記に記載された本発明の概念の及
ぶ範囲、そして、上記の示唆そして/あるいは関連分野
の技術や知識と同等の範囲に於いて変更を加えることが
可能である。上記に記載された実施形態は、本発明を実
施する最善の形態を説明するために示され、さらに、当
業者が本発明を、必要に応じて様々な変更を行って他の
形態に於いて利用することができることを意図して記載
されている。従って、上記の説明は本発明を、この実施
の形態に限定することを意図するものではない。
【図面の簡単な説明】
【図1】実施の形態にかかる構造部の製造における一段
階を示す概略図である。
【図2】実施の形態にかかる構造部の製造における一段
階を示す概略図である。
【図3】実施の形態にかかる構造部の製造における一段
階を示す概略図である。
【図4】実施の形態にかかる構造部の製造における一段
階を示す概略図である。
【図5】実施の形態にかかる構造部の製造における一段
階を示す概略図である。
【図6】実施の形態にかかる構造部の製造における一段
階を示す概略図である。
【図7】実施の形態にかかる構造と従来技術におけるI
d−Vg特性を比較する図である。
【図8】実施の形態にかかる構造部の製造における一段
階を示す概略図である。
【図9】実施の形態にかかる構造部の製造における一段
階を示す概略図である。
【図10】実施の形態にかかる構造部の製造における一
段階を示す概略図である。
【図11】実施の形態にかかる構造部の製造における一
段階を示す概略図である。
【図12】実施の形態にかかる構造部の製造における一
段階を示す概略図である。
【図13】実施の形態にかかる構造部の製造における一
段階を示す概略図である。
【図14】実施の形態にかかる構造部の製造における一
段階を示す概略図である。
【図15】実施の形態にかかる構造部の製造における一
段階を示す概略図である。
【図16】実施の形態にかかる構造部の製造における一
段階を示す概略図である。
【図17】実施の形態にかかる構造部の製造における一
段階を示す概略図である。
【図18】実施の形態にかかる構造部の製造における一
段階を示す概略図である。
【図19】実施の形態にかかる構造部の製造における一
段階を示す概略図である。
【図20】実施の形態にかかる構造部の製造における一
段階を示す概略図である。
【図21】実施の形態にかかる構造部の製造における一
段階を示す概略図である。
【図22】実施の形態にかかる構造部の製造における一
段階を示す概略図である。
【図23】実施の形態にかかる構造部の製造における一
段階を示す概略図である。
【符号の説明】
1 SOI基板、2 シリコン基板、3 二酸化シリコ
ン層、4単結晶シリコン薄膜、5 二酸化シリコン層、
6 窒化シリコン層、7 絶縁体、8 ポリシリコン、
9 浅いトレンチ分離、10 開口部、12 ゲート絶
縁体層、13 スペーサ、15 コンフォーマル層、1
6 金属シリサイド層、17 CVD二酸化シリコンの
層、18 絶縁体スペーサ、19 酸化シリコン層、2
1 ポリシリコン、22 酸化シリコン、23 側壁
部、24 ポリシリコン、25 ボイド、26 不純
物、27 ソース/ドレイン注入部、28 第1のタイ
プの不純物がドープされたポリシリコン層、29 拡散
障壁層、30第2のタイプの不純物がドープされた第2
のポリシリコン層、31 第2のタイプの不純物がドー
プされた第3のポリシリコン層、32 酸化シリコン・
キャップ層、33 ゲート側壁絶縁体スペーサ、
───────────────────────────────────────────────────── フロントページの続き (72)発明者 クロード・エル・バーチン アメリカ合衆国05403バーモント州サウ ス・バーリントン、ファズント・ウェイ 33 (72)発明者 ジェフリー・ピー・ガンビーノ アメリカ合衆国06755コネチカット州ガ イロードヴィレ、ウィバタック・ロード 12 (72)発明者 ルイス・ルーチェン・シュ アメリカ合衆国12524ニューヨーク州フ ィッシュキル、クロスバイ・コート7 (72)発明者 ジャック・アラン・マンデルマン アメリカ合衆国12582ニューヨーク州ス トームヴィレ、ジャミー・レーン5 (56)参考文献 特開 平2−244728(JP,A) 特開 平10−12887(JP,A) 特開 平8−51208(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 29/78 H01L 29/80 H01L 29/735

Claims (17)

    (57)【特許請求の範囲】
  1. 【請求項1】 ソース領域及びドレイン領域にそれぞれ
    隣接するチャネル領域を表面に有する単結晶半導体層
    と、前記チャネル領域上にゲート絶縁層を介して配置さ
    れたゲート導体と、該ゲート導体及び前記チャネル領領
    を電気的に相互接続するための接続導体(以下、ゲート
    ーボディ・コンタクトと言う)とを含む活性FET領域
    を含み、 前記ゲートーボディ・コンタクトは、前記活性FET領
    域内に位置していて前記チャネル領域の幅(チャネル電
    流に垂直な方向の寸法、以下、同じ)全体にわたって配
    置されていることを特徴とする、活性FETボディ・デ
    バイス。
  2. 【請求項2】 前記単結晶半導体層がSOI基板の最上
    層であることを特徴とする、請求項1に記載の活性FE
    Tボディ・デバイス。
  3. 【請求項3】 前記ゲートーボディ・コンタクトは、前
    記ゲート導体の中央部に位置しチャネル領域の幅方向に
    延びるボイドに対して、自己整合的に形成されているこ
    とを特徴とする、請求項1に記載の活性FETボディ・
    デバイス。
  4. 【請求項4】 前記ゲート導体は、その上部に、金属シ
    リサイド及び二酸化シリコンから成るキャップ構造を有
    することを特徴とする、請求項1に記載の活性FETボ
    ディ・デバイス。
  5. 【請求項5】 前記ゲート導体は第1導電タイプのドー
    プ剤を含有するポリシリコンであり、前記ゲートーボデ
    ィ・コンタクトは、前記第1導電タイプとは異なる第2
    導電タイプのドープ剤を含有するポリシリコン又はアモ
    ルファス・シリコンであることを特徴とする、請求項1
    に記載の活性FETボディ・デバイス。
  6. 【請求項6】ゲート導体と、チャネル領域と、ソース及
    びドレイン領域と、活性FET領域内に位置していて前
    記チャネル領域の幅全体にわたって配置されているゲー
    トーボディ・コンタクトとを含む活性FET領域から成
    る活性FETボディ・デバイスを製造する方法であっ
    て、 単結晶半導体層表面に複数の活性FET領域及びそれら
    の分離領域が設けられている半導体基板を用意するステ
    ップと、 前記半導体層上に絶縁パッド構造部を形成するステップ
    と、 前記活性領域にゲート導体の形成予定領域を画定するた
    めに前記パッド構造部に前記半導体層に達する開口部を
    形成するステップと、 犠牲酸化物層を形成するステップと、 Vtの調整のためにドープ剤を前記半導体層内に注入す
    るステップと、 前記犠牲酸化物層を取り除き、前記開口部内にゲート絶
    縁体層を形成するステップと、 ドープ剤含有ポリシリコン層を堆積し、該堆積ポリシリ
    コン層をエッチングして、前記開口部の内側壁に前記ド
    ープ剤含有ポリシリコンのスペーサを形成するステップ
    と、 前記スペーサで覆われていない前記ゲート絶縁体層の部
    分をエッチングして前記半導体層の表面部分を露出する
    ステップと、 導電性拡散防止物質を堆積して前記半導体層の前記露出
    表面及び前記スペーサ外表面を覆ってコンフォーマル層
    を形成するステップと、 金属シリサイド物質を堆積し、該堆積金属シリサイド層
    及び前記コンフォーマル層を前記パッド構造部の上部と
    同一平面になるまで平坦化研磨し、前記開口部内の前記
    コンフォーマル層により囲まれた前記金属シリサイド充
    填構造を形成するステップと、 前記パッド構造部の前記開口部内において、前記金属シ
    リサイド充填構造、前記コンフォーマル層及び前記スペ
    ーサの露出した上面を前記パッド構造部の露出表面より
    も低くなるようにエッチングし、ゲート導体を画定する
    ステップと、 前記パッド構造部を取り除くステップと、 ソース領域とドレイン領域を形成するステップと、 を有する、活性FETボディ・デバイス製造方法。
  7. 【請求項7】ゲート導体と、チャネル領域と、ソース及
    びドレイン領域と、活性FET領域内に位置していて前
    記チャネル領域の幅全体にわたって配置されているゲー
    トーボディ・コンタクトとを含む活性FET領域から成
    る活性FETボディ・デバイスを製造する方法であっ
    て、 単結晶半導体層表面に複数の活性FET領域及びそれら
    の分離領域が設けられている半導体基板を用意するステ
    ップと、 前記半導体層上に絶縁パッド構造部を形成するステップ
    と、 前記活性領域にゲート導体の形成予定領域を画定するた
    めに前記パッド構造部に前記半導体層に達する開口部を
    形成するステップと、 犠牲酸化物層を形成するステップと、 Vtの調整のためにドープ剤を前記半導体層内に注入す
    るステップと、 前記犠牲酸化物層を取り除き、前記開口部内にゲート絶
    縁体層を形成するステップと、 第1導電タイプのドープ剤を含有するポリシリコン層を
    堆積し、該堆積ポリシリコン層をエッチングして、前記
    開口部の内側壁に前記ドープ剤含有ポリシリコンのスペ
    ーサを形成するステップと、 前記スペーサで覆われていない前記ゲート絶縁体層の部
    分をエッチングして前記半導体層の表面部分を露出する
    ステップと、 前記半導体層の前記露出表面及び前記スペーサ外表面を
    覆って、前記第1導電タイプとは異なる第2導電タイプ
    のドープ剤を含有するポリシリコン又はアモルファス・
    シリコンのコンフォーマル層を堆積するステップと、 前記コンフォーマル層を前記パッド構造部の上部と同一
    平面になるまで平坦化研磨し、前記開口部内に前記ポリ
    シリコン又は前記アモルファス・シリコンの充填構造を
    形成するステップと、 シリサイド形成金属を堆積し、接触部におけるシリサイ
    ド金属化反応により前記開口部内の前記ポリシリコン又
    はアモルファス・シリコン充填構造を金属シリサイドに
    変更するステップと、 前記シリサイド形成金属堆積層のうちシリサイド金属化
    の未反応部分の堆積層を選択的エッチングにより除去す
    るステップと、 前記パッド構造部の前記開口部内において、前記金属シ
    リサイド充填構造及び前記スペーサの露出した上面を前
    記パッド構造部の露出表面よりも低くなるようにエッチ
    ングし、ゲート導体を画定するステップと、 前記パッド構造部を取り除くステップと、 ソース領域とドレイン領域を形成するステップと、 を有する、活性FETボディ・デバイス製造方法。
  8. 【請求項8】 前記ポリシリコン又はアモルファス・シ
    リコンのコンフォーマル層を堆積する前記ステップに先
    立って、導電性拡散防止物質のコンフォーマル層を下地
    層として堆積するステップを設けることを特徴とする請
    求項7に記載の活性FETボディ・デバイス製造方法。
  9. 【請求項9】 前記コンフォーマル層は、ドープされた
    上層のポリシリコン層と、前記上層のポリシリコン層よ
    りも下層に形成され、ドープされた下層のポリシリコン
    層とを有し、前記上層のポリシリコン層のドープ濃度は
    前記下層のポリシリコン層のドープ濃度よりも大きいこ
    とを特徴とする、請求項7に記載の活性FETボディ・
    デバイス製造方法。
  10. 【請求項10】 前記パッド構造部を取り除く前記ステ
    ップに先立って、前記ゲート導体の上に二酸化シリコン
    キャップを形成するステップを設けることを特徴とす
    る、請求項6,7または8に記載の活性FETボディ・
    デバイス製造方法。
  11. 【請求項11】 ゲート導体と、チャネル領域と、ソー
    ス及びドレイン領域と、活性FET領域内に位置してい
    て前記チャネル領域の幅全体にわたって配置されている
    ゲートーボディ・コンタクトとを含む活性FET領域か
    ら成る活性FETボディ・デバイスを製造する方法であ
    って、 単結晶半導体層表面に複数の活性FET領域及びそれら
    の分離領域が設けられている半導体基板を用意するステ
    ップと、 前記半導体層上に絶縁体パッド構造部を形成するステッ
    プと、 前記パッド構造部の上部に、前記パッド構造部の物質と
    は異なる絶縁体層を形成するステップと、 前記活性領域にゲート導体の形成予定領域を画定するた
    めに前記パッド構造部及び前記絶縁体層を貫通して前記
    半導体層に達する開口部を形成するステップと、 犠牲酸化物層を形成するステップと、 Vtの調整のためにドープ剤を前記半導体層内に注入す
    るステップと、 前記開口部内部に露出している前記絶縁体層の側端が下
    側の前記パッド構造部の側端から軒状に張り出すように
    前記絶縁パッド構造部の側壁の一部をエッチングするス
    テップと、 前記犠牲酸化物層を取り除くステップと、 ゲート絶縁体層を形成するステップと、 前記絶縁パッド構造部の開口部を充填するために未ドー
    プのポリシリコン層を堆積し、前記絶縁体層の軒状張出
    し部の遮蔽作用により、前記ポリシリコン層の充填部分
    にボイドを形成するステップと、 前記ポリシリコン層を、前記絶縁パッド構造部と同一平
    面になるまで、平坦化研磨し、前記ボイドを露出させる
    ステップと、 前記ボイドの底部にあるゲート絶縁体を取り除き、前記
    半導体層の上面を露出させるステップと、 シリサイド形成金属の層を堆積して、前記ボイドを埋め
    るステップと、 前記シリサイド形成金属と前記ポリシリコンを金属化反
    応させ、前記ポリシリコン領域の上に金属シリサイド層
    を形成するステップと、 未反応のシリサイド形成金属を選択的に取り除くステッ
    プと、 前記ポリシリコンにドープしてゲート導体を形成するス
    テップと、 ソース領域とドレイン領域を形成するステップと、 を有する、活性FETボディ・デバイス製造方法。
  12. 【請求項12】 前記絶縁パッド構造部の側壁の一部を
    エッチングする前記ステップは、りん酸溶液でエッチン
    グするステップを含む、請求項11に記載の活性FET
    ボディ・デバイス製造方法。
  13. 【請求項13】 前記ボイドは、前記ゲート導体の両側
    縁間の中間に位置することを特徴とする、請求項11に
    記載の活性FETボディ・デバイス製造方法。
  14. 【請求項14】 未反応のシリサイド形成金属を取り除
    く前記ステップの後に、又はゲート導体を形成するため
    に前記ポリシリコン内へのドーピングに先立って、若し
    くは、そのドーピング後に、前記絶縁パッド構造部を取
    り除くステップを含む、請求項11に記載の活性FET
    ボディ・デバイス製造方法。
  15. 【請求項15】 ゲート導体と、チャネル領域と、ソー
    ス及びドレイン領域と、活性FET領域内に位置してい
    て前記チャネル領域の幅全体にわたって配置されている
    ゲートーボディ・コンタクトとを含む活性FET領域か
    ら成る活性FETボディ・デバイスを製造する方法であ
    って、 単結晶半導体層表面に複数の活性FET領域及びそれら
    の分離領域が設けられている半導体基板を用意するステ
    ップと、 前記半導体層上に絶縁体パッド構造部を形成するステッ
    プと、 前記パッド構造部の上部に、前記パッド構造部の物質と
    は異なる絶縁体層を形成するステップと、 前記活性領域にゲート導体の形成予定領域を画定するた
    めに前記パッド構造部及び前記絶縁体層を貫通して前記
    半導体層に達する開口部を形成するステップと、 犠牲酸化物層を形成するステップと、 Vtの調整のためにドープ剤を前記半導体層内に注入す
    るステップと、 前記開口部内部に露出している前記絶縁体層の側端が下
    側の前記パッド構造部の側端から軒状に張り出すように
    前記絶縁パッド構造部の側壁の一部をエッチングするス
    テップと、 前記犠牲酸化物層を取り除くステップと、 ゲート絶縁体層を形成するステップと、 前記絶縁パッド構造部の開口部を充填するために第1導
    電タイプのドープ剤含有の第1のポリシリコン層を堆積
    し、前記絶縁体層の軒状張出し部の遮蔽作用により、前
    記第1ポリシリコン層の充填部分にボイドを形成するス
    テップと、 前記第1のポリシリコン層を、前記絶縁パッド構造部と
    同一平面になるまで、平坦化研磨して前記ボイドを露出
    させるステップと、 前記ボイドの底部にあるゲート絶縁体を取り除き、前記
    半導体層の上面を露出させるステップと、 前記第1導電タイプとは異なる第2導電タイプのドープ
    剤含有の第2のポリシリコンの薄膜を堆積するステップ
    と、 前記第2のポリシリコンの薄膜よりも高濃度に前記第2
    導電タイプのドープ剤を含有する第3のポリシリコン層
    を堆積しするステップと、 前記第2及び第3のポリシリコンを、前記絶縁パッド構
    造部と同一平面になるまで、平坦化研磨し、さらに該第
    2及び第3のポリシリコンの上面を前記絶縁パッド構造
    部の上面よりも下方にエッチングするステップと、 シリサイド形成金属の層を堆積するステップと、 前記シリサイド形成金属と前記ポリシリコンを反応さ
    せ、前記ポリシリコン領域の上に金属シリサイド層を形
    成するステップと、 未反応のシリサイド形成金属を選択的に取り除くステッ
    プと、 ソース領域とドレイン領域を形成するステップと、 を有する、活性FETボディ・デバイス製造方法。
  16. 【請求項16】 前記第2のポリシリコンの薄膜を堆積
    する前記ステップに先立って、前記ボイドの側壁に導電
    性拡散障壁層を形成するステップを含むことを特徴とす
    る、請求項15記載の活性FETボディ・デバイス製造
    方法。
  17. 【請求項17】 前記未反応シリサイド形成金属を選択
    的に取り除く前記ステップの後に、二酸化シリコンの層
    を堆積し、前記二酸化シリコンの層を前記絶縁パッド構
    造部の上部と同一平面になるまで平坦化するステップを
    含むことを特徴とする、請求項15に記載の活性FET
    ボディ・デバイス製造方法。
JP22482799A 1998-08-11 1999-08-09 活性fetボディ・デバイス及びその製造方法 Expired - Fee Related JP3396186B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/132599 1998-08-11
US09/132,599 US5998847A (en) 1998-08-11 1998-08-11 Low voltage active body semiconductor device

Publications (2)

Publication Number Publication Date
JP2000058861A JP2000058861A (ja) 2000-02-25
JP3396186B2 true JP3396186B2 (ja) 2003-04-14

Family

ID=22454778

Family Applications (1)

Application Number Title Priority Date Filing Date
JP22482799A Expired - Fee Related JP3396186B2 (ja) 1998-08-11 1999-08-09 活性fetボディ・デバイス及びその製造方法

Country Status (6)

Country Link
US (2) US5998847A (ja)
JP (1) JP3396186B2 (ja)
KR (1) KR100315839B1 (ja)
CN (1) CN1252821C (ja)
MY (1) MY116040A (ja)
SG (1) SG77263A1 (ja)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3568385B2 (ja) * 1998-03-16 2004-09-22 沖電気工業株式会社 半導体装置の製造方法
JP4540142B2 (ja) * 1999-01-19 2010-09-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2000311871A (ja) * 1999-04-27 2000-11-07 Nec Corp 半導体装置の製造方法
JP2000332242A (ja) * 1999-05-21 2000-11-30 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6221767B1 (en) * 1999-10-28 2001-04-24 United Microelectronics Corp. Method of fabricating a silicide landing pad
US6429056B1 (en) * 1999-11-22 2002-08-06 International Business Machines Corporation Dynamic threshold voltage devices with low gate to substrate resistance
US6555446B1 (en) * 1999-12-10 2003-04-29 Texas Instruments Incorporated Body contact silicon-on-insulator transistor and method
US6433371B1 (en) * 2000-01-29 2002-08-13 Advanced Micro Devices, Inc. Controlled gate length and gate profile semiconductor device
US6635552B1 (en) 2000-06-12 2003-10-21 Micron Technology, Inc. Methods of forming semiconductor constructions
TW509984B (en) * 2000-07-24 2002-11-11 United Microelectronics Corp Manufacture method of metal silicide
TW501227B (en) * 2000-08-11 2002-09-01 Samsung Electronics Co Ltd SOI MOSFET having body contact for preventing floating body effect and method of fabricating the same
DE10041748A1 (de) * 2000-08-27 2002-03-14 Infineon Technologies Ag SOI-Substrat sowie darin ausgebildete Halbleiterschaltung und dazugehörige Herstellungsverfahren
US6583460B1 (en) * 2000-08-29 2003-06-24 Micron Technology, Inc. Method of forming a metal to polysilicon contact in oxygen environment
US6429070B1 (en) * 2000-08-30 2002-08-06 Micron Technology, Inc. DRAM cell constructions, and methods of forming DRAM cells
US6448131B1 (en) * 2001-08-14 2002-09-10 International Business Machines Corporation Method for increasing the capacitance of a trench capacitor
KR20030070652A (ko) * 2002-02-26 2003-09-02 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR100483564B1 (ko) * 2002-05-14 2005-04-15 재단법인서울대학교산학협력재단 전계 효과 트랜지스터 및 그의 제조 방법
US6869853B1 (en) 2002-12-18 2005-03-22 Cypress Semiconductor Corporation Fabrication of a bipolar transistor using a sacrificial emitter
US6803611B2 (en) * 2003-01-03 2004-10-12 Texas Instruments Incorporated Use of indium to define work function of p-type doped polysilicon
US6964897B2 (en) * 2003-06-09 2005-11-15 International Business Machines Corporation SOI trench capacitor cell incorporating a low-leakage floating body array transistor
KR100543004B1 (ko) * 2003-09-18 2006-01-20 삼성에스디아이 주식회사 평판표시장치
KR100574358B1 (ko) * 2003-12-29 2006-04-27 삼성전자주식회사 반도체 장치 및 그 제조방법
US7611943B2 (en) * 2004-10-20 2009-11-03 Texas Instruments Incorporated Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
KR100729055B1 (ko) * 2005-11-29 2007-06-14 삼성에스디아이 주식회사 박막 트랜지스터 및 그 제조 방법
JP4680850B2 (ja) 2005-11-16 2011-05-11 三星モバイルディスプレイ株式會社 薄膜トランジスタ及びその製造方法
KR100722112B1 (ko) * 2005-12-09 2007-05-25 삼성에스디아이 주식회사 박막 트랜지스터 및 그의 제조방법
KR100729054B1 (ko) * 2005-11-16 2007-06-14 삼성에스디아이 주식회사 박막 트랜지스터 및 그 제조 방법
US7929343B2 (en) 2009-04-07 2011-04-19 Micron Technology, Inc. Methods, devices, and systems relating to memory cells having a floating body
US8148780B2 (en) * 2009-03-24 2012-04-03 Micron Technology, Inc. Devices and systems relating to a memory cell having a floating body
US9240354B2 (en) 2012-11-14 2016-01-19 Globalfoundries Inc. Semiconductor device having diffusion barrier to reduce back channel leakage
CN104251751B (zh) * 2014-09-26 2017-01-25 中国科学院半导体研究所 一种多感官集成的电子皮肤及其制造方法
CN107611168B (zh) * 2017-08-24 2020-07-10 长江存储科技有限责任公司 一种消除体效应中窄沟道效应影响的mos器件结构

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4359816A (en) * 1980-07-08 1982-11-23 International Business Machines Corporation Self-aligned metal process for field effect transistor integrated circuits
US4716131A (en) * 1983-11-28 1987-12-29 Nec Corporation Method of manufacturing semiconductor device having polycrystalline silicon layer with metal silicide film
JPS6293977A (ja) * 1985-10-21 1987-04-30 Seiko Epson Corp 固体撮像装置
JPH02302044A (ja) * 1989-05-16 1990-12-14 Fujitsu Ltd 半導体装置の製造方法
KR940010564B1 (ko) * 1991-10-10 1994-10-24 금성일렉트론 주식회사 전계효과 트랜지스터 및 그 제조방법
JPH05343669A (ja) * 1992-06-08 1993-12-24 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR0161380B1 (ko) * 1994-12-28 1998-12-01 김광호 반도체장치의 트랜지스터 및 그 제조방법
US5714393A (en) * 1996-12-09 1998-02-03 Motorola, Inc. Diode-connected semiconductor device and method of manufacture
US6025232A (en) * 1997-11-12 2000-02-15 Micron Technology, Inc. Methods of forming field effect transistors and related field effect transistor constructions

Also Published As

Publication number Publication date
CN1244733A (zh) 2000-02-16
KR100315839B1 (ko) 2001-12-12
CN1252821C (zh) 2006-04-19
KR20000016924A (ko) 2000-03-25
US6136655A (en) 2000-10-24
JP2000058861A (ja) 2000-02-25
SG77263A1 (en) 2000-12-19
US5998847A (en) 1999-12-07
MY116040A (en) 2003-10-31

Similar Documents

Publication Publication Date Title
JP3396186B2 (ja) 活性fetボディ・デバイス及びその製造方法
US10121792B2 (en) Floating body memory cell having gates favoring different conductivity type regions
US6479866B1 (en) SOI device with self-aligned selective damage implant, and method
JP3378414B2 (ja) 半導体装置
US6441435B1 (en) SOI device with wrap-around contact to underside of body, and method of making
US6770535B2 (en) Semiconductor integrated circuit device and process for manufacturing the same
US5698869A (en) Insulated-gate transistor having narrow-bandgap-source
JP3963970B2 (ja) Dramセルおよびその形成方法
US6091076A (en) Quantum WELL MOS transistor and methods for making same
KR100354597B1 (ko) 디보트 없는 격리 소자 형성 방법
US8629017B2 (en) Structure and method to form EDRAM on SOI substrate
US6177299B1 (en) Transistor having substantially isolated body and method of making the same
US20020109163A1 (en) Flash memory with ultra thin vertical body transistors
JP3472655B2 (ja) 半導体装置
US20110193165A1 (en) Floating Body Field-Effect Transistors, And Methods Of Forming Floating Body Field-Effect Transistors
US6608354B2 (en) Semiconductor device and method of manufacturing the same
US20070184611A1 (en) Semiconductor device having two different operation modes employing an asymmetrical buried insulating layer and method for fabricating the same
JPH0846202A (ja) 半導体素子の製造方法
US6396113B1 (en) Active trench isolation structure to prevent punch-through and junction leakage
US20010009805A1 (en) Borderless contact structure and method of forming the same
US4997785A (en) Shared gate CMOS transistor
US8227301B2 (en) Semiconductor device structures with floating body charge storage and methods for forming such semiconductor device structures
US6475863B1 (en) Method for fabricating self-aligned gate of flash memory cell
US5567958A (en) High-performance thin-film transistor and SRAM memory cell
JP2000012851A (ja) 電界効果型トランジスタ及びその製造方法

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees