JP2766774B2 - 大面積ガラス基板の冷却および加熱方法とそのための装置 - Google Patents

大面積ガラス基板の冷却および加熱方法とそのための装置

Info

Publication number
JP2766774B2
JP2766774B2 JP6008681A JP868194A JP2766774B2 JP 2766774 B2 JP2766774 B2 JP 2766774B2 JP 6008681 A JP6008681 A JP 6008681A JP 868194 A JP868194 A JP 868194A JP 2766774 B2 JP2766774 B2 JP 2766774B2
Authority
JP
Japan
Prior art keywords
chamber
substrate
heating
cooling
substrates
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP6008681A
Other languages
English (en)
Other versions
JPH06239635A (ja
Inventor
エル. ターナー ノーマン
マックネイル ホワイト ジョン
バークストレッサー デイヴィッド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=21746908&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2766774(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH06239635A publication Critical patent/JPH06239635A/ja
Application granted granted Critical
Publication of JP2766774B2 publication Critical patent/JP2766774B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/001General methods for coating; Devices therefor
    • C03C17/002General methods for coating; Devices therefor for flat glass, e.g. float glass
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67236Apparatus for manufacturing or treating in a plurality of work-stations the substrates being processed being not semiconductor wafers, e.g. leadframes or chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6734Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders specially adapted for supporting large square shaped substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • Y10S414/138Wafers positioned vertically within cassette

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Metallurgy (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Chemical Vapour Deposition (AREA)
  • Re-Forming, After-Treatment, Cutting And Transporting Of Glass Products (AREA)
  • Surface Treatment Of Glass (AREA)
  • Devices For Indicating Variable Information By Combining Individual Elements (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、大きなガラス基板を加
熱および冷却する方法とこのプロセスを行うために適合
した装置に関する。特に、本発明は膜プロセス中の大き
なガラス基板を加熱および冷却するための方法およびこ
のための装置に関する。
【0002】
【従来の技術】薄膜トランジスタは、これまでに、モニ
ター、フラットパネルディスプレイや太陽電池等に使用
するために大きなガラス基板または板上に作られてき
た。このトランジスタは真空チャンバ内において、非晶
質シリコン、両ドープ性や真性のもの、二酸化珪素、シ
リコンナイトライド等を含む様々な膜を順次堆積するこ
とにより製造される。トランジスタのための薄膜は、例
えば化学的気相成長法(以下、CVD法)により堆積す
ることができる。
【0003】CVD法は、350℃〜400℃程度の温
度に基板を保持することが必要である比較的高温のプロ
セスである。CVD膜プロセスはシリコンウェハ内の集
積回チャネルの製造に広く用いられている。シリコンは
伝導材料であり、ウェハを破壊したり反らせたりせずに
非常に速く加熱および冷却させられることができる。し
かしながら、ガラスは非常に砕けやすい絶縁材料であ
り、非常に高速に冷却または加熱した場合にはこれは反
ったり亀裂が生じたりしがちである。したがって、熱的
ストレスやこれによるダメージを回避するために大面積
のガラス基板の加熱または冷却の速度(割合)の調整に
は多大な注意を要する。
【0004】現在、ガラス基板上に薄膜を堆積するため
に使用される装置は、通常、プロセスのためにいくつか
のガラス基板を単一の大きな金属の搬送板(carri
erplate)上に設置している。真空プロセスチャ
ンバは大きく、搬送板およびガラス基板の熱容量(th
ermal mass)により、プロセス温度の加熱は
長時間を要する(20分間以上)。
【0005】現在半導体産業のための薄膜装置は徐々に
単一の基板プロセスないし枚葉式処理に移行している。
というのは、個々のプロセスチャンバは非常に小さく作
製することができ、プロセスがうまく制御でき、そして
搬送板の必要性は除去されうるからである。さらに、近
年の半導体装置は徐々に真空チャンバからウェハを取り
出すことなくウェハに1以上のプロセス工程を施すこと
ができるシステムに移行しており、この結果、簡単に不
良品としてはねられるデバイスの原因となる微粒子を減
少させる。このようなシステムは、例えばMaydan
らの米国特許4,951,601号で述べられているア
プライド マテリアル 5000シリーズのプロセスチ
ャンバのような、様々なプロセスチャンバに結びつけら
れた中心ロボットチャンバ(central robo
tic chamber)を含んでいる。
【0006】大きなガラス基板は同様の方法で処理(p
rocess)されることが望ましく、単一枚葉式の基
板膜プロセスチャンバを用いて単一の真空システムにお
いてトランジスタ形成するための多層薄膜を堆積する。
この利点は、小型のプロセスチャンバが利用可能であ
り、真空システムは清浄なシステムとなり、微粒子の汚
染を除去または大幅に減少させ、このプロセスがより制
御可能となり、均一となることである。
【0007】
【発明が解決しようとする課題】しかしながら、ガラス
基板の温度の加熱および冷却に要する時間の長さのた
め、例えば、大面積のガラス基板を約400℃まで加熱
したり、プロセス後に再び室温まで冷却するには、基板
の損傷と歪みを回避するために双方に約5分間が必要で
あるため、いくつかのガラス基板はシステムのスループ
ットを改善するために同一の時間で加熱または冷却され
なければならない。
【0008】
【課題を解決するための手段】発明者らは、真空の環境
下で放射の原理を用いて基板を加熱および冷却すること
で、基板の歪みや破壊を回避する均一な手法を用いる斬
新な加熱および/または冷却カセットにおいて、ガラス
基板上の薄膜の堆積のためのガラス基板をプロセス温度
まで加熱することが可能であり、また、プロセスが完了
した後に冷却することができることを見出だした。この
ガラス基板は、本発明に係る一括型(batch−ty
pe)の加熱および冷却カセットで加熱および冷却され
る。第1の発明は、複数の基板を収容可能な基板収容装
置であって、(a)加熱又は冷却される側壁部と、
(b)前記側壁部を加熱又は冷却する手段と、(c)前
記側壁部間に設けられ、前記側壁部に熱的に接続された
複数の熱伝導棚と、(d)前記基板と前記熱伝導棚との
間に間隙を与え、前記基板を支持する不伝導(diel
ectric)の支持部とを備える。第2の発明は、第
1の発明において、前記加熱又は冷却手段が、前記側壁
部内に組込まれている。第3の発明は、第1の発明にお
いて、前記加熱手段が、抵抗加熱コイルを備える。第4
の発明は、第1又は第2の発明において、前記冷却手段
が、冷却媒体が流れる冷却チャネルである。第5の発明
は、第1の発明に記載の基板収容装置を有する基板処理
装置であって、前記基板収容装置内の前記基板に接近可
能なロボットと、接近する前記ロボットに与えられる前
記熱伝導棚が異なるように、前記基板収容装置を上下移
動させる昇降装置とを備える。第6の発明は、第1の発
明に記載の基板収容装置を有する基板処理装置であっ
て、前記基板を冷却するための別の基板収容装置を備え
る。第7の発明は、ロードロックチャンバ内に配置さ
れ、複数の基板を収容可能なカセットであって、(a)
冷却される側壁部と、(b)前記側壁部を冷却する手段
と、(c)前記側壁部間に設けられ、前記側壁部に熱的
に接続され、その間に前記基板が配置される複数の熱伝
導棚とを備える。第8の発明は、第7の発明において、
前記冷却手段が、冷却媒体が循環するチャネル手段であ
る。第9の発明は、複数のガラス基板を収容可能なカセ
ットが内部に配置されるチャンバであって、前記カセッ
トは、(a)加熱される側壁部と、(b)前記側壁部を
加熱する手段と、(c)前記側壁部間に設けられ、前記
側壁部に熱的に接続された複数の熱伝導棚と、(d)前
記ガラス基板と前記熱伝導棚との間に間隙を与え、前記
ガラス基板を支持する支持部とを備える。第10の発明
は、第9の発明において、前記加熱手段が、抵抗加熱コ
イルを備える。第11の発明は、第9の発明において、
前記チャンバが、前記熱伝導棚の1つの幅で連続的に前
記カセットを上下移動させる昇降装置に取付けられてい
る。第12の発明は、第9の発明において、前記チャン
バが、前記チャンバを排気する排気手段に接続されてい
る。第13の発明は、ガラス基板を加熱又は冷却する方
法であって、(a)前記ガラス基板を熱伝導棚間に配置
し、不伝導(dielectric)の材料からなる支
持部で前記ガラス基板を支持する工程と、(b)前記熱
伝導棚を間接的に加熱又は冷却し、前記ガラス基板を加
熱又は冷却する工程とを備える。第14の発明は、ガラ
ス基板上に薄膜を堆積する薄膜堆積方法であって、
(a)真空チャンバ内に配置された熱伝導棚間に前記ガ
ラス基板を配置し、支持部で前記ガラス基板を支持し、
前記熱伝導棚を加熱することによって前記ガラス基板を
加熱する工程と、(b)前記ガラス基板を1つずつ膜プ
ロセスチャンバに移動させる工程と、(c)前記膜プロ
セスチャンバ内で前記ガラス基板上に薄膜を堆積する工
程と、(d)前記ガラス基板を冷却チャンバに移動させ
る工程と、(e)前記基板を前記冷却チャンバ内で冷却
する工程とを備える。第15の発明は、第14の発明に
おいて、前記工程(e)の後、前記冷却チャンバ内の圧
力を大気圧にする工程を備える。第16の発明は、第1
4の発明において、前記工程(c)は、化学的気相成長
法によって行われる。第17の発明は、ガラス基板上の
膜処理を行う方法であって、(a)ロードロックチャン
バ内に複数のガラス基板を装填し、前記チャンバを排気
する工程と、(b)複数の基板を上昇した温度まで加熱
するチャンバに、接続用真空搬送チャンバを介して、1
以上のガラス基板を搬送する工程と、(c)前記工程
(b)の加熱された基板の1つを他の基板が加熱されて
いる間に前記搬送チャンバを介して単一基板プロセスチ
ャンバに搬送する工程と、(d)工程(c)の処理され
た基板を冷却チャンバに搬送して冷却する工程とを備え
る。第18の発明は、ガラス基板上の膜処理を行う方法
であって、(a)ロードロック/冷却チャンバ内に複数
のガラス基板を装填し、前記チャンバを排気する工程
と、(b)搬送された基板のそれぞれを個別に支持する
ための複数の棚を有し上昇した温度まで複数基板を加熱
するチャンバに、接続用真空搬送チャンバを介して、前
記ガラス基板を搬送する工程と、(c)前記工程(b)
の加熱された基板の1つを前記搬送チャンバを介して単
一プロセスチャンバに搬送する工程と、(d)工程
(c)の処理された基板を工程(a)のロードロック/
冷却チャンバに搬送して冷却する工程とを備える。第1
9の発明は、第18の発明において、前記プロセスチャ
ンバは単一基板処理チャンバである。第20の発明は、
排気可能な第1チャンバと、前記第1チャンバに接続さ
れ複数の基板を収容して加熱するための複数の棚を有す
る排気可能な加熱チャンバと、前記第1チャンバに接続
された排気可能なプロセスチャンバと、を有するマルチ
チャンバ真空システムを用いた基板処理方法であって、
(a)基板を前記第1チャンバから前記加熱チャンバ内
へ移動させ、前記加熱チャンバ内の隣接する棚間に前記
基板を配置する工程と、(b)前記加熱チャンバ内で前
記基板を加熱する工程と、(c)前記基板を前記加熱チ
ャンバから前記プロセスチャンバ内へ移動させる工程
と、(d)前記基板を前記プロセスチャンバ内で処理す
る工程と、(e)前記プロセスチャンバから前記基板を
取り出す工程とを備え、前記工程(a)、(b)及び
(c)において、前記加熱チャンバ内には前記基板とは
異なる1以上の基板が含まれており、他の基板は工程
(c)、(d)及び(e)の間に加熱されている。第2
1の発明は、排気可能な第1チャンバと、前記第1チャ
ンバに接続され複数の基板を収容して加熱する排気可能
な加熱チャンバと、前記第1チャンバに接続された排気
可能なプロセスチャンバと、を有するマルチチャンバ真
空システムを用いた基板処理方法であって、(a)複数
の基板を前記第1チャンバから前記加熱チャンバ内へ移
動させる工程と、(b)前記加熱チャンバで前記基板を
加熱する工程と、(c) 前記加熱チャンバ内に残って
いる基板を加熱しながら1つの基板を前記加熱チャンバ
から取り除いてそれを前記プロセスチャンバ内へ移動さ
せる工程と、(d)前記取り除かれた基板を前記プロセ
スチャンバ内で処理する工程と、(e)前記プロセスチ
ャンバから前記基板を取り出す工程とを備える。第22
の発明は、真空処理システムであって、(a)排気可能
な搬送チャンバと、(b)前記搬送チャンバに直接に接
続され、複数の基板を収容して上昇した温度まで加熱す
る加熱チャンバと、(c)前記搬送チャンバに直接に接
続された少なくとも1つの排気可能なプロセスチャンバ
と、(d)前記真空処理システム内外に前記基板を搬送
するため、前記搬送チャンバに直接に接続された少なく
とも1つのロードロックチャンバと、(e)前記搬送チ
ャンバ内に配置され、前記ロードロックチャンバ、前記
加熱チャンバ及び前記プロセスチャンバに前記基板を移
動させるロボットとを備える。第23の発明は、第22
の発明において、前記加熱チャンバが、複数の基板を収
容して上昇した温度まで加熱するための複数の棚を含
む。
【0009】本発明に係る加熱および冷却は、1群の複
合(multiple)ガラス基板が熱伝導体の棚に綴
じ込まれる(interleaved)特別に設計され
たカセットにおいて行われる。この棚は、基板の両側部
上の放射および気体の伝導によってガラス基板に熱を
(加熱部/時)に伝導して(冷却部/時)から伝導し、
それゆえ、基板の亀裂や歪みを回避する均一な加熱およ
び冷却を提供する。加熱および冷却の速度(割合)は、
棚の温度、棚の材料の放射率および真空度によって左右
される。ガラス基板は、ガラス基板とガラスの両面上の
棚との間隙を与える棚上の設置台(mounts)に支
持される。カセットは、ガラス基板の真空での取扱いお
よび処理を行うスシステムの一部分である加熱/冷却真
空チャンバ内に配置されている。
【0010】上記発明に利用する高温での薄膜の適用に
適当なシステムは、NormanTurnerらの関連
出願、米国特許出願第08/010684号、「スルー
プットを改善した真空プロセス装置(VACUUM P
ROCESSING APPARATUS HAVIN
G IMPROVED THROUGHPUT)」にそ
の詳細が記載されている。
【0011】
【実施例】本発明に係る加熱/冷却カセットは、それぞ
れが断面図および斜視図である図1および図2を参照し
てさらに説明される。
【0012】加熱/冷却カセット10は、側壁部12お
よび14と底壁部16とを備えている。蓋部18は、カ
セット10の頂部に固定さている。包囲チャンバ9に設
けられている付加的な側壁部13および15(図2に示
されている)は、側壁部12および14に対して垂直に
配置されている。側壁部13(システム40に隣接して
いる)は、ガラス板をこれを通してカセット10の内お
よび外へ搬送できるスリットバルブ11を装備している
(is fittted)。
【0013】側壁部12および14は、電気抵抗加熱コ
イル20および冷却ガスまたは液体が循環できる冷却チ
ャネル22を装備している。例えば、ヘリウムのような
冷却ガスや水のような液体は、適当なポンプ(図示せ
ず)によってチャネル(channel)22内を可制
御に循環させられる。
【0014】底壁部16は、冷却媒体の循環のためのイ
ンレットおよびアウトレットパイプ24および26、電
源(図示せず)に接続された加熱コイル20のための電
線を包含するためチャネル27をそれぞれ装備してい
る。同じチャネル24、26は、加熱コイル20を囲む
ために、そしてチャネル22内を冷却ガスや液体を循環
させたりするために用いることも可能である。
【0015】側壁部12および14の内側は、複数の熱
伝導棚28を装備している。棚28は、棚28の温度の
高速かつ均一な制御を確実にするために壁12および1
4に良好に接触していなくてはならず、壁12および1
4が加熱されるか冷却されるかに依存している。棚28
は、アルミニウム、銅、ステンレス鋼被覆銅などを含ん
だ金属などの良熱伝導体で作られる。
【0016】棚28上に位置しているまたはそこへ固定
されている複数の支持部30は、高温ガラスまたは石英
などの(熱)伝導しない材料で適切に作られる。支持部
30は、棚28と基板32との間に間隙を有するように
プロセスされるガラス基板32を支持するように機能す
る。この間隙は、確実に、棚のガラスへの直接接触がガ
ラスを歪ませたり亀裂を生じさせたりすることを回避す
る。このガラスは、基板32と棚28との直接接触によ
ってではなく、むしろ放射およびガスの伝導により間接
的に加熱および冷却される。さらに、ガラス基板32と
棚28との間に間隙を導入することにより、ガラス基板
32の両側面からの加熱および冷却を提供して、より高
速かつ均一な基板の加熱および冷却をすることができ
る。
【0017】伝導棚28の温度は、側壁部12および1
4にある加熱コイルまたはチャネル20,22内の冷却
媒体により調節され、壁には伝導棚28が接触または取
り付けられている。伝導棚28は、加熱および冷却の配
置で壁12および14に接触していなければならない。
ガラス基板の加熱および冷却の速度(rate)は棚材
料の放射率、ガラス自体の放射率およびチャンバの真空
度によって決定され、十分に低速にできるので、ガラス
の亀裂を回避することができる。Stephan−Bo
ltzmann方程式で記述された熱輸送は、以下の方
程式(1)で与えられる。
【0018】 (1) Er={(σε1 ε2 )/(ε1 +ε2 −ε1 ε2 )}・(T1 4 −T2 4 ) ここで、 Erは、Watt/cm2 でのエネルギー輸送量、 T1 は、K°での棚の温度、 T2 は、K°でのガラスの温度、 ε1 は、棚の放射率、 ε2 は、ガラスの放射率、 σは、Stephan−Boltzmann定数、 であり、ガスの伝導による熱輸送は、ガス圧に比例して
以下の方程式(2)で与えられる。 (2) Ec=[{Λ(T1 −T2 )β}/(d+2βc)]・P ここで、 Ecは、Watt/cm2 での熱エネルギー、 Λは、K°での平均伝導度、 dは、cmでの板間の間隔、 βは、ガス容量定数、 cは、microns(μm)でのガス平均自由工程、 T1 およびT2 は、上記方程式(1)で与えられる意味
を有する。一括処理される基板の数は、経済的プロセス
を提供するように調整されなければならない。
【0019】一括型の工程においてガラス基板32を加
熱および冷却することによって、個々の基板の加熱およ
び冷却のために更なる時間が得られるので、ガラスの歪
みや亀裂を防止することができる。
【0020】この加熱/冷却チャンバが用いられるシス
テム40の働きを図3に示す。中心ロボットチャンバ5
0は、ガラス基板32を加熱/冷却カセット10からチ
ャンバ50に隣接した側壁部13における適当な開口ま
たはスリットバルブ11を通して搬送するロボット(図
示せず)を含んでいる。ガラス基板がCVDプロセス温
度に達した時には、このロボットは、薄膜をそのウェハ
に堆積するために単一の基板32をプロセスチャンバ5
2,54,56または58中の一つに搬送する。ロボッ
トは、ガラス基板32をプロセスチャンバ52,54,
56および58から他へ矢印51によって示される所定
の順序で搬送する。プロセスが完了したのち、ロボット
は周囲の温度に冷却するために基板32をカセット10
に搬送しなおす。従って、1群のガラス基板32は本発
明のカセットにおいてプロセス温度まで加熱され、1度
に1つずつ種々の薄膜がCVDプロセスチャンバ内でこ
れらの上に堆積され、そして1群の基板は周囲の温度に
再び冷却される。包囲チャンバ9の側壁部15における
スリットバルブ59は、システム40内へのガラス基板
32の装填および脱着を許容する。
【0021】上記ではプロセスチャンバはCVDとした
が、真空システム40に、例えば物理的気相堆積チャン
バ、エッチチャンバ、前清浄チャンバなど他のプロセス
チャンバが付加されても、また、代わりに使用されるこ
とも可能である。
【0022】そして、本発明の好適実施例によれば、シ
ステム40に分離または複合した加熱および冷却チャン
バ42および44が提供されることとしてもよい。図4
は加熱チャンバ42および加熱カセット43の断面図で
あり、図5は加熱チャンバ42の斜視図である。加熱チ
ャンバ42は、側壁部12および14において抵抗加熱
コイルのみを含んだ加熱カセット43を含んでおり、側
壁部13における単一スリットバルブ11はロボットチ
ャンバ50に接続されている。
【0023】図6および図7は、それぞれ冷却/ロード
ロックチャンバ14および冷却カセット45の断面図お
よび斜視図である。冷却カセット45は、側壁部12お
よび14において循環する冷却媒体のためのチャネルの
みを含んでいる。しかしながら、冷却カセット45はロ
ードロックチャンバーとしても機能し、従って側壁部1
3および15のそれぞれは、その中にスリットバルブ1
1,59を有している。1群の基板は側壁部15内のス
リットバルブ59(図7)を通して冷却チャンバ44内
に搬送される。すべての棚が満たされてた場合、スリッ
トバルブ59が閉められ、チャンバ44が従来の真空ポ
ンプ(図示せず)によって真空にさせられる。所望の圧
力に到達したときには、ロボットチャンバ50に隣接し
た側壁部13内のスリットバルブ11は基板32を1度
に1つずつ加熱チャンバ42に搬送するロボットを受け
入れられるように開く。真空システム40の最大効率の
ために、2つの冷却/ロードロックチャンバー44が提
供されているので、1群のガラス基板32が処理されて
いる時に2番目の群のガラス基板32がシステム40内
に大気圧において装填されチャンバ44Aにおいて真空
にされる。
【0024】図8は、大面積ガラス基板プロセスのため
の真空システムに係る好適実施例の平面図であり、同一
部分の部分番号は図1〜7で記載したものと同一であ
る。
【0025】図2、5および7を再度参照すると、本発
明の加熱および冷却カセットは、昇降装置(eleva
tor)60上に設置されている。この昇降装置はカセ
ット43および45を上げたり下げたりするので、ガラ
ス基板32のそれぞれの搬送後に異なる伝導棚28がロ
ボットに与えらる。これらの昇降装置の機構は従前のも
のであり、ここでその詳細について説明する必要はな
い。昇降装置の機構自体はシステム40の外にすること
ができ、システム40の低壁を通し、シールを介して接
続されている。したがって、カセット43,45は矢印
62の方向に移動し、搬送中においてガラス基板32は
矢印64の方向へ移動する。
【0026】ガラス基板は第1に真空にされるロードロ
ック/冷却カセットに装填される。一度に加熱または冷
却されるガラス基板の数に制限はないが、この数は加熱
/冷却カセットの便利な大きさやガラス基板の加熱、搬
送およびプロセスに要する相対的時間量に依存して選択
される。そして、ガラス基板は1つずつプロセス温度
(例えば、約350〜400℃)に放射加熱される本発
明の加熱カセットに搬送される。ロードロックチャンバ
ーが空になった後、再度装填されて真空引きされる場合
には、バルブが閉められて大気に通気させられる。
【0027】そして、ガラス基板は1度に1つずつ、1
またはこれ以上の薄膜をその上に堆積するための1また
はこれ以上の膜プロセスチャンバに搬送される。全ての
堆積が完了した後、ガラス基板は冷却カセットに搬送し
戻され、新しいガラス基板が加熱カセット内に再設置さ
れる。最後のガラス基板が冷却チャンバカセットにおい
て交換された後、真空側のスリットバルブは閉まってロ
ードロック/冷却チャンバは大気に通気させられる。こ
の間にガラス基板は室温まで冷却させられる。
【0028】改良されて好適なプロセスにおいては、1
群の大面積ガラス基板は、冷却/ロードロックチャンバ
におけるカセット内に搬送され、ここで板は真空にさ
れ、CVDまたは他のプロセス温度にされる加熱チャン
バおよび加熱カセット搬送され、1つずつ1またはこれ
以上の基板プロセスチャンバに搬送され、ロードロック
チャンバ内の冷却カセットに搬送しなおされ、ここで周
囲の温度に冷却されて大気圧に通気させられる。この
後、基板は真空システムの外へ搬送される。
【0029】このように、本発明の真空加熱および/ま
たは冷却カセットはガラス基板を両側からゆっくりと放
射により加熱および冷却することができ、板への損傷を
回避することができる。すなわち、複数の基板を一度に
加熱および冷却させることができ、1度に1つずつの膜
プロセスの利点を維持しつつプロセスの経済性を大幅に
改善する。
【0030】本発明は特定実施例で記述されたが、本発
明は上記に限られるものでなく、種々の変形が考えら
る。例えば、ここで述べたようなカセット、棚、ガラス
基板支持部などには他の種々の材料が代替可能であり、
カセット棚は上記のように間接的でなくても棚自体内の
適当なチャネル手段によって直接的に加熱および冷却す
ることができる。本発明のカセットは、1またはこれ以
上の一括型CVDまたは他のプロセスチャンバに接続す
ることができ、また、1つ以上一括型の加熱および/ま
たは冷却チャンバは1またはこれ以上のプロセスチャン
バに接続することができる。また、本発明の加熱および
/または冷却チャンバは、お互いにまたは他のプロセス
チャンバ(例えば、前清浄、エッチまたは物理的気相堆
積チャンバ)に接続することもできる。以上、説明した
ように、第1の発明は、複数の基板を収容可能な基板収
容装置であって、(a)加熱又は冷却される側壁部と、
(b)前記側壁部を加熱又は冷却する手段と、(c)前
記側壁部間に設けられ、前記側壁部に熱的に接続された
複数の熱伝導棚と、(d)前記基板と前記熱伝導棚との
間に間隙を与え、前記基板を支持する不伝導(diel
ectric)の支持部とを備える。第2の発明は、第
1の発明において、前記加熱又は冷却手段が、前記側壁
部内に組込まれている。第3の発明は、第1の発明にお
いて、前記加熱手段が、抵抗加熱コイルを備える。第4
の発明は、第1又は第2の発明において、前記冷却手段
が、冷却媒体が流れる冷却チャネルである。第5の発明
は、第1の発明に記載の基板収容装置を有する基板処理
装置であって、前記基板収容装置内の前記基板に接近可
能なロボットと、接近する前記ロボットに与えられる前
記熱伝導棚が異なるように、前記基板収容装置を上下移
動させる昇降装置とを備える。第6の発明は、第1の発
明に記載の基板収容装置を有する基板処理装置であっ
て、前記基板を冷却するための別の基板収容装置を備え
る。第7の発明は、ロードロックチャンバ内に配置さ
れ、複数の基板を収容可能なカセットであって、(a)
冷却される側壁部と、(b)前記側壁部を冷却する手段
と、(c)前記側壁部間に設けられ、前記側壁部に熱的
に接続され、その間に前記基板が配置される複数の熱伝
導棚とを備える。第8の発明は、第7の発明において、
前記冷却手段が、冷却媒体が循環するチャネル手段であ
る。第9の発明は、複数のガラス基板を収容可能なカセ
ットが内部に配置されるチャンバであって、前記カセッ
トは、(a)加熱される側壁部と、(b)前記側壁部を
加熱する手段と、(c)前記側壁部間に設けられ、前記
側壁部に熱的に接続された複数の熱伝導棚と、(d)前
記ガラス基板と前記熱伝導棚との間に間隙を与え、前記
ガラス基板を支持する支持部とを備える。第10の発明
は、第9の発明において、前記加熱手段が、抵抗加熱コ
イルを備える。第11の発明は、第9の発明において、
前記チャンバが、前記熱伝導棚の1つの幅で連続的に前
記カセットを上下移動させる昇降装置に取付けられてい
る。第12の発明は、第9の発明において、前記チャン
バが、前記チャンバを排気する排気手段に接続されてい
る。第13の発明は、ガラス基板を加熱又は冷却する方
法であって、(a)前記ガラス基板を熱伝導棚間に配置
し、不伝導(dielectric)の材料からなる支
持部で前記ガラス基板を支持する工程と、(b)前記熱
伝導棚を間接的に加熱又は冷却し、前記ガラス基板を加
熱又は冷却する工程とを備える。第14の発明は、ガラ
ス基板上に薄膜を堆積する薄膜堆積方法であって、
(a)真空チャンバ内に配置された熱伝導棚間に前記ガ
ラス基板を配置し、支持部で前記ガラス基板を支持し、
前記熱伝導棚を加熱することによって前記ガラス基板を
加熱する工程と、(b)前記ガラス基板を1つずつ膜プ
ロセスチャンバに移動させる工程と、(c)前記膜プロ
セスチャンバ内で前記ガラス基板上に薄膜を堆積する工
程と、(d)前記ガラス基板を冷却チャンバに移動させ
る工程と、(e)前記基板を前記冷却チャンバ内で冷却
する工程とを備える。第15の発明は、第14の発明に
おいて、前記工程(e)の後、前記冷却チャンバ内の圧
力を大気圧にする工程を備える。第16の発明は、第1
4の発明において、前記工程(c)は、化学的気相成長
法によって行われる。第17の発明は、ガラス基板上の
膜処理を行う方法であって、(a)ロードロックチャン
バ内に複数のガラス基板を装填し、前記チャンバを排気
する工程と、(b)複数の基板を上昇した温度まで加熱
するチャンバに、接続用真空搬送チャンバを介して、1
以上のガラス基板を搬送する工程と、(c)前記工程
(b)の加熱された基板の1つを他の基板が加熱されて
いる間に前記搬送チャンバを介して単一基板プロセスチ
ャンバに搬送する工程と、(d)工程(c)の処理され
た基板を冷却チャンバに搬送して冷却する工程とを備え
る。第18の発明は、ガラス基板上の膜処理を行う方法
であって、(a)ロードロック/冷却チャンバ内に複数
のガラス基板を装填し、前記チャンバを排気する工程
と、(b)搬送された基板のそれぞれを個別に支持する
ための複数の棚を有し上昇した温度まで複数基板を加熱
するチャンバに、接続用真空搬送チャンバを介して、前
記ガラス基板を搬送する工程と、(c)前記工程(b)
の加熱された基板の1つを前記搬送チャンバを介して単
一プロセスチャンバに搬送する工程と、(d)工程
(c)の処理された基板を工程(a)のロードロック/
冷却チャンバに搬送して冷却する工程とを備える。第1
9の発明は、第18の発明において、前記プロセスチャ
ンバは単一基板処理チャンバである。第20の発明は、
排気可能な第1チャンバと、前記第1チャンバに接続さ
れ複数の基板を収容して加熱するための複数の棚を有す
る排気可能な加熱チャンバと、前記第1チャンバに接続
された排気可能なプロセスチャンバと、を有するマルチ
チャンバ真空システムを用いた基板処理方法であって、
(a)基板を前記第1チャンバから前記加熱チャンバ内
へ移動させ、前記加熱チャンバ内の隣接する棚間に前記
基板を配置する工程と、(b)前記加熱チャンバ内で前
記基板を加熱する工程と、(c)前記基板を前記加熱チ
ャンバから前記プロセスチャンバ内へ移動させる工程
と、(d)前記基板を前記プロセスチャンバ内で処理す
る工程と、(e)前記プロセスチャンバから前記基板を
取り出す工程とを備え、前記工程(a)、(b)及び
(c)において、前記加熱チャンバ内には前記基板とは
異なる1以上の基板が含まれており、他の基板は工程
(c)、(d)及び(e)の間に加熱されている。第2
1の発明は、排気可能な第1チャンバと、前記第1チャ
ンバに接続され複数の基板を収容して加熱する排気可能
な加熱チャンバと、前記第1チャンバに接続された排気
可能なプロセスチャンバと、を有するマルチチャンバ真
空システムを用いた基板処理方法であって、(a)複数
の基板を前記第1チャンバから前記加熱チャンバ内へ移
動させる工程と、(b)前記加熱チャンバで前記基板を
加熱する工程と、(c) 前記加熱チャンバ内に残って
いる基板を加熱しながら1つの基板を前記加熱チャンバ
から取り除いてそれを前記プロセスチャンバ内へ移動さ
せる工程と、(d)前記取り除かれた基板を前記プロセ
スチャンバ内で処理する工程と、(e)前記プロセスチ
ャンバから前記基板を取り出す工程とを備える。第22
の発明は、真空処理システムであって、(a)排気可能
な搬送チャンバと、(b)前記搬送チャンバに直接に接
続され、複数の基板を収容して上昇した温度まで加熱す
る加熱チャンバと、(c)前記搬送チャンバに直接に接
続された少なくとも1つの排気可能なプロセスチャンバ
と、(d)前記真空処理システム内外に前記基板を搬送
するため、前記搬送チャンバに直接に接続された少なく
とも1つのロードロックチャンバと、(e)前記搬送チ
ャンバ内に配置され、前記ロードロックチャンバ、前記
加熱チャンバ及び前記プロセスチャンバに前記基板を移
動させるロボットとを備える。第23の発明は、第22
の発明において、前記加熱チャンバが、複数の基板を収
容して上昇した温度まで加熱するための複数の棚を含
む。
【0031】
【発明の効果】本発明の真空加熱および/または冷却カ
セットはガラス基板を両側からゆっくりと放射により加
熱および冷却することができ、板への損傷を回避するこ
とができる。すなわち、複数の基板を一度に加熱および
冷却させることができ、1度に1つずつの膜プロセスの
利点を維持しつつプロセスの経済性を大幅に改善する。
【図面の簡単な説明】
【図1】大きなガラス基板の加熱および冷却に適したカ
セットの断面図である。
【図2】本加熱/冷却カセットの斜視図である。
【図3】本加熱/冷却カセットが一部分をなすガラス基
板プロセスシステムの平面図である。
【図4】本発明の加熱カセットの断面図である。
【図5】図3の加熱カセットの斜視図である。
【図6】本発明のロードロック/冷却カセットの断面図
である。
【図7】図4のロードロック/冷却カセットの斜視図で
ある。
【図8】本発明の分割加熱および冷却カセットのを含む
ガラス基板プロセスシステムの変形例の平面図である。
【符号の説明】
10…カセット、12,14…側壁部、16…底壁部、
18…蓋部、20…抵抗加熱コイル、22…冷却チャネ
ル、24…インレットパイプ、26…アウトレットパイ
プ、27…チャネル、28…棚、30…支持部、32…
ガラス基板。
フロントページの続き (72)発明者 ジョン マックネイル ホワイト アメリカ合衆国, カリフォルニア州 94541, ヘイワード, コロニー ヴ ュー プレイス 2811 (72)発明者 デイヴィッド バークストレッサー アメリカ合衆国, カリフォルニア州 95030, ロス ガトス, ベアー ク リーク ロード 19311 (56)参考文献 特開 平5−306133(JP,A) 特公 平2−22318(JP,B2) 特公 昭61−32264(JP,B2) 米国特許4979464(US,A) (58)調査した分野(Int.Cl.6,DB名) C03B 32/00 C03B 25/02 C03C 17/245 C23C 16/46

Claims (23)

    (57)【特許請求の範囲】
  1. 【請求項1】 複数の基板を収容可能な基板収容装置で
    あって、 (a)加熱又は冷却される側壁部と、 (b)前記側壁部を加熱又は冷却する手段と、 (c)前記側壁部間に設けられ、前記側壁部に熱的に接
    続された複数の熱伝導棚と、 (d)前記基板と前記熱伝導棚との間に間隙を与え、前
    記基板を支持する不伝導の支持部と、 を備える基板収容装置。
  2. 【請求項2】 前記加熱又は冷却手段は、前記側壁部内
    に組込まれている請求項1に記載の基板収容装置。
  3. 【請求項3】 前記加熱手段は、抵抗加熱コイルを備え
    る請求項1に記載の基板収容装置。
  4. 【請求項4】 前記冷却手段は、冷却媒体が流れる冷却
    チャネルである請求項1又は2に記載の基板収容装置。
  5. 【請求項5】 請求項1に記載の基板収容装置を有する
    基板処理装置であって、 前記基板収容装置内の前記基板に接近可能なロボット
    と、 接近する前記ロボットに与えられる前記熱伝導棚が異な
    るように、前記基板収容装置を上下移動させる昇降装置
    と、 を備える基板処理装置。
  6. 【請求項6】 請求項1に記載の基板収容装置を有する
    基板処理装置であって、 前記基板を冷却するための別の基板収容装置を備える基
    板処理装置。
  7. 【請求項7】 ロードロックチャンバ内に配置され、複
    数の基板を収容可能なカセットであって、 (a)冷却される側壁部と、 (b)前記側壁部を冷却する手段と、 (c)前記側壁部間に設けられ、前記側壁部に熱的に接
    続され、その間に前記基板が配置される複数の熱伝導棚
    と、 を備えるカセット。
  8. 【請求項8】 前記冷却手段は、冷却媒体が循環するチ
    ャネル手段である請求項7に記載のカセット。
  9. 【請求項9】 複数のガラス基板を収容可能なカセット
    が内部に配置されるチャンバであって、前記カセット
    は、 (a)加熱される側壁部と、 (b)前記側壁部を加熱する手段と、 (c)前記側壁部間に設けられ、前記側壁部に熱的に接
    続された複数の熱伝導棚と、 (d)前記ガラス基板と前記熱伝導棚との間に間隙を与
    え、前記ガラ又基板を支持する支持部と、 を備えるチャンバ。
  10. 【請求項10】 前記加熱手段は、抵抗加熱コイルを備
    える請求項9に記載のチャンバ。
  11. 【請求項11】 前記チャンバは、前記熱伝導棚の1つ
    の幅で連続的に前記カセットを上下移動させる昇降装置
    に取付けられている請求項9に記載のチャンバ。
  12. 【請求項12】 前記チャンバは、前記チャンバを排気
    する排気手段に接続されている請求項9に記載のチャン
    バ。
  13. 【請求項13】 ガラス基板を加熱又は冷却する方法で
    あって、 (a)前記ガラス基板を熱伝導棚間に配置し、支持部で
    前記ガラス基板を支持する工程と、 (b)前記熱伝導棚を間接的に加熱又は冷却し、前記ガ
    ラス基板を加熱又は冷却する工程と、 を備えるガラス基板の加熱又は冷却方法。
  14. 【請求項14】 ガラス基板上に薄膜を堆積する薄膜堆
    積方法であって、 (a)真空チャンバ内に配置された熱伝導棚間に前記ガ
    ラス基板を配置し、支持部で前記ガラス基板を支持し、
    前記熱伝導棚を加熱することによって前記ガラス基板を
    加熱する工程と、 (b)前記ガラス基板を1つずつ膜プロセスチャンバに
    移動させる工程と、 (c)前記膜プロセスチャンバ内で前記ガラス基板上に
    薄膜を堆積する工程と、 (d)前記ガラス基板を冷却チャンバに移動させる工程
    と、 (e)前記基板を前記冷却チャンバ内で冷却する工程
    と、を備える薄膜堆積方法。
  15. 【請求項15】 前記工程(e)の後、前記冷却チャン
    バ内の圧力を大気圧にする工程を備える請求項14に記
    載の薄膜堆積方法。
  16. 【請求項16】 前記工程(c)は、化学的気相成長法
    によって行われる請求項14に記載の薄膜堆積方法。
  17. 【請求項17】 ガラス基板上の膜処理を行う方法であ
    って、 (a)ロードロックチャンバ内に複数のガラス基板を装
    填し、前記チャンバを排気する工程と、 (b)複数の基板を上昇した温度まで加熱するチャンバ
    に、接続用真空搬送チャンバを介して、1以上のガラス
    基板を搬送する工程と、 (c)前記工程(b)の加熱された基板の1つを他の基
    板が加熱されている間に前記搬送チャンバを介して単一
    基板プロセスチャンバに搬送する工程と、 (d)工程(c)の処理された基板を冷却チャンバに搬
    送して冷却する工程と、を備える方法。
  18. 【請求項18】 ガラス基板上の膜処理を行う方法であ
    って、 (a)ロードロック/冷却チャンバ内に複数のガラス基
    板を装填し、前記チャンバを排気する工程と、 (b)搬送された基板のそれぞれを個別に支持するため
    の複数の棚を有し上昇した温度まで複数基板を加熱する
    チャンバに、接続用真空搬送チャンバを介して、前記ガ
    ラス基板を搬送する工程と、 (c)前記工程(b)の加熱された基板の1つを前記搬
    送チャンバを介して単一プロセスチャンバに搬送する工
    程と、 (d)工程(c)の処理された基板を工程(a)のロー
    ドロック/冷却チャンバに搬送して冷却する工程と、 を備える方法。
  19. 【請求項19】 前記プロセスチャンバは単一基板処理
    チャンバである請求項18に記載の方法。
  20. 【請求項20】 排気可能な第1チャンバと、前記第1
    チャンバに接続され複数の基板を収容して加熱するため
    の複数の棚を有する排気可能な加熱チャンバと、前記第
    1チャンバに接続された排気可能なプロセスチャンバ
    と、を有するマルチチャンバ真空システムを用いた基板
    処理方法であって、 (a)基板を前記第1チャンバから前記加熱チャンバ内
    へ移動させ、前記加熱チャンバ内の隣接する棚間に前記
    基板を配置する工程と、 (b)前記加熱チャンバ内で前記基板を加熱する工程
    と、 (c)前記基板を前記加熱チャンバから前記プロセスチ
    ャンバ内へ移動させる工程と、 (d)前記基板を前記プロセスチャンバ内で処理する工
    程と、 (e)前記プロセスチャンバから前記基板を取り出す工
    程と、を備え、 前記工程(a)、(b)及び(c)において、前記加熱
    チャンバ内には前記基板とは異なる1以上の基板が含ま
    れており、他の基板は工程(c)、(d)及び(e)の
    間に加熱されている基板処理方法。
  21. 【請求項21】 排気可能な第1チャンバと、前記第1
    チャンバに接続され複数の基板を収容して加熱する排気
    可能な加熱チャンバと、前記第1チャンバに接続された
    排気可能なプロセスチャンバと、を有するマルチチャン
    バ真空システムを用いた基板処理方法であって、 (a)複数の基板を前記第1チャンバから前記加熱チャ
    ンバ内へ移動させる工程と、 (b)前記加熱チャンバで前記基板を加熱する工程と、 (c)前記加熱チャンバ内に残っている基板を加熱しな
    がら1つの基板を前記加チャンバから取り除いてそれを
    前記プロセスチャンバ内へ移動させる工程と、 (d)前記取り除かれた基板を前記プロセスチャンバ内
    で処理する工程と、 (e)前記プロセスチャンバから前記基板を取り出す工
    程と、 を備える基板処理方法。
  22. 【請求項22】 真空処理システムであって、 (a)排気可能な搬送チャンバと、 (b)前記搬送チャンバに直接に接続され、複数の基板
    を収容して上昇した温度まで加熱する加熱チャンバと、 (c)前記搬送チャンバに直接に接続された少なくとも
    1つの排気可能なプロセスチャンバと、 (d)前記真空処理システム内外に前記基板を搬送する
    ため、前記搬送チャンバに直接に接続された少なくとも
    1つのロードロックチャンバと、 (e)前記搬送チャンバ内に配置され、前記ロードロッ
    クチャンバ、前記加熱チャンバ及び前記プロセスチャン
    バに前記基板を移動させるロボットと、 を備える真空処理システム。
  23. 【請求項23】 前記加熱チャンバは、複数の基板を収
    容して上昇した温度まで加熱するための複数の棚を含む
    請求項22に記載の真空処理システム。
JP6008681A 1993-01-28 1994-01-28 大面積ガラス基板の冷却および加熱方法とそのための装置 Expired - Lifetime JP2766774B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/010683 1993-01-28
US08/010,683 US5607009A (en) 1993-01-28 1993-01-28 Method of heating and cooling large area substrates and apparatus therefor

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP9360422A Division JPH10218632A (ja) 1993-01-28 1997-12-26 大面積ガラス基板の冷却および加熱方法とそのための装置

Publications (2)

Publication Number Publication Date
JPH06239635A JPH06239635A (ja) 1994-08-30
JP2766774B2 true JP2766774B2 (ja) 1998-06-18

Family

ID=21746908

Family Applications (2)

Application Number Title Priority Date Filing Date
JP6008681A Expired - Lifetime JP2766774B2 (ja) 1993-01-28 1994-01-28 大面積ガラス基板の冷却および加熱方法とそのための装置
JP9360422A Withdrawn JPH10218632A (ja) 1993-01-28 1997-12-26 大面積ガラス基板の冷却および加熱方法とそのための装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP9360422A Withdrawn JPH10218632A (ja) 1993-01-28 1997-12-26 大面積ガラス基板の冷却および加熱方法とそのための装置

Country Status (2)

Country Link
US (2) US5607009A (ja)
JP (2) JP2766774B2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100807633B1 (ko) * 2000-08-11 2008-02-28 캐논 아네르바 가부시키가이샤 가열·냉각장치 및 이 장치를 구비한 진공처리장치
KR20160130806A (ko) * 2014-12-11 2016-11-14 에바텍 아크티엔게젤샤프트 기판 탈가스용 챔버
US11776825B2 (en) 2016-03-08 2023-10-03 Evatec Ag Chamber for degassing substrates

Families Citing this family (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07211605A (ja) * 1994-01-14 1995-08-11 Hitachi Ltd 処理装置および処理方法
JPH0936198A (ja) 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US6672819B1 (en) 1995-07-19 2004-01-06 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
US5850071A (en) * 1996-02-16 1998-12-15 Kokusai Electric Co., Ltd. Substrate heating equipment for use in a semiconductor fabricating apparatus
US6602348B1 (en) * 1996-09-17 2003-08-05 Applied Materials, Inc. Substrate cooldown chamber
US6432203B1 (en) * 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
US6026896A (en) * 1997-04-10 2000-02-22 Applied Materials, Inc. Temperature control system for semiconductor processing facilities
US5879461A (en) * 1997-04-21 1999-03-09 Brooks Automation, Inc. Metered gas control in a substrate processing apparatus
US6073366A (en) 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
US6235634B1 (en) 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6688375B1 (en) 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US6270582B1 (en) 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6294219B1 (en) * 1998-03-03 2001-09-25 Applied Komatsu Technology, Inc. Method of annealing large area glass substrates
KR100510459B1 (ko) * 1998-03-17 2005-10-24 삼성전자주식회사 로드 락 챔버용 카세트 사용 시스템 및 그 사용방법
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6213704B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6206176B1 (en) 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6215897B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6957690B1 (en) 1998-09-10 2005-10-25 Asm America, Inc. Apparatus for thermal treatment of substrates
US6108937A (en) * 1998-09-10 2000-08-29 Asm America, Inc. Method of cooling wafers
US6217272B1 (en) 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
US6328858B1 (en) 1998-10-01 2001-12-11 Nexx Systems Packaging, Llc Multi-layer sputter deposition apparatus
US6486444B1 (en) * 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
CN1136609C (zh) * 1999-09-06 2004-01-28 淀川化成株式会社 基板用盒
US6298685B1 (en) 1999-11-03 2001-10-09 Applied Materials, Inc. Consecutive deposition system
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6488778B1 (en) * 2000-03-16 2002-12-03 International Business Machines Corporation Apparatus and method for controlling wafer environment between thermal clean and thermal processing
JP2001338878A (ja) * 2000-03-21 2001-12-07 Sharp Corp サセプタおよび表面処理方法
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6821912B2 (en) 2000-07-27 2004-11-23 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6682288B2 (en) 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6530733B2 (en) 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
TW512421B (en) * 2000-09-15 2002-12-01 Applied Materials Inc Double dual slot load lock for process equipment
DE10052724B4 (de) * 2000-10-24 2012-08-02 Pac Tech-Packaging Technologies Gmbh Behandlungseinrichtung für Wafer
JP3884908B2 (ja) * 2000-11-29 2007-02-21 株式会社日立製作所 液晶パネルの製造方法
US6765178B2 (en) * 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6998579B2 (en) 2000-12-29 2006-02-14 Applied Materials, Inc. Chamber for uniform substrate heating
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US7069984B2 (en) * 2001-02-08 2006-07-04 Oriol Inc. Multi-channel temperature control system for semiconductor processing facilities
US7225864B2 (en) * 2001-02-08 2007-06-05 Oriol Inc. Multi-channel temperature control system for semiconductor processing facilities
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) * 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6528767B2 (en) * 2001-05-22 2003-03-04 Applied Materials, Inc. Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications
US20020195201A1 (en) * 2001-06-25 2002-12-26 Emanuel Beer Apparatus and method for thermally isolating a heat chamber
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP2005504885A (ja) 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6867841B2 (en) * 2001-10-31 2005-03-15 Hitachi, Ltd. Method for manufacturing liquid crystal display panels
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6497734B1 (en) * 2002-01-02 2002-12-24 Novellus Systems, Inc. Apparatus and method for enhanced degassing of semiconductor wafers for increased throughput
US7006888B2 (en) 2002-01-14 2006-02-28 Applied Materials, Inc. Semiconductor wafer preheating
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6869838B2 (en) 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
DE10247051A1 (de) * 2002-10-09 2004-04-22 Polymer Latex Gmbh & Co Kg Latex und Verfahren zu seiner Herstellung
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US20040226513A1 (en) * 2003-05-12 2004-11-18 Applied Materials, Inc. Chamber for uniform heating of large area substrates
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7100954B2 (en) * 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US8033245B2 (en) 2004-02-12 2011-10-11 Applied Materials, Inc. Substrate support bushing
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
JP4544515B2 (ja) * 2004-06-16 2010-09-15 光洋サーモシステム株式会社 板状処理物冷却装置。
US20060025049A1 (en) * 2004-07-30 2006-02-02 Applied Materials, Inc. Spray slurry delivery system for polish performance improvement and cost reduction
US20060182530A1 (en) * 2005-01-05 2006-08-17 Min-Hsu Wang Wafer loadlock chamber and wafer holder
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US7789962B2 (en) * 2005-03-31 2010-09-07 Tokyo Electron Limited Device and method for controlling temperature of a mounting table, a program therefor, and a processing apparatus including same
US20060273815A1 (en) * 2005-06-06 2006-12-07 Applied Materials, Inc. Substrate support with integrated prober drive
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7749400B2 (en) * 2005-12-16 2010-07-06 Jason Plumhoff Method for etching photolithographic substrates
DE102006023968B4 (de) * 2005-12-29 2009-12-10 Lg Display Co., Ltd. Inspektionsvorrichtung für Flüssigkristallanzeigepaneel
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
JP5153614B2 (ja) * 2006-03-07 2013-02-27 株式会社日立国際電気 基板処理装置、半導体基板の処理方法、制御プログラム、制御プログラムが記録された記録媒体および基板処理方法
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7867403B2 (en) * 2006-06-05 2011-01-11 Jason Plumhoff Temperature control method for photolithographic substrate
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US20080176149A1 (en) 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
US20090191031A1 (en) * 2008-01-28 2009-07-30 Willard & Kelsey Solar Group, Llc System and method for cooling semiconductor coated hot glass sheets
KR101479302B1 (ko) * 2008-07-02 2015-01-05 삼성디스플레이 주식회사 기판 소성 장치
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
CN102246290B (zh) * 2008-12-12 2014-03-05 芝浦机械电子株式会社 衬底冷却装置及衬底处理系统
US20100247804A1 (en) * 2009-03-24 2010-09-30 Applied Materials, Inc. Biasable cooling pedestal
EP2360720A1 (de) * 2010-02-23 2011-08-24 Saint-Gobain Glass France Vorrichtung zum Positionieren von mindestens zwei Gegenständen, Anordnungen, insbesondere Mehrschichtkörperanordnungen, Anlage zum Prozessieren, insbesondere zum Selenisieren, von Gegenständen, Verfahren zum Positionieren von mindestens zwei Gegenständen
KR101227757B1 (ko) 2010-03-03 2013-01-29 김한곤 냉각수단이 구비된 유리강화 열처리장치
DE102010016792A1 (de) * 2010-05-05 2011-11-10 Aixtron Ag Bevorratungsmagazin einer CVD-Anlage
JP5836379B2 (ja) * 2010-08-27 2015-12-24 サン−ゴバン グラス フランス 複数の多層体を熱処理するための装置および方法
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
JP2012250230A (ja) * 2011-06-02 2012-12-20 Tokyo Ohka Kogyo Co Ltd 加熱装置、塗布装置及び加熱方法
ITRE20110055A1 (it) * 2011-07-25 2013-01-26 Keraglass Engineering S R L Forno per la ricottura di lastre di vetro
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
JP5936853B2 (ja) * 2011-12-05 2016-06-22 株式会社Screenセミコンダクターソリューションズ 基板処理装置および基板処理方法
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
EP2815426B1 (de) 2012-02-16 2020-10-07 (CNBM) Bengbu Design & Research Institute for Glass Industry Co., Ltd. Prozessbox, anordnungen und verfahren zum prozessieren beschichteter substrate
EP2631937A1 (de) * 2012-02-24 2013-08-28 Fraunhofer Gesellschaft zur Förderung der angewandten Forschung E.V. Stationsanordnung zur Bearbeitung und/oder Vermessen von Halbleiterscheiben sowie Bearbeitungsverfahren
KR101396567B1 (ko) * 2012-06-25 2014-05-20 (주) 예스티 유리 기판의 열처리장치
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9378994B2 (en) 2013-03-15 2016-06-28 Applied Materials, Inc. Multi-position batch load lock apparatus and systems and methods including same
US10247473B2 (en) 2014-01-21 2019-04-02 Applied Materials, Inc. Methods and apparatus for processing a substrate to remove moisture and/or residue
TW201639063A (zh) * 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
US10373860B2 (en) * 2015-06-30 2019-08-06 Applied Materials, Inc. Batch processing apparatus
CN105316638A (zh) * 2015-11-20 2016-02-10 苏州赛森电子科技有限公司 磁控直流溅射系统内的冷却装置
CN206332060U (zh) 2016-10-28 2017-07-14 应用材料公司 热学腔室
EP3728686A4 (en) * 2017-12-20 2021-09-29 Technetics Group LLC DEPOSIT TREATMENT SYSTEMS WITH ACTIVE TEMPERATURE REGULATION AND ASSOCIATED PROCESSES
CN113451183B (zh) * 2020-06-03 2023-03-31 重庆康佳光电技术研究院有限公司 一种晶圆盒
CN114409268B (zh) * 2021-12-29 2023-07-04 凯盛信息显示材料(洛阳)有限公司 一种用于镀膜玻璃镀膜线的加热冷却系统

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4979464A (en) 1987-06-15 1990-12-25 Convac Gmbh Apparatus for treating wafers in the manufacture of semiconductor elements

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4016006A (en) * 1974-10-30 1977-04-05 Hitachi, Ltd. Method of heat treatment of wafers
FR2555363B1 (fr) * 1983-11-18 1986-02-21 Cit Alcatel Machine de report de composants pour circuits hybrides
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US4609037A (en) * 1985-10-09 1986-09-02 Tencor Instruments Apparatus for heating and cooling articles
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JP2667866B2 (ja) * 1988-03-25 1997-10-27 株式会社日立製作所 多層配線構造
KR960012876B1 (ko) * 1988-06-16 1996-09-25 도오교오 에레구토론 사가미 가부시끼가이샤 열처리 장치
US4923584A (en) * 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
JPH02264429A (ja) * 1989-04-05 1990-10-29 Mitsubishi Electric Corp 半導体処理装置
US5162047A (en) * 1989-08-28 1992-11-10 Tokyo Electron Sagami Limited Vertical heat treatment apparatus having wafer transfer mechanism and method for transferring wafers
US5135047A (en) * 1989-10-05 1992-08-04 Flavio Dobran Furnace for high quality and superconducting bulk crystal growths
US5192371A (en) * 1991-05-21 1993-03-09 Asm Japan K.K. Substrate supporting apparatus for a CVD apparatus

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4979464A (en) 1987-06-15 1990-12-25 Convac Gmbh Apparatus for treating wafers in the manufacture of semiconductor elements

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100807633B1 (ko) * 2000-08-11 2008-02-28 캐논 아네르바 가부시키가이샤 가열·냉각장치 및 이 장치를 구비한 진공처리장치
KR20160130806A (ko) * 2014-12-11 2016-11-14 에바텍 아크티엔게젤샤프트 기판 탈가스용 챔버
US10403522B2 (en) 2014-12-11 2019-09-03 Evatec Ag Chamber for degassing substrates
US10580671B2 (en) 2014-12-11 2020-03-03 Evatec Ag Chamber for degassing substrates
KR102137512B1 (ko) * 2014-12-11 2020-07-27 에바텍 아크티엔게젤샤프트 기판 탈가스용 챔버
KR20200091485A (ko) * 2014-12-11 2020-07-30 에바텍 아크티엔게젤샤프트 기판 탈가스용 챔버
KR102233603B1 (ko) 2014-12-11 2021-03-31 에바텍 아크티엔게젤샤프트 기판 탈가스용 챔버
KR20210036993A (ko) * 2014-12-11 2021-04-05 에바텍 아크티엔게젤샤프트 기판 탈가스용 챔버
KR102398333B1 (ko) * 2014-12-11 2022-05-16 에바텍 아크티엔게젤샤프트 기판 탈가스용 챔버
US11776825B2 (en) 2016-03-08 2023-10-03 Evatec Ag Chamber for degassing substrates

Also Published As

Publication number Publication date
JPH06239635A (ja) 1994-08-30
US5607009A (en) 1997-03-04
US5674786A (en) 1997-10-07
JPH10218632A (ja) 1998-08-18

Similar Documents

Publication Publication Date Title
JP2766774B2 (ja) 大面積ガラス基板の冷却および加熱方法とそのための装置
EP0608620B1 (en) Vacuum Processing apparatus having improved throughput
KR100658235B1 (ko) 대형 유리판의 코팅 및 어닐링 방법
KR100613171B1 (ko) 반도체 기판 냉각 방법 및 장치
US6949143B1 (en) Dual substrate loadlock process equipment
JP4237939B2 (ja) 基板加熱冷却を改良した真空処理装置
US6780251B2 (en) Substrate processing apparatus and method for fabricating semiconductor device
US6765178B2 (en) Chamber for uniform substrate heating
US6198074B1 (en) System and method for rapid thermal processing with transitional heater
JP2003068819A (ja) デュアルウエハロードロック
US20020102859A1 (en) Method for ultra thin film formation
JPH09310173A (ja) スパッタリング後の基板の取り扱い方法及びスパッタリング装置
US6957690B1 (en) Apparatus for thermal treatment of substrates
WO2001082342A1 (en) Gas assisted rapid thermal annealing
JP2575285C (ja)
JPWO2005069359A1 (ja) 基板処理装置および半導体装置の製造方法
JPH10149966A (ja) 半導体製造装置

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19970617

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19980324

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080403

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090403

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090403

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100403

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100403

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110403

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120403

Year of fee payment: 14

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130403

Year of fee payment: 15

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140403

Year of fee payment: 16

EXPY Cancellation because of completion of term